repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
keith-epidev/VHDL-lib | top/lab_6/ip/dds/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48a_wrapper_v3_0.vhd | 7 | 18409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
rPpnWVEwFOHea/W/tudCqSj+l/CvtCsfG+4KTnbybMGqBu+HQPpFMAIP+hsJlPt0V2V6vnVDZhhN
nxWS2MLzjw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EGcvM6OJd7fvK2pb/Q3EtlWGzNoJ/56HrafFHhius4Q3CRG80O/0bz8xLpQ1quDCPMGi5LhToZ3+
UtDKvCXzDA26bIzfBym0Jj7/d4I7hZs24aTl1eIHCJSD9tKdN1VAcoC2wVq2NwPxkSavgdj+K5ve
QPCsMPUi2XsU2rVCa00=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MFJOxxwkfXIzr1lklbqsHMRrkfa8rPXA2zSRJzgRNGYX7cZK+uWB1SnPVjckaflOFGfx26J+9gPW
80VcAmR2qNafooyrw/viRmhTf/UFdPyGWLeIC/ROPOBUC4i83+ys7fdD32qDPCVjDn0vITpn2MZR
88TiVHSeczn6d1JnD7pd9HOCzDN+sD+TcjBPqfG+bZQAzpofalkM0qjcRzqWBipgMCYHNskLXRzL
EwIwfpA9j9GkAWj3wUYLLd3AmJm57pilDrT+tpH3bGCXoFTPj8WVE2K1OgRkgx7tggnJlPIxCzXp
1RTnWUTa5cLtiIvHaOGlHD5OY61N7IVINEA26A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XtyKBgTMN2j464PDFsuoeAgTQGvie3MYP97j1MS9IqaFQAYDsMV9/JJMTEYtr64T2UO3j5fOSWKW
30iz6dSNhDJzNh59Nxnmk87b1UudmBfjyJw0Aq6869yReTcZVPj96F3IlmVwFoMhIbHYv5+Ffz9z
cCbd8bZSwhOFY/0B8kA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RxSuZOOBql5W0fva0jDjqYJ/xnwDn1O78gvu7Pk5S8GVXE3Pn2yU7b+VqYlJPLKEl3HyJgtkv8xW
js5nlgBbDzu2X9z+bR+Zi/SYN5Kdzo/XIGU5RDrZYTwOaBvKkGjWgXbxnGa61u1iaHph4UHnS9Xp
rFSVS6BzCunqH4Agliaem7d0tuNVlPzrSMYBdfpA1vcFYFWQyo72m6U1+h4KJwNoxNMJojDTWti0
AhJ6bxw708YJp52k9yrwllEm0sPiNelZsx3UBf3AqT5gxKIZLXlUi8jNQxNVXppvtlth2TggHJof
H1PI2kcMmF7mZGW25IkW1wq2anIz7CI0+Ug95w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/dds/mult_gen_v12_0/hdl/hybrid.vhd | 12 | 86278 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nvOgv7ZCjWXhLig0DqIYarjRIYNMgvBL4t+RjnW9dPwbE+2Dmh32daQC+cRejtTj4d/pTHalxJ1U
DXmEK3skRQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
e0ToDGmlBj6NVat0a3pVKyDwHKrzEA+UGHgXhK7OQgn7UuBvEGNAv8O1095qSG6Z7Ap4nUxIQGWO
HN8W9LyttSuXrYZwxN94RSwh8LTpJbvnyIYi7UKCvxXR5Oy5cXr7TEPpgeaKovipUGiYLgfC2CNR
3uJz/3+qMM7torm2K8Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fv7qg58PT8m+ynJ9+MpU/vfVq9t6OiKELULZ8eRfbgNQi0mKRfhO0U6zpHfAktl8i6biNbgdxqUE
lewPF3GZGKzH2NZ5CAy46Ey6BU2Uu1o6ZRPZPAz5O1c4YAafngpK9GxjijwiWyDRgJqYlLhfos+1
TthFnUdpgqsAoQ9NtD2kMZTv4trJ39rcXB5r8eqdA3/HjWFo55/0e1t7me6QYGbO9o4j02WCJ/2Y
CqdYVsXDTWfDKKuct8YE/4EnDinZv5ViFX2jT2xSj6HRofzKZ8wBHZo1qFMDOMZPAHxGBF4o16OB
G6fknQ749sUZkcDpaNI6KqkBUxthfVzLwlR7JQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vFoT66pkN2So8U11U09GK3GCMg3zGvtB6aww0ejFwkp+kCApkz1FUtfoW+7OurvLGha1nuizzFy6
JHqpRCC//bR/aAL59rW5bZvtLumUP/OfHLcpog4o2Jkknfgi/m4keolMa5f8rve6bl1KHM+P7zCq
lswSgclYJiDaxrvBzjQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WmbUOreOtpRj/pbzJmDDVseOMTkM2iF7LTkKx4RCEXFMloMUOexcSsWaJjsuaCTUV4RlVxayjIKC
1Eu+tpQLZ3yTZLmqyMw3/94wD5Zc3P/Wung6Wut7iaMBcAD30CTI2i9yGrWoZvfm50+oD0lVDIey
yaJ7Rys9XXn3JxgaPWzVNJcXcFQajItPukj+WhvVOIdv39b3EBSWI9tNjZPLBLn8ije+c8Wgd8cu
KSmWLEix0GbbgKyTg3tTJ/hLjsymY8YrqsGzog9pkhMkWi5q+ZzJ0CzxzWzOn+s4HOFte+NKmRdv
yRVnpdnX0oQ28bUB6dG/ePjWYdVfyAokL+Hm1w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62128)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_3/part_1/ip/dds/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0.vhd | 8 | 18733 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SdDLHlXOqapoPjtjP8tao5LvUKQq5yiBDtWWGyauNOTotoeKI0ZJND2IQULO4GcyoWsMqzQQ7Qvq
B+vXySm0vg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XV2/IyjeTpq0t21B81YY9roofC08p6YMAH2AEkJcMWH+mqMry4GuSJiiy9jSWrrDXQglo0cYiqNN
IrtCTpXVsIRMIS2CcjziuLr/ooLHFF/vF2U9eeFays59+MbTkAjwSj3F3sLHT5iiHA2G2T00mRRL
k1IPJlsfaxetb2aGR5A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h7vCfKiDUiTjK0ZrVtjSFeHORak3mbJ4UErgq9zgcUQ6+rNqOI/xKjnd+IWArJsO4wN4KAccwTq4
GRB/vaKO5xYuGmltsyQ6Ys2kTKQ2jwlKU0wbUX35t6cfQDiEHOqp+cOUsqySVKNvgMzDY3PiwNCY
pTyVif0qXC65TFmm1Z3++l/CmwpjRC/grNpuwPMUv7Kxe0Fn0e840Mf0vaT8Dcz941PZ5tptvgxb
aLhZqRO99lkDLvHB5XE/kMmCw7uZKw33G5vPDG4Ln74elWlm4I3PAwQ+zYOQm3cKSR1z7WQi4Ji8
DOFl6ZXLWeuE0rc9jyZcGj2H5Tr0/9feP9nhhA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ej8XLIC9pBk2fvlMKwBsznpGzDyY05MYFiTEOyq0G8NI8KGtlMj2qxKmrXHrf/O3llw/EJzdApn7
jtzyr4JHMRomdXh80AEyioPlbm6oBylM2VFuR8f6wdSnsUQHFUPb29RMS8M6rDRfuNghD7TPQYBi
iawMDhACb+3w1FHP4AI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
g6imM41RcgUTTZRR5GQvPVR038wTwx5vmzwCEBCsRysBRW5QyGMCEhJredfBLJ/ji4d+w/CZ9rzM
euJ+MQE8vTVAxprS2nwBuVDqTHu0JtVbUByUHckVYkNu+lywNi5K5sl6TSiGlvqtYBt3ZVWl50vl
yI+s/ww10BWXeB5P6OyJLkUUyP+KOkUtlHRWO8GVCmXgOs9569KfX6A8ET5I55UkRPtb/3dHzpmd
O1hkeVd9GZlKXIxHip/YfGNxH56dkL66zjPP3/Ojzge3xgT6RiddOh2fIcXslpMP92o0GyecvNsF
UkB0bfMwvgWi9n1LYeIM54Y/lUd8c3PkCc9JvQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12128)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0.vhd | 8 | 18733 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SdDLHlXOqapoPjtjP8tao5LvUKQq5yiBDtWWGyauNOTotoeKI0ZJND2IQULO4GcyoWsMqzQQ7Qvq
B+vXySm0vg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XV2/IyjeTpq0t21B81YY9roofC08p6YMAH2AEkJcMWH+mqMry4GuSJiiy9jSWrrDXQglo0cYiqNN
IrtCTpXVsIRMIS2CcjziuLr/ooLHFF/vF2U9eeFays59+MbTkAjwSj3F3sLHT5iiHA2G2T00mRRL
k1IPJlsfaxetb2aGR5A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h7vCfKiDUiTjK0ZrVtjSFeHORak3mbJ4UErgq9zgcUQ6+rNqOI/xKjnd+IWArJsO4wN4KAccwTq4
GRB/vaKO5xYuGmltsyQ6Ys2kTKQ2jwlKU0wbUX35t6cfQDiEHOqp+cOUsqySVKNvgMzDY3PiwNCY
pTyVif0qXC65TFmm1Z3++l/CmwpjRC/grNpuwPMUv7Kxe0Fn0e840Mf0vaT8Dcz941PZ5tptvgxb
aLhZqRO99lkDLvHB5XE/kMmCw7uZKw33G5vPDG4Ln74elWlm4I3PAwQ+zYOQm3cKSR1z7WQi4Ji8
DOFl6ZXLWeuE0rc9jyZcGj2H5Tr0/9feP9nhhA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ej8XLIC9pBk2fvlMKwBsznpGzDyY05MYFiTEOyq0G8NI8KGtlMj2qxKmrXHrf/O3llw/EJzdApn7
jtzyr4JHMRomdXh80AEyioPlbm6oBylM2VFuR8f6wdSnsUQHFUPb29RMS8M6rDRfuNghD7TPQYBi
iawMDhACb+3w1FHP4AI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
g6imM41RcgUTTZRR5GQvPVR038wTwx5vmzwCEBCsRysBRW5QyGMCEhJredfBLJ/ji4d+w/CZ9rzM
euJ+MQE8vTVAxprS2nwBuVDqTHu0JtVbUByUHckVYkNu+lywNi5K5sl6TSiGlvqtYBt3ZVWl50vl
yI+s/ww10BWXeB5P6OyJLkUUyP+KOkUtlHRWO8GVCmXgOs9569KfX6A8ET5I55UkRPtb/3dHzpmd
O1hkeVd9GZlKXIxHip/YfGNxH56dkL66zjPP3/Ojzge3xgT6RiddOh2fIcXslpMP92o0GyecvNsF
UkB0bfMwvgWi9n1LYeIM54Y/lUd8c3PkCc9JvQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12128)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_6/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_comp.vhd | 4 | 19013 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
maJxezAMffjfV5fZbVXOhMiZFs6nPqxwtZ5zZX1X2F8MCCLriyTTu7w7Z5dM2Ie7fWmZKuoaWKcE
sModgFhMuQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
apOVlsf6NR23X6aje97r6Zxl2FAlGfDzkxmV8LFKn95ZkIW3ofioDDp9zdY7LVjOnJFwxLKwltnL
X6f1+XOePH5Vqu5E4i2qLQc36eV5SymBj71tcTz/uoh5PxunHBQUqtD6BFruz8exGdZI/zetEb3k
yV40PWmKnSgmgN3oXtc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JYlozxnTa4FdwDZk9pV1+vzXT1TCi/33ulGGH8ywT9IjzkuTh8REcWHMLbN37WaqVzuLIYvX3t00
KxWqHUkxfUOk/ZQmqlT1W8sEqhRY9bqXvrZaq2sMEiTAJx8afg/jUDdT1n8MY/PodEQ1gFRdAIPF
T39x2fpD5tYWj0Yq1dpLA8mHBX4N51X5cK03aEkI1iEh6z4OrQHn+DVBIwWr+Ta/o95/ATBJZ3zH
YRgDXuIZmkOQUP6VWkdbobipeQkyR/N9+7o934NT3G73ZSSRkyBoxuJVQGrUfHs1BZygEsp4IFi8
H8gkEnVjNHH0lzZzXeAMPaToCPAygXxFf3QynA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KFHiu3XnjWc6PRHpKXGFJtrUdKfGBHftU3NqSLlZMLd6tQPwIm7qYK1fEJ7A1CFiYKN6TwciFhk9
DflCpsYimfo+KFroNULZCLnThsZrb45xa5KHak/0+1cGusVeL9JzXyWi5BcgYtG0EvLxIVBRJOT6
y9JJ8R8p3BCeiGgdDK0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
INw234066g97A9eSLWHysAcZweOojC09GGZjshrObQeuuPk5aYHbVdkMCma3AwFbLR85ZNSKXU1u
IQLyPFd3MxkiVMUj8I6ARCaucBm3rScbQkbXafMp+Q2yNp6Y4HL1FYyn96e+B/ZXGy1dth5Gex5f
wC3G5j/w5iZFI7mn6W41/LT2/xFqF8PI4KsuqbDXRKHBuu98m5zxAIz0R1W89MUAmHH6cpycv2Ux
VNhWByLkoOuIiO3QkIDJHI3iw3RTMVUDxW9amDquLB5D2Ez2TGJC5YLcJ+q22mw8/kPtGQl++DZo
B6hBW3UXhhzYONu02Tx8QIZgNgVU81l+W4UjXw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12336)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_generic_cstr.vhd | 11 | 136312 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP
6axurY4i4Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB
lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ
MonMc1sdRumiZxCH6p8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN
9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w
4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6
y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05
XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu
AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w
OYrkIhxgyBWc5KA4bdA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR
0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C
vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9
1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP
cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r2_rw_addr.vhd | 3 | 16658 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AHdDlnvcIhhXZBtegPVNieDYJ3P8xhTW7163IREIbysYbJKuM/jZ1b6GWQ96VtZ1W5KeB2U/0gWi
RtXbkIuDNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ovZVCK4WIqlj1aBnndGdM+rs3zWxxPD2OGbBIq+1M0paCpBfc6BrM9TGYUotKn2pihzQ17LwEwY3
A8VHD0zcaIv0RXRnCtrFK7U9GgYrwQATVb0Zoz+Ye0IeMsiZ2LZxCIp3or9Ul+M7w4CHGAxDbipH
o7OFrWNdFP5TvYC4+qQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nAQGDn+TpbvSY5el8bUON96x0Eki/HTLVb4NdmxEzGN1MHIxh+Y8LC2PMUPCdrei3A4DWRdwm5+g
feLaPXmubSbJmNzh1ifL+QMLnCm0KqLfOO2YQD5IUndj7gs/4Ae7Zw5rXr9tLM9tI5wtTblc0vaS
2yiqYwjPHqXvELeXNx/XhiiLURT4DC4GEZJZA7czq8bD1BWcUvwNQ0fU9+78crOG1+InobG/PMSm
EDJa/VsbeXgA6XJE3nJ/oXahazJUYLG3KQZITecicPMxiSWWr5df5EjZ+AbXt8eQ13D4aRISw/uK
hd6rmrgFuU9eM6Gkx+/15LdoIbH8SUHhyHRf6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AEVf3K+Ysauidn8IGFbEaB/UNnqA9E2CD8md0j/tcB9ZVAVx/fv4VAbfip8LdN0pBvBGO4i5IdA2
iofomyOWq5W2AmOzOAkniVz2QETh24igMRQPJ3rEigWbAiah1O76Zdsd6BwvBBHSYNaNoOdpuEef
3RCsyGTwD1Ztoo5Jeuc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hHJvZ9DrSf0M1BU6x2vDPxVrVdRXy/HtIAzrr9j+MiTp7tHhRgXGE4A5MSv6fkNGE4nWE1+2RPw4
sQPzULtl5s2q2z8NxtVz1LA/w2nm1OVbG6xaS4jiTo+TRdu5wYlf3I0Kq/p2vf1XqwnTn5Op4F4k
I2huEjf9qTrbRshGAjSFxOZ0qZ01uAY8AKG/h+3M034+cXABNP17kyr4TB/L2LjC8IwIlvc+X67h
LTHm+pql1+CJu98yBYPzrtgSu6SP2G7j5ptruxETN3+R/JuUr4Qz20TD2rirJYhX6hAi/brIdvmy
UhGce7WsKvyN7XUutMMN+3YHR/kXkhfcqh9yvQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10592)
`protect data_block
Qhc5JkAwUmAL2rSZIUicjYFonkAQBWXPmmbqxNa9ah5CEFvgmhT7PPRhDYwW2j45W1k1JrANNxoU
fPxNlEnDczCEKd8IrrRPLqffvIo1JZYjT75PnSSmEYsj/7c2aGPTeWMOXabuIfbVja19Cv8zmuwb
fkDKNyrAIcPBe7qA+L8ggJ+HC4eDe9spm2/LUuyynjxjQRY/O27F4R/rJe+PpN/o8w5RSscPY1kh
GmrrZeTWRh1aPRplORrmVATagA+Wzyn8xMyafMYFmwUJnGgsRYujC0S9rIjlgTeaMiVZnwl8SDUu
cgsuok6EhmfGv66EmUE0EOBVO9JvQkBwGHhUOYlJztwpZA1yVWbZQMaLUYaTGbg0uolrR5v9zfr0
lxxR0STR3zbzn9Go98K5NVeIZRbb4rm5Enlj4RuSbidRjUPTp50S/OVzAkPepqaX/s4m9gSLZht7
UjMPTuNMQKm+HnQJVMKS2t795Fnrs4ifohQWyQahgI0makmUW7asO4NmFFMpGTmeaJa5bIyP2P2n
BHxau1+6+iV5y2CG02+jDJf4DNYELGWPoZYoWRdwGaDxmGuqHyhA8Pl1EmW6VIu/M1E4sy91VngS
31HBQaZVKF9W21ITfoa/R8K7YSyIF+zRzwOj3t+C5Qr0ZVTrpL98GTpIz3rBnc0c1et78s4A49Ed
zzaScugtJWY99CKnEbbfSqAv0QfU7a47JSiC7EVByUbAq0fHCNw9dbLJOMPn3+UbRRbISYyWSN/6
U/IC8JLCEm0LJWZ61rh3IUme3xvsOW8feBTdLlvIclT+F1HOE6MrXKVaaTuqTGBHJ0vdIpsDAZ0X
8Aa1gpTJYvO0CmKUQf1Ko0N/gvBfGHJJVUjn1VSuJvj1jz3IKly4lCh9hMEUAd6vwuFRkSzVC4XH
XnjffpCDBKjl+R+p7W8lu9OjJWTbBEGWGuR0G2ah9oCaU/kOmj5dOHTt2Z+Y8VpffPztePxy7XxC
9zHkTz96CG1WVxpeJOdmyYAlQPhIdKlN1rLQQCrezZkHUJKJET2vHlbpdA3p0YFhuzZMlS2x414O
H+43poaISO8AXvQ/biGgWrPd0DQFsRLdB/icSoxvWwhv5ySk7ghnvev9CVve4oIpIYfGpTYJsqNP
yOrWAV+i8a8skYY99SafidxLL4fVPnZtQQH6GCRh6jRR8aW0fSVnLuFP3L73sEqUy44nArC3ht/l
efDJw/UQYLxDZV2NDfrnvlosiLzRWoHuSALEqw0h+ZcgTDvJYiMiHHG8n3HIeTZMXOxMGUakKMoP
INsNyQcXPfDYrkp00mKH55yuWZBotx6twxrM0t0Rz+5hV+E6kE5NFZyD1/bkvSEhgwFG4htxN2OG
C3HgOulc1aKBSIBI1ufRdhgSZxSMKX5oWO+q8CY2RB7CfNkhcG4l+hRC6c8a6rHaNlQFYIAc0xgF
eXd3VURWb2FSq/dqNzUyKzs/Ugnd5bQDHVWYXJiM+eFPWz2lFpM3q48qrRqSawp70ZrSr7xEZeDf
B2VetlXqyDvgrRzQqoy2O++WLMIfyHk8eGBHJeZJDp+xEdmjPl2VuM7/62TaemF/yAHHfiXOeaQ4
dfsQHApMDX53PCgw0/AJY9fZGEbxSKB+p3UHwr1Vg9gA54AyqjIMaHz0HiRlnZbP5Yd93FORpsMS
3uItGOaQStKq4l3VBMB2rvHG+JwUCNIkqGwbUDRVUaj3uosRIDlvzPxulNQR/ig8HWX2HpeqFycH
bU+tPJJ8PW1qADl++7nylySk66tXOTWuWqeFvVG9Soxpgo3ktufaV2Bm33vVwA2hnM+nvxYw9d+h
fyS/r5IZhYTLUJeICPdRbLlWqaXgwztuftJ/ARG/g1SX2cXDWJaTR3xQkwidvnSgRuZgEa9Bhd9v
XsB4eu7SJ6RV16C5AlCMDR63plNxJa0MGrJZdlylwZBx2PS5QM1S2iFjZAvmc86VcfxC9JZKK+Y4
ONDyFscJ3rTP4OF3NxwjnhJB3wEPvSuZ24fS9EeiHMXIQNmpaieAw2nN0yhXvaBx4p5+qlRyo+FH
2WH5K786LlXB++PyU+zqyOWaf0tjFlf71ea0t5KKSrgF5YdOvsK0Y2N6iOqcRFfe8tVz4unfSpo2
rdBVcsLB6l8HJq3ipMT2n8GFNfYHUwMw6kvmrqZb4y1xLA+pHpFkpWE4M7Q5fJIrS6y+AxRZ//Aa
PMEI38grcMB4qspmNzXpE9btqU4KH6ySA2qBxOnYcDH5qui4bhd2hS1Zudtt+lJa6IMQf4YysX3l
UgSyK17bYs0N5wLNqX0JsZ7ru393gItE2GLyftW0zHQ0CjtIxf2XqvBJhUhYqPQGWUfzTwkZWBdZ
Ld/ewRQTcrfJP+WW0MMNVqoEe02bT1PVVoOjAG39tAK59MpaWaOmzX0arLk7Yl5fXqgA4Ve8syWQ
XNieOE8fsL9FdN/cEbGL5cDz8SLhnrCZt1uXxXXHfJYYiiffiTVqMc89xeNOWudXv7ylVLG09vAd
3tLec6twm40Jr4cvmx/5t33rNUmTTSqJtF9r7HmE/Wz9d79g9UMQpEHoDp9PpzFOUSkZ0NhhccLH
AjGKKfIz0HIt9mEf7S2nvDfu6JQjxZbmWWJ9yzLvNai24bXSRKDFkaa6uud6OwQRJnwMCRejfxUt
wuLpwVe9e6OWqDbDBOQg5k9asmJbLRNIY4ksj3qqECuK/Xw5P+KjpilYdF3ChUZ6HHp2yOXcOgFq
5j8EoI4pXXqR31FwwJZnds6q3VFCnUvj4cUpt2wVIdBUeNecNZLeC73Rbq3c73j/Aj3FpZB5Fa8B
qZLjG0RlPYXPJGOeqQMYrppXhLH6GbR+4wsGWOgXCzdJhKoA2a/CQzv4U8x8ZBJIgqviEaMqShcc
Hf+Nmrg9crmMwUss0V8tpJwcW4y8dOMe44STcyERZUjfnEZGkaYLQvaV8pCjm8ZQHnmM29mvr3Yy
OFmAHjkCT3jKyzBRVVMy0lTEq3tNT0bF/JUK5adsWqlU/PzES+QZ1/w+a4tvgU7yGDP0M82kp4Ko
TcwQmy6IwhjLZimnTiYoMvtijpeRdwuAAHA/Ke+HHkY5Ft4BnhG+R/2UZyowWc+SMfUvQIuLTL32
dFqclEk+Kt4RIB0hRG5zF3uCYjr1LTx4YSMMsnFIYEV1LAVp5C2wB1tbKr6q7bQpPVBf0JZd1PCx
J2ztlts0j6K38piUt0QqYacMBXe7zv/9O6etjKNv1in0h7+ThF0xz7NaAa2pyC97iBOpRcgOJEgE
e77NMd4F+VaxSDGn34H4RfEzvlBZDUWhifC6x7l6EIrqC/aNrNLWDbLlIxfCo+zHqSmV3oUXyR4M
3LkgzkQpZpUvLDN41EdQl5ZHCWZqJisLSOWgKYJd+X5VPaKT1oSR+YBvXSqsI2XwVd/FWUbUQSX1
LSUnVjPhXe43VXlgMfZG5fZ44XlZ/KqA5RtKX0QuZRU5y1A/amzafVCGvaTMwQr/ksoIsaWEeqPY
x05Z+rf2qEfG+R4ZR9FWmKx6XYKpeCICDLFRJwLZ7DSaLb1TATOE5QH9wDmHYq3RMVUYepKz3z/B
IMKZUKufTXS9PDnJTt1HdLU3v7A6x6Xg++SarWTPsrRqdjtlpqFwtgN4qfQBLIiO3zstijh5JOy6
+58MT4I0wtGTrWt3iABPCSil5nwH5cvOeMyhE+PzFS1wvG7zvCVPVZ+IFOKo6tAtornApP/qGXMu
jix/cdE8Usi3FyAo9CldHt3J2YjYBahVjJF254rnYFszWvf/9GhSWyzMRzng1qAT+7j4XRzBXquK
qsbGmL1pdtDm/dCt/DJG6rTod2mkdzyCmNLdu+IKiegL+MnjNi9IBzm1e2/eoKpXB9vJqaKTyqWC
1LOaKKpD5uKdkJ8zotTfmzi8jGJJfVIei1H2AYWcVna36E6hKBp1zQsT8ZlndUpXD9PH7q9YNhd3
vVImm4foOB9ohjS4aYWLxWMla4vC8rdr1UfCSl6Q67y+Te2lLgntEiD95w7J1gj4gSfPeltgurf7
k3VYs/vRKRWCwcFigOoqjLp6LBgTAv14mkpr6hiEAqHm1c4ImssfJ2lMnfKSloZoHPU9gOIzHwuW
gx6HBnbfqDDkTlsX0k4MmhY5Ve/tqHC1WZ0yriqutYoBfQp266eieSt5XoedLP36fHhpz6rTwCp3
FpKOXHbju7L3D5vfb0AtNPPGZewU4xlQjb+syYdnxLNcRQZ9YdFV1G+ZqkCD+Syt9bV5Zk6w0zpn
rSNj/1l2gk14A7vu8OyZKAhqAMXu1xrcNOdnfYsO8Gl/MfL/tsEfoz9C8JmF4xlapzRMlhrTFkcj
MDqsHCNlopwBE+3cSd7YmU6n94v3SxjMtC7LjQkdJN/OIuyRCXiDVq+LnniyVax+MIROsjtUG0HH
l64o/rezz6TkynjZ9Y9S57+aCx4aC/hSQkAuFX9+crFZRaGrLyKxdi0yKt5B1v2eOr5lNjsnw3Af
9DZ9//2n7uMuPpafEyUdex9YZuRyj2Xgoe42cd2lQmCYwe/AgZl6U5pVS93w0WfrXNvNfIH9xj7o
Vw0XnLMLX+l34DuyWWSEyhtEnNQcBkmpeNPewem8ZNLjlgkub9n49pAxnWe7VAWeoXUdxR+5lAw6
0FYw9uyETwMq5jbF0gNEGqS3T1roLplm5uBxI4ou/aj8v190upxA0aATGItZpPdKwdIqSvm8VZMd
sYRJhuec9zxZ6uleZ8ZKCMs3giXAEedTPnsIhPzLW26GIgpHKRdqiBiLWzl48t7ZBzW7dIkEBpgs
pgqZzBJV1y2U1LrWWKl5iBl0P+DPfJpm18hv0pLdyVHaq5rgrSrHHV52V330VrKziJXGq9CPUpN3
DyZMhFy/Gd3Oz+/+K69e08zGw7VOUUB3bhesy3kBDvyoCzGcSEmWABIRHxaDtQ1tEejfMvoPNwA1
v52dnVKUQduWI0Pep4FI7IHUuk/qyXDZH4u5ftFvnl1kCnCNNqoDv3TkfnoBS3c3MhXN6VdEszLG
Ke4VT17dK76fwcwy2F5iLX6USCOelXiyU5cu9DuTX2SfqIV3CdU78ym4VnRMV477Nt7RwBRs6Lpf
rsdac/z9cEj4sl8iVj9GW+uXgkb1OoCNauROXzu9Y5LI36t0j7W9FM3/4SR+7qmEoz9evyMnzf80
zsroNTk4boD7rV3j3heNtzIKVPeuXToYntKUT7L0UZZQDBBgr32epnUkz/2VRZ8mf3AbUl8tgkIS
/lbDiE8bVWwI2FIr+YrVtphivF3VO/mX7yVNjmvw5zMPuP6DhrWLag/2kJF0P6lwX5pNOApI/gzF
lQYrAOP69O7VZsQdhrQaz9eNxpRBorL43Ze6sqtFkh6VU/llPw5UwwZe4rdHiSsWScaFrkQOxFtU
8F6OLobVS8w6YNCZrxwj9n1ypzvaylqKYaGB2nzh7+Qs3qmO5HOQ+vfJuj47DXZCVw7P6x8EiJWg
Qq+pN025MGpomMOQ1fuqUvzlxVb87KI3etQfqzLTJ1VGzXPvXpLlc0zs0W4V1FddOKMAIblRMj22
GDmqmjMh4MSvZ63PHlE/Yh30w+esCthrRqxMFDbJ6ODUOLpp4jk2KHnwXdKBOOqf2ACw4l+S1/Wa
2hV/oMBRzA8JKYlCuv9cVI991BOm6xb0UuNIVzucLLAGHPPwMCVibmCNvQnRa9sTBhMRr9SBJBrZ
XYoStSdAxs1pwb0DP2t3cHuvuJmrKUoIpoektAVaCIIy/Uf2zp1rTpJ7LshI1KvEP8mFaB93c5Gs
aF5hjyn5GyleqTbpppDDmxvG49OBE7v9kBY9nNX9pkNHvvZn6Didtm7nd0i8ut3ax55OlzHmsbFO
Vb5Sc0xbvHHTll9Xy2039G48+2DXVEhIJWvlBwUFXiZ2HAJSJkUtHeMYTNaNw8aHZ3r4bai/gSKc
FqlzNLKhnf1MjBEs8rCiaz4zraoAgJJx0hzsOCkgK4xg3LkTIawaEnIyzv6qNlxK30QrYhxjzM1U
ZFQocdjOeZhRba4vCaV7IYQ+NRnMXpcsMvPTXrby0LRTMYGmZV6iDF9ULdJqTOnyThUvabxbtu/O
nEHpsxPABBx6Op/mXmtJcP36mhneCQbEth6t4CqAVKAR9CbN0dVnPu6ffQLlBtUpBtKRXbofpAOK
5E+DfS5btwt74xtNfOrBdT4Yai1EkIsq7XrYEDn+TlFkhtjmQYNcWK01ZxoNjd9UVSlA9nU6W5nO
cJFWPFWTju7xF0BD/ubpO7WcEUNZ6hjSnmNude/Z3K6asmxOZYHWtDofep4cAdgnCjrpRzGdbrAt
B2jgJRW6hBWE66HzoND/sAL/F7HAZha2yAR98AJOp8+G9Lt7AYpq1u6A/VZen+1lYfz4oJjq31Uk
6EEQPCh7AGbkD4m6SYP6sgXOGwNCg7V4rQK7pk9B0ZRYHs+tJPuVaF4I3fEGCymawPZXMduYS4hW
6m+5Lx4lw5cdjB9o7705ku6gvjSa50XUV/p6U0GqpIFSSVAvFg8T3VQY/vcJ4Z7oIW+4fn/jKhro
OV9wWwodFEti45ZEnXmrbc7ZTYjJpHCsuZoka+O1aCkrMjlz8lM2PMELsrMC0GWlDr1OTeMxk+se
zSqy/1pbn2n3A7ug3w0Q6qC8+WwL67vYgMxnW15DcC+chDXmn4bTtznkwuTTRGbBysKUR7Yrmhpu
LqstjMAmN2zy80ZiUOkpikYesZzESd8TNhw58Q6c9FEZt6Jikhs/p32zEbA3OvicAJ+CjgMxtX/f
cE3gI5bARFIi4isEo4lKLck6tmWj/eDI6863Jvnoo69JfkhxgfMDbjA3BHKQj3P2z3DfNc3p4JWm
wusk7hvjG3+FH7NbK0MHAqC6eacSZH9AJt8ApGqJ2OCkfFQN8YX+aStLiSgK9gKdnXs1hOrdK/m4
iNlOaiM2hwhHzubl+unq61dfBxwGpovJUlDVXXtr0HiK8hZENmkGhMkSaBcdzWVevWBC7ncVqLPV
eP56U95ExdkBFMCrv1N04Cn7L5jskj8UdEncEpVqV5QGGSuKRTtrh2f5tWdUETuLnKUHO0k6YeR8
7TyC82/Dnfh33JUSCEl5bfOL5/o26AyCkpoweOZ3skxFnMbRUcvFavZX9DPoAAVcyU6Jl4zYeib/
j9t3gy5YpQJUvOp4905v1pmUQ15O5pdeHTd3duwJYw77qqVZKItga3GAda+IKYg6mWquMDVNd+/3
JlAschO7cd6M0iZLZthAGYbYQCeFoPsWtx6IjETczkz6T07HfdkIvHeOtkoidgLneNuLGHFHcUJ6
DOVK04CG5ZZ+fIIRUbhexMqyBL68Rp6IIIvU1NHrOAhqau9DZS3TxcMRkiRDgPmsh9MfcHW1cYre
YuReG+Cb8reKvO7gZf2Dn2jg7twFf/qyZeOCiCFIDupKj+cDUipoKvwrmJJrYDMz+tlwKMF/WNh0
osDfuhYjXVJF1txG1Wrwg99hx4n0EdGQKA1AAlX1wxa6uay/MoMGUkdQPjaIOsj5xbbf9NDcMuQH
Hb3ZeTQM8OUVQRtqhjy9iv9g5xpVNQGD+aAe/qOtjSp6Usv412hzSge6DpqTmmdEnrviKb4m/i4d
hWJz4uVdbGjEmxOkS8ixCWqcowUASODhIIDXRE4Y9H/fy06naOwNVXPDRac2mOgOCLW6TalR1n78
bT8o+HpEXQ7bckYqI8CAwOK8brsFv1qwiDeRGZ26v3a7dCw0aMyg4fQfVLl3Zf/vdOYp5ZGra6RB
CR+sj8gfLI1GchUESJFbgq6qBRGW2tibklcawtz7mS9MD3TRHOrDkU3heODE2LCoL3S64HKDog4/
w5ffK9BVX0wLkW2T+3zgG6nfXAOI5N/ZBStMYSZaXkdgNF3fgkmfwgdP3tT0T6bPVurb+gYuchKr
gI1KsxXIBf7QYGlb4LjskTY735vZBoDOzO+TikoAPme4I8hWkradhYFE1nI2vByD8SkO+z26ZSzw
L2HLfxEQWvHZGZHy2UgfvZMMPsmft3mgnFMn9y5Z8/+VkNvS4eDdjR/EdEBW8ASFY1Fog7+MjxLk
TWznYvV0wbCkNAjYA8PeFBnE2wK0Gamk5eUM2n/7D59RyA6kSTj8ReO+VSq+JhYsAWBp1KP0oDcc
cb7opyd62ALHyv5l5ckQQ71khYyYJ9kpH8fXsbRu3yby4IkvyTwr4DCE2PTKeLzt59nibhonBvvr
JuXnPQTpjpaQAFg+49D7IqpfI+qnY4pe+Bj+ZOfTzkxw4QMNOmyd7j9MhH6W4ZsbCdrZPCjUe0n4
idjj4vlxi49GoEkGF/pluqvZFvJHVG8Q4qKunOIXUnwEJ3nHEMiy6HInj9EuHbr4+aCuBcuBe60L
b3pAnzCJOA5jXbV0lBMAO1uOHfq0FGxnIQa07TTjpU6khgXqh9FqLRbxUxQ80wLYrGkLwIUX25ki
GoaonZa1y/vgVBIAKVFWXa3p95N9TSlikW2xINxdXh+aVvdsD6y0mTLYjuTr3Uo5ekm0WkEjQlwA
9qYegJKL1m9F4y/o78PWqPLKHPyqtAFxy+gCOa/G/hW8mtX1RFBgFtyQ8VE/KGWQ3t2KEbJo7mFm
P8ADL6QAI4U/l5B7ujXPBge8+vozhj8m54EU5vAaUvdNzUTKpEjcYUVl4i+NNqCQGozMfmiiIwZD
gNuQ3XrpYhfr1JGj1HJbvpjP7LbzY3/7MLNJsIjikdpwmnBVnn17vrLxPjJBCewYrigCtlfFHS3d
qAFJaohNoRjL4ZIRZvvzFA9eTom7P5SPNWT8KD4BDpNIgvVymSPkFGur2f9Sq8frCgJgIGLNOW0T
+puLb3/y/EeQWM7kg2UVHZXw0OhXDKAtiLOJGhJ2Mi4oq2K0atnt7jqcjVUpbYhfqxcsThOEkmeJ
v0HSeVggRiZy7g0tpIGB30WQghlO6oUCAs6keSdYulY3Idt6kI3ziH5BPPB1VojYBkDrq0f22r/D
dMkvSWs6RmBfxUKs9iGui1d7FgfSScanQiJe3AcB0myorUxQebKZSzmyjQihoU8E0WIQYLzKFM8h
0A/q9nB2rJWTmqYQGohpKOCXqa7/JzPv8HZKTLNn5jWCEk83oiWSwNL2jT3LB2ml9BxBPG88r7bE
6xBDMG5QrO/cBqj1GHBtlSX6/9AaoDCVSE+ciAX3DIcUs74YukzwCyxQf5nK4mv6DcL+bv5BsDI/
/9QFJvYFGEfbpCV2chHl65P3ZlHK+oMpiQzFZq1oJhEO9nv511Dv84kxdcEtb8Q8vLP+plDIS75d
sTMz6Awoo0Jfp6HeX6DjT8ML87jD893kTl/z7dqv5QKhhvCUSGKu6kUVcPI1b5qdda1PBrBUgfeS
opK/A83Pdgi2PRGIlBRORPCAhLcXbosGdqSo1g8m/LkRrh7ii8Z5AsfzJjd1wSkkH++XNo+0EwFX
GF/prJBhm82HJ2kJMG3gDqjrVAk1pZa/G+dBgcHCUMWgW9cW8J6dHl2mKzOfUFX7GNu2WXbyDjFH
xwpWNy07hLU9t7VpyTGgq8/8dHs3zOz73ItNgHSE0dCukz+7ctQIfeJtHEWaif1VT1wizL0VzdGg
K2VBOsKvJU4PFwkbAQo7DWhsB0tRuv5rzdjxI6GZmgv+Kr09U5KmSoIOw2bOM8yigySHxYlYqxBf
BGfZxGXe///3I3nYx3IUfwnumeB1cn7SmfC64bQwrLLqhDknA0DHxgX/cmKylzXY9ULnqnjxhWqu
RqM1uM5DZ2bLlRZPh0EdreaxMdVa7kYQdRas5m67CieRM5jYYfA9TmWY/pU14guBX5lV7ThPPLtx
OqbVf1MEG7eNRcOjZ4k5a0aeZ43l9dtIxCum1W3ZpiwlOMKdd6NVOh4EtFY+m3Rztq1Aburrge+l
7+SrERHOqN9NLkGDaOlyqwhd7ILaw1BHTkVVIljsXKesqJxRqBwOeQhGGk05iWgzO2GRlEulgDNx
CxjeK1KTRKwbUHemDpiwvFn51ySr3trQDbm9I//sGhovaCnIfuuqWg51ZeWcxxbWhG6S48GuToT/
/81fzNSrYsLV9oQqAubok/gSLj2BqPb35uzeyfyv9o0Jd30HJh7IdONcXcpGlsMaTObfpuTvjQBB
NH2y/r+JWfbqlRGufik6nTSA9GOVMNRDTFNoOU5Cw+J6j+sNX8anjm3I5CH72pj8XGgAJ5EWq1tc
xbrde+MytbguF1MnXKMBYpzIZFTeAnFDA4cMa/F+YDumpvyEq6HfB4stfVpIKgwM0DH5fYP83eiP
FKkgzBgd5rdF/wp9ShkrgPYes3p1LCqpkuvksBW+HSXhHnODn0whimQ4wPvx2jxZyfWmxTJkss3L
X+pDJS4E8SSDzuGTrempmrEGS6kYfKIvcOoVqQdIvM4Y9gol3xFLNZKbkFcGj82fWijrfblb0Cnu
S9qimOikbvSjhsRKPhptyrZHU4aIZtVXe6++JK4Q1JQJt7F35b78M5kgwVkoZWncf0Y94kXg25V0
WQ0w6G+yGvC3M9EQaw1wnjnpGXSyOQg66xHBa4V8JuYKBa7gU82f99/C0LraMVkVPNId6gd1/ivM
qDxcUbA157fsnbN/VPp8d+bFuJdPaUEvII0nYfkfvzIp1htPWzdSrJKPWNzFFq19q/ES0frhWHUM
uY/wIMb+wQ3hOZ7rCACV/wEL2t58tHfSbo1iG+8G6QgIuWAmgn5z8YswIk1LxwvzjAAOWcPNZkLr
fFRBb8p5ygI+ViW0M/ZBFnAXGQxoc70JY5g7HCM3S2IAzyRJUJjWTFzpOBfn/M0HkaGwyn5zVxEy
RHuzMb2qoTu51vZOrSvHoqc0FXFJ/4HBXNLSe0t5Pcj+Krwf6cy4r34kM2PFSFE7zE+x6RdNQlEY
qxzLXBEpu/48LxJDLNM5TAJYkOPR4sohSroVz1wMNl9IuxXmegB5pq9vm5wIV9/EuJCPzSqpSblx
RcqhnedrsUA5BvE1pVXek9UzjT5JKXjTyUNVr4yNEbmivvQGbSTv5bNFHDSSNyftoVAKh9sk7HEn
ewFR2WmzRy6/zjk85HrcPtLPg2Dv0amZKphZEgKbRsLfPsUEIYcPz8acMZpcEgjK0MBRr00LfuXy
bssd8gSWkkluxsVvR5R+j4Y22V8MXaa4bN+f1Bo6DnaSsQgsdpXExXpOOW9i15L5MujR39gsW5az
sHh4dZ/OTJ4Fv7RY81vviRvOAvdBZ4t+xUClNWxrSGaVC9SlU/d4zZH3+5n1le6vtr3MzIoOFHEf
4uXKq51HmwZMBhg2SAgZMbtCM881ppTx5YsOIJKBcZX0X5MM5RHiJMEco1Kj3uyXXbGZ5llvSES0
fCgXDZSuIQrL7kXjY07z5M/Y2dz+Otsb6ri34ypGnL1HwBwOFKgz2uZDPi3KefSqBaYoKMLqkInO
2Qkp+PjKWATnDxiKBg1bc3hRzeYK6cswO1R6nDJ3KLomyOYJhCpGEx7gfj57UCx/MIWoQBEyy4oB
duJ0+OO9L67ZZBmrSyjuik10FjZBjK/SGdHIwcFNh72BxgWjDvomaytogty/hMmI8/0G3cGNxI2N
0iJntjiRss9dcUdNNflkxVUI+jDzLCSadhQbXU188eToy0LcbA+GWwlB15bSwbqCB5JbGZymkO2K
/NB12bfkVDrV2ds/r0RHT+IDkst/7PdQrLbA3dYhVAzrlN27WtpIVuxaLu3B6TMDxJr3TeRNJs1o
3gNqHYrX/M7ATxB4GYbhJOTwodyNYnm8c+QxlRWEzW0kN1C7ljsxlrW4ggfSDpqcGwsfYenUQi1G
sQsbizwlR6dffoewR+nOPnbyzioStjfj5CnYUbUc29T24i3g+rM0CJGxTKD/qjpoAou0kMclFa1f
nXaLwWtJ5aezn8g1Selb4rVXxyKYXA4rGbALVyYkZdeAvgNufiEewDQpzfZLhhe1GFBekzbL5FZm
Av2d3b/wyk9NGD64HGLBi34axdUVm8kvnGyeQ+4qQXt76lFKhIQ2h+4eQVYoZ0FWnFKwPOv/n3ty
EX6TI+gyHHyiFmA+BqhwXDBPjafG7guyYxli4o0IvoP0c7P8OIyyfMfD2hJu7bfdY2c6pQBBhcNw
rx94mHkYHZ31F4w/5eKzkcKXoyIlSPPnExX4I98szP9wobWnD4dvCRPMFtsCrIdEUzlwW+DCp9lr
kMsq4FeKqKe92NJk4Nv+2N+WPZyDE5bhGtaC71mx06IOXI7tQ+4HeWIZsx+xB7D9JDl4DE96tLQ8
aDsQ3Y4FGkOsnkFqinTi4jRX/z4kbcJwUD55Po89KzAQB1zLe4sl5UT1ejPbq2ehJX0QceyVeBsM
ni1aPqSQpQy50RSKsfcJs1KPezjuLEKSdntkkg29VKVO+i39p1R6ueRMLuslFmQeiOk2ZaM6Pmbu
B8plVdYFiUut/wjg/i2KJgkPWV5nNpJxIqg1lF7p1d+EmKKLu1Elkwz21kao04bYP7938skhMKpe
HLbVRKf+/VgksDjwOyqe6ydhgfdymjaWHY/J8wuHiP2O60Ks3fOooc6Ina11xheyMmg0MsCUn/pR
eCxnL/2NxkDLAozm7jJCjvPHNVJQyxNJF14x7sy5ww5MXDzWiV206mLHXLCMfYqVPr5ugXAeuNTK
muLecr/+/HP8yQYDKibXbEl+uxqgIbJYjabIIi3nPasvM1XUL0mwSEYDjrqaqb0RiX1a3fkmqea3
ctHhkypqXxUOE4IYlgsCMmBUH4T/Po6mPFw/hfj77wxQizoLiL7qt0oiZA9Zml/P+cbnugfX0/73
gCLrEJm/E02V93uuX8m50KBA7DccIq0YLsKPIZl+XYgdqNaRExHy0Rd1/9fKnOsW5X/ob+cpFH7Z
SbtFuYFN0kjmN1UGGeyQlrZnSST4ljr9bfaXbxVqPVK3RPpgDP0GQxxT9pBxifsgf6nyFzZXQpqj
1F78CD1HBkmydahcDHdczRSJ56VndSRZD3QygWbQR5rxq0CKUT8Gqmrb2DPMJXg90dQ9/Xf+Yk8V
rLMFU160tSMejJVCQJwKbZx2uHFNmqirG6GkXNQuGle0PmDC/TCw2pdXO7F1wSPee96ecqy7SBld
YLpwqEDqyBU2ugLRHbeAyK/4EObwJv1LIvEjOUoux1JONemcLxsooW8WfepOYqL1DNi4iCzhjhgk
JlwbvKI6s++JwjsSV0kiSgLS/1fPsB5gWltDf2U3+dsqv437BkGfqYNl2fJKFhEDpb42hWIbTXkQ
a3a/MxBQ7Nof5KbEZb+aK8plBgw6qg/pSBLUJflLyeOcsePbh0nGEF+EiAXPcK72GYXAWSlDHLgT
Sjm9XLGTQSO/NEGlV2fyjYlOVmkaV87rqIHoDo7zgMbPbt1M5JqRhUvw4zNw79rDee0XskNo2RU7
XiFKTeEtKd9cykcxZ3wI3HfORZ5nonSm8jv1mN4ap92LE0zpjVSyamf7eXMa7osqgpilr22SxurL
2bFC0G9naG18QOkL6UQ3WuQ4Vw0XCdWFdj8lyPGrbXT6+96Kryfd9EX2CuFI2qVASrvHqMtGMV6x
AeM0el0yBHjgTQCLJbGE3ky2c0byKgG7ZQsb4Rexll7AtfFBjAtgpkViWS9FEArCkLzOJmTAEAwi
ZB1o9FlDjw2S5xaGNLmKyQAp0W+qFKTWj2rwdkcyM/IGJTERAtE4LWcq/svBEO9rWJroAnCNtJXQ
sTXLN/4cFuWgIzvZODLv7pLd+z5AgU0KJO31vNyosWF/+sPpGwM4kcT6KO+LEFmDzrNyxFVob7nI
s5ENuFsUmLfaauF+ar/odA/LsWOpjZ3yBSISla2Qmwc3oYT03rxLCRsnGlK8e/5mP7oBGKfuA6sL
Ul9rG3FflUio0e3wDEMLw1TCXmN/ifzRLWXmbN0Av7Q8Kc0UKN4YF9xAsmoFXPvK/mEwpujqc03q
AkEG0AoBGVBAJ8TGj5AzHYiFx9oi3HnCqZ2R5iUJqpzzGvKrKzmttnly2D1ZDerMQbRFDNW45r9c
faw8KhJP/K7mSpDNqQQ2paZJwvQm0gQfRnCXpfjC4zKphsQOKgPo1CDb5ySSvlOLX8ep00QrdLKA
EWCNggv0PNvnNoq9+tPUa+EZ65Axga2XNIrMytC+yX/eRkzehGOI8glAqHxk1x0=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/align_add_dsp48e1_sgl.vhd | 2 | 30644 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HUEQaFFrKbW0yLft2uZ45DIfGr94Szh93caLRvNA8E1f1qoJ1bJQIGMwpwPzNJiNAu7ddW0aM37G
9XjJB0JmpA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jdg51KTKDJeHo+/1UUS367o8WFQ+69Iqdtb7eo+5EcoWMc7RbN7FaK4oRy2Ty4Iq2muG8eaxoS1O
CxfVhdQju1i/Eu87FPtIYLTNY3NtvzjsDb6ZQCSaSkuoPkShG4+CtlOXucd96ciyOjc6xTUZQ1V5
ys15vP6F6D8V9DZVUxA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mTr+1Ttgn5nwhCJD3SJyY++enMBx7ZdIojOikZ7C7LUPdU2ClWtkVZlfDnLczchzXOeQ9cRLu7sh
/uGKa2pMauoQrdyyr3b+/MHeyFf8PNUonmMLNoFGMHy6DPgqvH9VFjkacLNsuNlFuy00qiFAgAEE
yNYYb44XMFUshEqEp7286ah3opdccFH4hXOFIS41+ENt1gD/rRI/dDT5ONcVXNxLpGvnlKjf9kCk
2lm4wq0f+XugfN0u4pzjLBGw5uwToU/qWRG4926TTjvQW2nuieryDFy4Rj5Rg+xi1lwEQ1ruKA2o
k1fSm/vIcAIIYJfMjav4iq879/boXVpj+8hl7g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BXaFIlG/9CD1PawSX/qRLAhkZQ67b/VDnPQYUk7274Iyw4ecrR1Fu7yJN5incgJr7uxzV3xQX7bo
3Cve1cX0roVVYTHZGBx/sfU6dAi4oIwPdIVVVyUSNYgeF7vYxp3qFPHbYRTWVUXXWbYx1CYRKg4s
Fopeqnr+4SuQdtbitAA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EAm+V0t/7F+663uff7mvscW+VQq5orQwR8iM3QmY0zvsK06WalEIZUMcUol4O/4Etrs+XtV3zsC5
yKe5+CiaJQ/veAwmVQ7ewBcikGP0x5zAv2mI2tsOsSwZRpJMV1+7I6Qn7MEVMadqH04vhQJRjHxs
j0iciweSUuhZGmQ3Me8q8+p7Fh1MjZuhU/Q/z95BjRbYePmY4zl2prnMZsuUIrh5Wmf/egAjyTjh
ZOI8Db+zRtE7zeMpgF4RFoargI1KwSodXpovowWfCVhJFqCeYHs9mgffhhdOdnaCVGJXw5+Acc2+
zujS7S11quP0AVBFq7Q+8Ni6iaK/5woy31O5jQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20944)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/shared/align_add_dsp48e1_sgl.vhd | 2 | 30644 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HUEQaFFrKbW0yLft2uZ45DIfGr94Szh93caLRvNA8E1f1qoJ1bJQIGMwpwPzNJiNAu7ddW0aM37G
9XjJB0JmpA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jdg51KTKDJeHo+/1UUS367o8WFQ+69Iqdtb7eo+5EcoWMc7RbN7FaK4oRy2Ty4Iq2muG8eaxoS1O
CxfVhdQju1i/Eu87FPtIYLTNY3NtvzjsDb6ZQCSaSkuoPkShG4+CtlOXucd96ciyOjc6xTUZQ1V5
ys15vP6F6D8V9DZVUxA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mTr+1Ttgn5nwhCJD3SJyY++enMBx7ZdIojOikZ7C7LUPdU2ClWtkVZlfDnLczchzXOeQ9cRLu7sh
/uGKa2pMauoQrdyyr3b+/MHeyFf8PNUonmMLNoFGMHy6DPgqvH9VFjkacLNsuNlFuy00qiFAgAEE
yNYYb44XMFUshEqEp7286ah3opdccFH4hXOFIS41+ENt1gD/rRI/dDT5ONcVXNxLpGvnlKjf9kCk
2lm4wq0f+XugfN0u4pzjLBGw5uwToU/qWRG4926TTjvQW2nuieryDFy4Rj5Rg+xi1lwEQ1ruKA2o
k1fSm/vIcAIIYJfMjav4iq879/boXVpj+8hl7g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BXaFIlG/9CD1PawSX/qRLAhkZQ67b/VDnPQYUk7274Iyw4ecrR1Fu7yJN5incgJr7uxzV3xQX7bo
3Cve1cX0roVVYTHZGBx/sfU6dAi4oIwPdIVVVyUSNYgeF7vYxp3qFPHbYRTWVUXXWbYx1CYRKg4s
Fopeqnr+4SuQdtbitAA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EAm+V0t/7F+663uff7mvscW+VQq5orQwR8iM3QmY0zvsK06WalEIZUMcUol4O/4Etrs+XtV3zsC5
yKe5+CiaJQ/veAwmVQ7ewBcikGP0x5zAv2mI2tsOsSwZRpJMV1+7I6Qn7MEVMadqH04vhQJRjHxs
j0iciweSUuhZGmQ3Me8q8+p7Fh1MjZuhU/Q/z95BjRbYePmY4zl2prnMZsuUIrh5Wmf/egAjyTjh
ZOI8Db+zRtE7zeMpgF4RFoargI1KwSodXpovowWfCVhJFqCeYHs9mgffhhdOdnaCVGJXw5+Acc2+
zujS7S11quP0AVBFq7Q+8Ni6iaK/5woy31O5jQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20944)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_funcsim.vhdl | 1 | 23407728 | null | gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd | 2 | 86668 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j60cUBDIK7Kn6SyUcULLkFgB2d/vI2cnYgKOEFzVgZUWa8LsPzMa7QDY2hC1ddDex83es7FWp4P6
Rxys0v0OTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Q0gpn2+7QATsb+W+W1TnIrVtu0HtTibTTmubUeDF7/phm3Qw7Epfc4S8Lqb+lPfUb/9bviAUzZDO
1xQMo3zhFh4YYG5bDFyrtzRz58pXKvnqmJSJ/UTT3mS/lUlUMHGLeXE/baHGNvSKfQ70+heMFa4z
sYS69K2j2miaxHbb9dQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dP1BuRrlmGQxP7WaBSId30A70sAhjyj9GlKHvc4xg7PWiX9Trbdopfqj9azzPyCnW32m5z6oXNMp
0wvABljTFwBy8qs8UYw0um4Uj/QmZTe/FiFST95WdJc1eynEEBcv+qXHssk8GmCk4N9yc1SNqq3O
ft3mXul43Sx550y7It2XPIsNuFqUEUW2oklRtHJnY2YeE1c1iLCOMKck6b2jpzvAD+pwVNT9Z9fQ
3siwqDfr7EuozUs6+VKkU4o69SHp6YOHaYaH30CvvVbBz0Q1ESURVzOmsTjk1GNfSuS93udb0rNK
gNK54DFQqsNma7eIvGzOeIjggKf4VJFd4PwDLA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1atFfsKb7hWXXc+CLb5Jnzojbcnn0SoyHTF2+XFf3ULokiBwDdnUKVSAQx5bwYPOsY0tQtkidNHR
Iag0us5tTFH2c9LAH5Gc22aAC1uhmsio19O7f+oQbyOmN0fFn+sGZrdb82SJMbfqaAxN0cnT8uhV
lOZVeBUfEZnwJITcF6U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R3P6E6Lv+tW9EddQ5JamDhuzsGRrgeifMYfgoWoFlg4x6COj2uBHrOr99DjcAuLy/YnRCJ3fsgoA
QIEy6n2GmHHjYHwsqNko5cOHOwsRYZv+jqB58mjAlSimZMMtrvy4xgEB8m87uhEDy4xtGbzajVvl
fMhHN1KcboB5JhrH5LmtE53lcmrBMhTMHax/ZIH+UBIKHAHm9qmlOuf5Flh13USPSd6YEPcidgSI
WKGsmiS2DFrpSJJdkkqG4UxaVvRp89M3jMCEOLNQTPe0GzbmPgHLDGzQnfSb0h3qpyofIgUOknEc
DNjaxz18qDJH6AUtVd2CwBerYU3Db881/LNP6A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62416)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/dds/dds_compiler_v6_0/hdl/dither_wrap.vhd | 6 | 23856 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CqLUd3k+c1GTg5pDkI/Fs/VCZ9U3HYcJ41OchR1GWsww7j1WZyhkrSiZF8NksynkffaXGKnRBKOH
Oxdzk/r6Og==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S2cAcf3a1Ky+zSloHc1JY7D5jP1m4chpe9OUuguG/NddeoRHRivfWoI6XSZoGGToGHs+dSSLcVNs
n48GQUQwEGARUF56Pr9igIQKmsQvDfdGbiFhTLMzk05UN/IfqqyzcIHlV53GTEY3Pgx7T9JZZcdg
O5zT5P/vfMMHsw1QvUg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzYH0T5hZ78/Ff9UTj8d+mXQx9QlgZbeG+m54LLgBimSgJzJsDtQsBkCBMnldZ5v6VzEWdGgEY9w
Qiq+4hIhh1QRRa84n46G/VDpJbLcLHWG+NyBszZ52NzeiNDWN4qusqMpmtL8RuJMdE7EKOFG0XTa
RXj8O9tItgFW7nsHTarN3HCTEudaszk4suJ7khem8gRbeieqPwkOwp2vMYSQ+eDqTCn5uuUG3g16
9rUyugiE0fpyisQ20yUAsyVssl+IbGR+jjnPfp3Ppz+DQvPWipFOJ7lGEbUGodP03XsgBgbn0CaX
lGDvIPbYGGSnD9kk2bv8fifhQNS1uXPs3YVzYQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FJdQjICXNJ2uX6wHjZU52k5BxqSMFdBAVhB2ICmWsf3D9YTCZoI/FA8PpwKGszpEMDtkfy5pA+Ov
NPCg8a7Z6fFe2EALV2JS0YkL3h632GdINIbduX7MbNAxrLadsPucCmtSzJ1VXhIqmPbeR8MHMHv8
snHV+d+hHhOt+FocBbA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PWHIXrkF7uf2D1avIGYt3rZxh/4+fWYLIHPXLKrpDvtsqtl81cPmsIe2lC8wUW2p2cqibKQIJSDz
44biAjrFyXfgo6hDRyAga5i7uY1cdJpvvTHcx+w9bbNiwnG/FpsrON3iXDmt4ZpCxXbanWRr9U4M
kgRxREBv5MRCVbAKBNxdyMsD0+4O/hkJQvL4Szvx0bjq6x4SGj1O8ZxMDhVRQN4OjD9RXc7x3eBC
VvuC7I7+F7wJZ5PZhWrPbOaYyNBvi3dg19orxdO98BRQ5ihuP63LUyBd5CINMMNyS+Rw45uKhMFi
qZwpf4o3UvjcG4i7WyNFlRAQbDf94yJI4LPbxw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15920)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/so_addr_gen.vhd | 2 | 32156 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
k+RVyWaBHGM8CEDkyFrj8peigpFWFsgI3sIfnFCXwweNisVjVZyDHfnspG+G3EU28R4+qr8gP+MA
yB7w2Nt9zA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WF2/uLZJevvrjSZbrJNUGYZ7b4+Tgkbrvo6TD85RGGRUNKmxg0/2xTI8hJJi/HqpkH+Xj+sF1DNp
YJWg45rSMPgnAeOatmXs+y3r3V1Q34hsjFUvp7nGzWlNcjhFAsrs0ZubqM+JlXE3YmBGyMoivspg
xsLQZvcJ67vuprgKhYI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WIkWpK2FUpR4WWuPL8+ZgNgjiCM60Fzo/BW10XBJfFTDQjt4m2MlZb9CzKMX4YLSR6oli7lU09d3
SAbeEV6S2kIS6wNRJfpYCayG2g+PMv7Dwg5ttMG5VKqOROrb36Nfw4SYtnBeSLUNMMAqV0GkZ+j/
1yTT37Gjj5N7Fkeg1rg4qFfughNip0aGbvJ4IbNKlEs2JnJYll+DVjpKf/MgdT1AjNXdWV/0k5Yi
Y4B5ZcCEs8tmafHt4mMiZZJ+AvSV0VLVcfDh5J4pqFICrH/jYkzDUErEnhbRYNommF7ormNo1E51
SSOwEzoyTp3Ua6p8Re4xTN3DWN/uS7FvnhyxTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yyyljqQ2EwYiWKRKIVM7d+sRjWrJ0xEG258M7Fr4hYQmRisp4xDSxbniLiM5514DJ3XbAA45jpEX
cLg5AeHtJ85mGAJG+N3+WnG02ovbiWbNqhlO5hzsoKOCart3COL7Xo226nIEJfZOh/bXEEC1tJeS
o9AyNDniHCqSInNrluM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SMPmSuEM6E9hxZkQjn9zDXN3Y1JP/fqjDICp+Azj5zIBucN2EPW+wsWZAxmwhIGrn+0sjQWd8Yzt
4NCb2OMGmn0QIJUo0Uy6BJOV7qZx3Us0NgU3Cosx6t+eOP3EniRcPczFni6iMncy3W4WYkUgGL+2
iSpEnJKjkfSmhMuPiTQuNPIKqKAr6zHF5ID1lUZ0BXtmytNX9Bd2WIo0h7+4ANW1rsvWd5MKvT2r
J93cnRIBwOYtcLH+4jBZvBLOftgLvHhEqmvXSHUbWwPxtC0s+uT+d7eQStbJLvynbIaj6k26ef85
Lk9JEVpRdLMPgPGC/V+vxDtPMwVq+yA1mBsr5w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22064)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv.vhd | 12 | 11081 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UhVeas6K+zJkxzAJ/XH1tiqQR+XspsoQJ3dEE8+NZ2li/evybvRR2CFFWlkn8VHqMN9rvRtldUOC
AgZ6PTRk7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rz7+zXWBctYQ/50cGVEG3Toj4CInTVWZ0c4T7rfFyHGo1fa/YgddoAqsvH7qyYwDZcrYpT5hpEmn
cFc1YeIlYloc1EaeTJDtWuPiIlcMz2kYk3MBHTzU5MIkyzIkATn2/OxceutmubtSsvRoimZqpVhu
4rHEfrUXr4U61RD2nsM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X3azlMHeEDySdNGo+NHRLVhUQeoDEhghKhi+IvY2MUX5S5C0HbXWISGVnlCl1zEfsB50hXL1G4OR
kOAPftYogI9OPmHAVfLAUKfW3/AebOq0Oykvg4+sU0VD1VoueDHkcct4AijoaqFAjdFhbDGl4pQW
DdiL7zN1Q9uXwVQ6Aarj8w0xF1fxyiYw/e32FnfCVuw5GVRfdO2e4Mabu84yq8avdSobdF0oBfoj
/oaBxlsYxSoVPNb4cRBubTrF90rAt7/lJgIHxnoLP+3hN36gpW9tkiytunSogmKo44iOBbKcrhIg
h2SQQ87sKJeTDGxyazeT+8OJho6YuMPNaQHE6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mbIlGrXZMmPot30Jibhwb5d34uJ2kRrtfyMuP9COPO8/wvMqVlHAjEAFm9kAbyNt+P8a8ltkrgIe
noTjfdkgT/jV4xOK8Loi32GdoUncVm/i8mHnDk1HwVDVW4H6PgVoSZZnrIGUYTvd4KkcOFQX/TET
wouLW2mJLw5aX4PYJF8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TIXqnUNEqWbewR1mPB8N19YGlayBdy6oisZqLFfYkhOvNm8MeNH+aT/Z/okD6Sp1ZlLyCqNZtPj3
uWjaaMopcBv3dk8ixDHEmCttVJVrP2ApTlw2GLb1ZMtfCxABRbJPoBtZH1/84uFe6qY/4MD8eKuL
Fa3ZdP8KVYSDqILI+DH8OjyzIboN7OOExrlN08BcCsADH9MiFKnBH/FdCd7IEKuMiGEb3nNqHxCE
6yuvfo2DzFmniZXdPqWuHhYF4mhlrdggna5jpJMAryPY/Z/TAVz/dbVQjoZ6bsFSUDgLnTFwG3cJ
osaBYwPy+y+wR3KCwSlWSJGiq8VTTAnzFVzYng==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6464)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv.vhd | 12 | 11081 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UhVeas6K+zJkxzAJ/XH1tiqQR+XspsoQJ3dEE8+NZ2li/evybvRR2CFFWlkn8VHqMN9rvRtldUOC
AgZ6PTRk7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rz7+zXWBctYQ/50cGVEG3Toj4CInTVWZ0c4T7rfFyHGo1fa/YgddoAqsvH7qyYwDZcrYpT5hpEmn
cFc1YeIlYloc1EaeTJDtWuPiIlcMz2kYk3MBHTzU5MIkyzIkATn2/OxceutmubtSsvRoimZqpVhu
4rHEfrUXr4U61RD2nsM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X3azlMHeEDySdNGo+NHRLVhUQeoDEhghKhi+IvY2MUX5S5C0HbXWISGVnlCl1zEfsB50hXL1G4OR
kOAPftYogI9OPmHAVfLAUKfW3/AebOq0Oykvg4+sU0VD1VoueDHkcct4AijoaqFAjdFhbDGl4pQW
DdiL7zN1Q9uXwVQ6Aarj8w0xF1fxyiYw/e32FnfCVuw5GVRfdO2e4Mabu84yq8avdSobdF0oBfoj
/oaBxlsYxSoVPNb4cRBubTrF90rAt7/lJgIHxnoLP+3hN36gpW9tkiytunSogmKo44iOBbKcrhIg
h2SQQ87sKJeTDGxyazeT+8OJho6YuMPNaQHE6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mbIlGrXZMmPot30Jibhwb5d34uJ2kRrtfyMuP9COPO8/wvMqVlHAjEAFm9kAbyNt+P8a8ltkrgIe
noTjfdkgT/jV4xOK8Loi32GdoUncVm/i8mHnDk1HwVDVW4H6PgVoSZZnrIGUYTvd4KkcOFQX/TET
wouLW2mJLw5aX4PYJF8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TIXqnUNEqWbewR1mPB8N19YGlayBdy6oisZqLFfYkhOvNm8MeNH+aT/Z/okD6Sp1ZlLyCqNZtPj3
uWjaaMopcBv3dk8ixDHEmCttVJVrP2ApTlw2GLb1ZMtfCxABRbJPoBtZH1/84uFe6qY/4MD8eKuL
Fa3ZdP8KVYSDqILI+DH8OjyzIboN7OOExrlN08BcCsADH9MiFKnBH/FdCd7IEKuMiGEb3nNqHxCE
6yuvfo2DzFmniZXdPqWuHhYF4mhlrdggna5jpJMAryPY/Z/TAVz/dbVQjoZ6bsFSUDgLnTFwG3cJ
osaBYwPy+y+wR3KCwSlWSJGiq8VTTAnzFVzYng==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6464)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_mul.vhd | 2 | 12896 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DtmjOyJ5RqjEDakuYm0MIXc3y8PEJ9EGFk/21PmXoWxYGMSx0+4YRxl/SsySj8WI/wUZMhVN+R2Z
5ku7cn2tGw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XGEzlsIMImCyH2Z0v1MZQqRzi4rXeKbQi9y5v2rqm03HCwCMFbHKEipfjnwIPOmmccMYmu+o7qIk
ltismMVuSe8SvS4XfEH0cCdyIUVhet9JkLP5i0euy6wnSRlMJfHw4opxxD0KisxGfiqJyXI2QCTP
Tx0jZQUsgitq9J2I+1U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cyd7WjfrmXDchMBhuo5CxtDYF/I+JrhkP9unJmkjW72yse1JPc7QyDtdL8snHySEbkfgJEb3hGi2
vD89CAxYiWW9PRTbZHSTqvP3aQaa9HMQM+6STkRMsWSw3eqR7yfg94aSgAJkiofBm7B/4/fjxe4p
5E9vOoN0KLvm1LI6o0TM6NeAHJPFhfr5Dq3E6ex3vJ3xphwZ6luGKoUjLVqK22nw7BTdPo0wO0hU
ODA/2VlfzJhQkCBT0FK50hpHwYUKx5p77Z2c1J4onmwW7YcfrzkLxRH8KXBoRfQddGbCgBn3/ikz
oHdZFLF8e4b3iUk/jYtIELkyJm8KubuyB2TY7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qv/859PRjancuddk+ezyyQd3dMbA0TNS35oNOND/1Y9Vk72+9SgTsFniQDvlEe97KLg6MiTAiE6j
uWfa/88hIhdRGw/vxAuRY9NEn17lBzMJx4oMNPuTdok6JZuK6HhZhuEAVSGbe3PlmS0t8e9U5EBT
w5+h1hnSl2hzOcV8hyQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kej6PtZJXfPiTifX+HFfi7BGFvBYrVcQImgj2VL1pXRJlqT9wwFMfyrZKwf7AwwAwcodgGOX8gz0
lVbkR95WfFL/VOoLKQ62rThR2Gh8klf4gPV/DPZ6OOfBcH3jtjuT/T/5xU2k+d+UuQ2ymndez5Es
/YfecW5Fu278vsDcYbbodgCW3zuGZ5sGUq24S62IGnmjW3RPNdaxrlIZmCe+u3XT/4Hr1Xc/TSNp
9XA/o4rIRS/0l68PXnDYnNnewVGpSb4fssfJ+ktNkyUy0dT/WajZ69yZMFVGsKTaovn+3EiCYdpD
zVcrgq9POZC5x9bKVfBUlTMsU7mvntjyFy/+rg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7808)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/fix_to_flt_conv/fix_to_flt_conv_exp.vhd | 3 | 19122 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VXpDA1MmGpOUxFnAibZU9WPms2lNhjbp32s5Nw+PXl5MbTEQp9AN0Eg4IIPn327Q4Tf2dxK9w/nB
PAAPev773w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ejf27HQKwS8Xaok/EigCd2uMhi9YZL0rGmEvAjATVGHbqNoWSxtbYYUEgVnlmBqUp9pZxYksMldh
4vRphm2vXgFzQtrdMqo6Eu8/zTRYGl9Ojp4r5i3vdoS33gDebIdiAhhhSWr7FEWmkznFNf6fYO2i
1493i/7AHND99khtqZU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Et73oN4cS9g8NTCGsMnglfm1UmKLE1ZhJxR0kv8IE/pd6ck41Ot7UWzo65IF/Uwe7wb/Gov1jNMX
08/zTQ4u0aknYCXcdDFDPYbOTAR5E01PpWWCnaqfihiZuhRAgBUqn/2yKMjxWt+RwS9+XPQ0zFQ4
rQBVqlIwZfNlambu7UHgwZmFs2rn+IkXSXQIXlXRKFMWycjWBzmoQkZRJRCuWx2BceaHIiGJr/qs
JpxTAcQmDz83ErMAIoZOmlsC1owiPHlWIoTP/3/e7z0HQxbE/Uur71FZSe2+L2/Dlbh/qBA5pDO3
ycN7x23+zLPii0yucjPzi0mqWH9Y3KfKvzsIdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1+WxjnWngzLtMiGZSO+Qihiv3Wt+JNFkxpym5ndwRSq+UtcHV2TpsHhP3KHt2RM6LwAGAhCeBEYq
3W6t+SH0FVv9dn/OOVGJtQskUZLYzQRCAZbReLcSg0AfwYX020u9WDyU/bsLGq6fNZbsgjxj46b/
DRURHUmVLCWYyGb/Yjg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rv9I4ycUO75mCoK3fTnAzzFMUOEXIOFXcBp79gUZ2McQmnz8e2FzPyUKgcy7o2YAk7Xbu1ZRDf1H
FsKAp5fEHzy+yNtCooxTwuYYN9TQHzCBw+LcH7lQb/wYHhH2obkCKPfbCVHhY7caMNUtR4EmQBNm
aumKSjaqFRFrrWtbDL0bByHFNGM2R7c+lSmlT+lvYKvfteVCX7kh3sPGPYNdhlG3vYfk3SiGfmXP
UcunORu19vm7d2Xp1HVjcdMW6uwtXEPPpIeWobg6W2OvmIGuzoP1ahCygGRk8EXdOlOpGRcau3np
Swgqm4ZJiMuZ/r5qWdQYWHdfvoBPB7R7jcLzZg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12416)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_dsp.vhd | 3 | 25692 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hD9RhqnvqitEdDN7FgX82KADji1MkoL73koQKOL4NFsVV2JimIcr6uB6GTH4AvZpLET4F05P0+Qk
myQOdGGNwQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dgFeIUtjL2cEznbA0V+97wiMXTGu2oZ9BaEBxuccjK9FViVENVvHIXk159EkE1yXv2YxIMUnHJWz
c+FUwnvuSdPvtrZ1QVSsyIfoWMm0+dSW+pqejU3lFE4jx7aiaYXqPUYP8aimi1m3sa2IXiERKjyO
n2UZdQhk6jBQovssUIU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jXqhjQT0nRa8RP8/KztNYXITaLqHTwU3wng+RS8Lt/9rMujGxB4jjWv77KlqUZdxnsFIoJ50HRBT
KlXlkUBRagT7RImmnYzrJMYfV1cmQqXJtVcQo2FXE8nVjeNG/CVOxpbFnvSGDqOaJdBy3uQZeQ2T
NIzaMsKH0tBl6tMuwaph6NgdDw4ZivN6q1e/hPDxBmcGDs92adw74noi3pidOVslVGjVurzD3saw
saDKT41YgVtaTjegcsQK9GeKTF2WiCeybB5XTpxiKeIfxF3wnjtvpjidxY26smAoyH3zy/FmL6gP
OWBfDGt240GdZNo0W/RSg7sg4nghFR/OGTY2LA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iz/1qjNm78b8MGJ5dbtwai/0H72tbp6OnC5cXJhX2nvrFOBjUgpoS3Mfx192/3j9mpiv/pg3d81Z
wbk7D/tMtcIietQPFO3dcDCjkfPW1gkb2OxO2AqQBcBVPBWMyxltxLagEBIhkQXLnvkBPeA03utp
8abNdSF0nXa9sOZirlQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q/8I8+lMqEwBPFSaPhsnJQYTpLpuLE/ShKf2aQmOKIqqo9bA7WNycVqkrZiVmwRxppRDFpfUzeMD
TigEW8ofjMgiWMzud09x4DzHuE0wg9sbMaHQUoyLvpsbUassOYmbQ9vAZ/sEZhBbmap7FqaWSlQP
92ZXgUo3akzjQ/UeQuzvFfNE9ehb2vZs2JWo0MsA+/3WUI5ASptGaO9oh9TFsauWuS3CZH7Gyg4c
L8KzWqYdrT+iFWRcG8fS2Ewp0Cse0O9aAT5RTJdaSfmuzIEHO1y1U0uw/ZwSJ35zaX/Fq9Oqg8my
lOFFFIUZHXJEn2vPquO4RJ+bzBf9+qM6uA9i0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17280)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/dds/demo_tb/tb_dds.vhd | 3 | 8717 | --------------------------------------------------------------------------------
-- (c) Copyright 2010 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Description:
-- This is an example testbench for the DDS Compiler IP core.
-- The testbench has been generated by Vivado to accompany the IP core
-- instance you have generated.
--
-- This testbench is for demonstration purposes only. See note below for
-- instructions on how to use it with your core.
--
-- See the DDS Compiler product guide for further information
-- about this core.
--
--------------------------------------------------------------------------------
-- Using this testbench
--
-- This testbench instantiates your generated DDS Compiler core
-- instance named "dds".
--
-- Use Vivado's Run Simulation flow to run this testbench. See the Vivado
-- documentation for details.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity tb_dds is
end tb_dds;
architecture tb of tb_dds is
-----------------------------------------------------------------------
-- Timing constants
-----------------------------------------------------------------------
constant CLOCK_PERIOD : time := 100 ns;
constant T_HOLD : time := 10 ns;
constant T_STROBE : time := CLOCK_PERIOD - (1 ns);
-----------------------------------------------------------------------
-- DUT input signals
-----------------------------------------------------------------------
-- General inputs
signal aclk : std_logic := '0'; -- the master clock
-- Phase slave channel signals
signal s_axis_phase_tvalid : std_logic := '0'; -- payload is valid
signal s_axis_phase_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload
-- Data master channel signals
signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload
-----------------------------------------------------------------------
-- Aliases for AXI channel TDATA and TUSER fields
-- These are a convenience for viewing data in a simulator waveform viewer.
-- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command
-- to prevent the simulator optimizing away these signals.
-----------------------------------------------------------------------
-- Phase slave channel alias signals
signal s_axis_phase_tdata_inc : std_logic_vector(15 downto 0) := (others => '0');
-- Data master channel alias signals
signal m_axis_data_tdata_cosine : std_logic_vector(15 downto 0) := (others => '0');
signal m_axis_data_tdata_sine : std_logic_vector(15 downto 0) := (others => '0');
signal end_of_simulation : boolean := false;
begin
-----------------------------------------------------------------------
-- Instantiate the DUT
-----------------------------------------------------------------------
dut : entity work.dds
port map (
aclk => aclk
,s_axis_phase_tvalid => s_axis_phase_tvalid
,s_axis_phase_tdata => s_axis_phase_tdata
,m_axis_data_tvalid => m_axis_data_tvalid
,m_axis_data_tdata => m_axis_data_tdata
);
-----------------------------------------------------------------------
-- Generate clock
-----------------------------------------------------------------------
clock_gen : process
begin
aclk <= '0';
if (end_of_simulation) then
wait;
else
wait for CLOCK_PERIOD;
loop
aclk <= '0';
wait for CLOCK_PERIOD/2;
aclk <= '1';
wait for CLOCK_PERIOD/2;
end loop;
end if;
end process clock_gen;
-----------------------------------------------------------------------
-- Generate inputs
-----------------------------------------------------------------------
stimuli : process
begin
-- Drive inputs T_HOLD time after rising edge of clock
wait until rising_edge(aclk);
wait for T_HOLD;
-- Input a constant phase increment each cycle, and run for long enough to produce 5 periods of outputs
for cycle in 0 to 159 loop
s_axis_phase_tvalid <= '1';
s_axis_phase_tdata <= (others => '0'); -- set unused TDATA bits to zero
s_axis_phase_tdata(15 downto 0) <= "0000000000000000"; -- constant phase increment
wait for CLOCK_PERIOD;
end loop;
s_axis_phase_tvalid <= '0';
-- End of test
end_of_simulation <= true;
report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure;
wait;
end process stimuli;
-----------------------------------------------------------------------
-- Check outputs
-----------------------------------------------------------------------
check_outputs : process
variable check_ok : boolean := true;
begin
-- Check outputs T_STROBE time after rising edge of clock
wait until rising_edge(aclk);
wait for T_STROBE;
-- Do not check the output payload values, as this requires the behavioral model
-- which would make this demonstration testbench unwieldy.
-- Instead, check the protocol of the data master channel:
-- check that the payload is valid (not X) when TVALID is high
if m_axis_data_tvalid = '1' then
if is_x(m_axis_data_tdata) then
report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error;
check_ok := false;
end if;
end if;
assert check_ok
report "ERROR: terminating test with failures." severity failure;
end process check_outputs;
-----------------------------------------------------------------------
-- Assign TDATA fields to aliases, for easy simulator waveform viewing
-----------------------------------------------------------------------
-- Phase slave channel alias signals
s_axis_phase_tdata_inc <= s_axis_phase_tdata(15 downto 0);
-- Data master channel alias signals: update these only when they are valid
m_axis_data_tdata_cosine <= m_axis_data_tdata(15 downto 0) when m_axis_data_tvalid = '1';
m_axis_data_tdata_sine <= m_axis_data_tdata(31 downto 16) when m_axis_data_tvalid = '1';
end tb;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0_comp.vhd | 2 | 7794 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jQTm4lvtd/7z6QPdcvlroyO0BQo4ALC62EkXxXs8gVgN56/WT47xGizxxzJP2OcANKZKZFm27iqV
wi3LQu3mtg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L6zUqyVzwLhXhuWruaVRqRWzgYM2u0OnFzBX6ReNijM0kDwsfqfaOJGbeGS4bv5iXDs54iyhUKH/
ktcF4QeMb1rJtf24tEtQwGl7lIRR/bVp/n8BJ5y1rows+LwRpPzXK32rHXNsSzYcB5GKEl5twdnk
crTU1s09HHIQzHMoY3w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
usWUqwfBKaywGABQmZ5PX1T+7g8AjlG6CWh9/Ed4NVF1FkKc1THtpWiDMuAupVHriWnl4ztswvto
RBqtIodhmwnJU3z9gSqfDO6qy0ESQl2XhbX/oJxO8saHwGCYbTqJ1jY8zUGlWTAZOM750wW6lEPq
j2SQc9WOosBVCgk5MBiieFxUT8wumDOIJsb0pXDPm3ztk2hxYEx5g84eg4vZ5pky0KKETPGyTEmO
9AS/RWqy6CHfDFi9es0I3a4i6ZlXYiOwbkiByY4tjAx1/pbZCzLfFq/YtJ1/LJ3PMb5c0yN2sLTz
D2FZoQIobDk+xoL9TXywwwQw//LJn2Xl1ZyCKQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jdefCp/do1ViDK6v3iPNEPEMM/8jfLq/IpGEOrY+14kHFw4HATpD7ucjZ6PPAULudLcl7o8rIXj1
yVVPGJWre5+oZeUgl+6LSZwjhkmJm4dnZI6NBQIA0s2kIUCcdJuKBIkrvr1TH6/EAK006SU917QO
UiPKabbRQk4YwvCxryM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NViEXC/FyZOZqqYh+qWRAai9CdqL54tJ88saTqP5V9K4nR3/AZGpAroz3dOCTWsLIzUGsgWUv0lp
WN4mtlWAGkAppIfP/x1G9Pp8zMJbZxsrRqWeZEjiadweHi1Gr6de1DuBiAtowYs1vzfQNZva+5N7
D9dLGIl+ZyH/q17H8KcLMC+HFTBBZivCjy6UJNMwaQjkCLqMSn+KXH5/x89LPYObN2FjIDV8tk/0
2T55S1mvuAMMdqsqY2oNjSKwqlmzCO7pvgKEB4BFcplYtfJZQrL39Upr3ZgBmnZQmFPik2ojHOKa
2W7tkHDOyYjYW7Fa8Pl+FpD6mTmY33Gv4SOf1g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0_comp.vhd | 2 | 7794 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jQTm4lvtd/7z6QPdcvlroyO0BQo4ALC62EkXxXs8gVgN56/WT47xGizxxzJP2OcANKZKZFm27iqV
wi3LQu3mtg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L6zUqyVzwLhXhuWruaVRqRWzgYM2u0OnFzBX6ReNijM0kDwsfqfaOJGbeGS4bv5iXDs54iyhUKH/
ktcF4QeMb1rJtf24tEtQwGl7lIRR/bVp/n8BJ5y1rows+LwRpPzXK32rHXNsSzYcB5GKEl5twdnk
crTU1s09HHIQzHMoY3w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
usWUqwfBKaywGABQmZ5PX1T+7g8AjlG6CWh9/Ed4NVF1FkKc1THtpWiDMuAupVHriWnl4ztswvto
RBqtIodhmwnJU3z9gSqfDO6qy0ESQl2XhbX/oJxO8saHwGCYbTqJ1jY8zUGlWTAZOM750wW6lEPq
j2SQc9WOosBVCgk5MBiieFxUT8wumDOIJsb0pXDPm3ztk2hxYEx5g84eg4vZ5pky0KKETPGyTEmO
9AS/RWqy6CHfDFi9es0I3a4i6ZlXYiOwbkiByY4tjAx1/pbZCzLfFq/YtJ1/LJ3PMb5c0yN2sLTz
D2FZoQIobDk+xoL9TXywwwQw//LJn2Xl1ZyCKQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jdefCp/do1ViDK6v3iPNEPEMM/8jfLq/IpGEOrY+14kHFw4HATpD7ucjZ6PPAULudLcl7o8rIXj1
yVVPGJWre5+oZeUgl+6LSZwjhkmJm4dnZI6NBQIA0s2kIUCcdJuKBIkrvr1TH6/EAK006SU917QO
UiPKabbRQk4YwvCxryM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NViEXC/FyZOZqqYh+qWRAai9CdqL54tJ88saTqP5V9K4nR3/AZGpAroz3dOCTWsLIzUGsgWUv0lp
WN4mtlWAGkAppIfP/x1G9Pp8zMJbZxsrRqWeZEjiadweHi1Gr6de1DuBiAtowYs1vzfQNZva+5N7
D9dLGIl+ZyH/q17H8KcLMC+HFTBBZivCjy6UJNMwaQjkCLqMSn+KXH5/x89LPYObN2FjIDV8tk/0
2T55S1mvuAMMdqsqY2oNjSKwqlmzCO7pvgKEB4BFcplYtfJZQrL39Upr3ZgBmnZQmFPik2ojHOKa
2W7tkHDOyYjYW7Fa8Pl+FpD6mTmY33Gv4SOf1g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/mult_gen_v12_0/hdl/delay_line.vhd | 12 | 18215 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NLuPKxa5mbHmSJxckEHjUBUOWDk7twAsALGLJTfoesEfyf1h+MyHFt0EylBuknot037Zem3a4g/8
zqiJpRTvDQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PZD2wWu+M5aw+j7eNGC0wVwZ4AHpsd0CPVCpF47C7xJo3X55KdgUsR5H/ybZtMk92enNjFrgbF3L
KLt0dXzbb93KwOc159Je5hTevnSDKsuPBBX0lFHiAF4XzieRUgqKA393lNR1oHHjtPcXU7UK0+IO
OzAzlRdUGjlDQbtNdcQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HouE4V5hA7QCiWy/ZOPsRu3XTzmc0wFcS7HgRKGHCsE7XwCF34feUK6Bn8N3dH2x37iQw0vfk66K
M6tHX6VRefC0MyimGFx5BhRdZq2+9JmDppfV1gOtGrREe6vR2IC/KcusvwTIiR6cQOza49aJQKA+
epyhS70PBrDp2VBILQDMeZvSj3XpQbsXPr8Q1JIB7enfz3ztp6rC/LDFPOPZe8YTRh24WGrzpXce
DAXUY9s6WN8OKURansZYbw0UNKD0cHLvro5mUb/lNTGoehE+Rw5R9VbAnGpd9pq6Xo7PPFVMpe9T
FezLXjjYSVXyY4UaLu9/mkvg/I686Ex7JR5c7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQhq1J/qEcykVkr3796fO9gQDJzaYdrlMC9hjsMGY2UKOKUbTtyv4tG77bM+PRHomfZqg8iU7uWB
GRXGd1YHbwBY/Wo99Etxtx46zOPIBoU5nFYOpTJ0bJnLbwgg1pXJxkzA4oOsNRCM00E9Tz9jDYcD
u7yXVYNO1n7TbdSWAho=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EIlt8tLrN8oiN0Z16C/INtbKo7UGBZMOz76+FUKU0dZcfTr4khTZ5FEXDc4gJZOM+wM5qSdRbQub
CzCPni3zASJ+ELeVlFnyaBW04E07carlE5UDdrotA4p2LXk7vZzLcnqW33R6DTbUogfnDteQ90G2
rsl4ouAA15HIZj5RFfE16KQtkxJiDGIwOrcUzhjmqqnH0+oOfSHDJeWV0IASEIzodocR806zCuhg
XzX3Z8z59bnwpkYETnyBEOLgELtERsBiu7XiRZGnW3iYQosufAJSskrAoulfqggYHW6NCOFZhGQM
6C95at11rwRxl3HbZnf/S1pzmZYljP0ZGBuLpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11744)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/multi_fft/mult_gen_v12_0/hdl/delay_line.vhd | 12 | 18215 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NLuPKxa5mbHmSJxckEHjUBUOWDk7twAsALGLJTfoesEfyf1h+MyHFt0EylBuknot037Zem3a4g/8
zqiJpRTvDQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PZD2wWu+M5aw+j7eNGC0wVwZ4AHpsd0CPVCpF47C7xJo3X55KdgUsR5H/ybZtMk92enNjFrgbF3L
KLt0dXzbb93KwOc159Je5hTevnSDKsuPBBX0lFHiAF4XzieRUgqKA393lNR1oHHjtPcXU7UK0+IO
OzAzlRdUGjlDQbtNdcQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HouE4V5hA7QCiWy/ZOPsRu3XTzmc0wFcS7HgRKGHCsE7XwCF34feUK6Bn8N3dH2x37iQw0vfk66K
M6tHX6VRefC0MyimGFx5BhRdZq2+9JmDppfV1gOtGrREe6vR2IC/KcusvwTIiR6cQOza49aJQKA+
epyhS70PBrDp2VBILQDMeZvSj3XpQbsXPr8Q1JIB7enfz3ztp6rC/LDFPOPZe8YTRh24WGrzpXce
DAXUY9s6WN8OKURansZYbw0UNKD0cHLvro5mUb/lNTGoehE+Rw5R9VbAnGpd9pq6Xo7PPFVMpe9T
FezLXjjYSVXyY4UaLu9/mkvg/I686Ex7JR5c7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQhq1J/qEcykVkr3796fO9gQDJzaYdrlMC9hjsMGY2UKOKUbTtyv4tG77bM+PRHomfZqg8iU7uWB
GRXGd1YHbwBY/Wo99Etxtx46zOPIBoU5nFYOpTJ0bJnLbwgg1pXJxkzA4oOsNRCM00E9Tz9jDYcD
u7yXVYNO1n7TbdSWAho=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EIlt8tLrN8oiN0Z16C/INtbKo7UGBZMOz76+FUKU0dZcfTr4khTZ5FEXDc4gJZOM+wM5qSdRbQub
CzCPni3zASJ+ELeVlFnyaBW04E07carlE5UDdrotA4p2LXk7vZzLcnqW33R6DTbUogfnDteQ90G2
rsl4ouAA15HIZj5RFfE16KQtkxJiDGIwOrcUzhjmqqnH0+oOfSHDJeWV0IASEIzodocR806zCuhg
XzX3Z8z59bnwpkYETnyBEOLgELtERsBiu7XiRZGnW3iYQosufAJSskrAoulfqggYHW6NCOFZhGQM
6C95at11rwRxl3HbZnf/S1pzmZYljP0ZGBuLpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11744)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_lat.vhd | 3 | 62003 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Eou86GUXWtbRj139m81t9o7OYCwIUOafPwiDFPseV2SWyHCwy2QMPO/5ob0cfKT6+nKyfNp5Q7a8
PMnNXdWc2g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ayRqf2Et1HKtBG6geN4t/RTe8qW7g6iy7Yv9XWtwQ9apZfuVq8ATleUEGpM+OXPAz2W7KQPynxgh
L5aBD1Samq8jkJHAzegeU14ZEQNtuMqiz33O1NJmKkLaWVuUO0tCwTyeXjrxlAkSqUAl0pwo85i+
0M9bYfjSnD/J9eLzlc4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sJrGGETmIumIvC6XKwehwLR5wB4urMRpUQgQhoSqpKYMzSvepFtsCd8p5NOCom2howe6ESo+Y8u9
gmL87A2IMVWVysBX/H9rSXY8X9CG3QqLgLT44vJdUbQWKHLx6vHSZgwDu5206/nNgLrSRdhhJhGL
4aUpuLDvF3CwcyV5J2MDJeuwX5dnfmh7OLGm37kVpPkpv61nFw93bt17zVSTFuZuCVNkOPb0ZnAu
DxYulJlxPV4LPMiEApMy8w90DKRyC6F1/BNAtladY1xLIbMFHCqvUEKyGWdTv8iyOm7P0ZvU1oHu
6AEpR6uiRU1AiCkJz5FvmRJe0LMdf1GWBi/lwQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KtCaFpH5yy1KPpLSXtSgEd/ZCg2WEuwAZn64DZ1J67HVYqoV6OIlJJM01jkjnwaHUGeab1JONk7Y
NzlFT1iBF5RNfbcWR3rREbOFpK0VUEMgUD3Kt2AvUaGqxk099xRfO2ocqHd1kErelx/mkoOwYrig
XLFQYPKkZv3u5DSudIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Uqcf9ZpT00pvGSXmb50t2BJlzmezql8UqLPKkcUfVYLlsrHCfRYHirDhT6pVRS5pndGoCXn8JQyA
0s+8vPEmMS28l9BJj483pFu/4SBMTUwJyMYZTSRfseEgmQEGvSsDj1ttvK4RwoTYOjNocR4yaidC
n5TBqU9snDqb0Sef1EMg3ThePUD40fi1AAuVc3arCgr3THYPFLqyPcPKpBaUgJXBtnCwsct1lahp
Vs+IJTu7DrMkOq2uyrZkteh5pjGFY5GiYYPEAnjDAqekRu9Xs2d7ZDtu5UXibyWHsVZ5TBoPUP4D
8PrqSf55CFEigInAWl3hLuasBvbFgqGG6UfNFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44160)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_lat.vhd | 3 | 62003 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Eou86GUXWtbRj139m81t9o7OYCwIUOafPwiDFPseV2SWyHCwy2QMPO/5ob0cfKT6+nKyfNp5Q7a8
PMnNXdWc2g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ayRqf2Et1HKtBG6geN4t/RTe8qW7g6iy7Yv9XWtwQ9apZfuVq8ATleUEGpM+OXPAz2W7KQPynxgh
L5aBD1Samq8jkJHAzegeU14ZEQNtuMqiz33O1NJmKkLaWVuUO0tCwTyeXjrxlAkSqUAl0pwo85i+
0M9bYfjSnD/J9eLzlc4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sJrGGETmIumIvC6XKwehwLR5wB4urMRpUQgQhoSqpKYMzSvepFtsCd8p5NOCom2howe6ESo+Y8u9
gmL87A2IMVWVysBX/H9rSXY8X9CG3QqLgLT44vJdUbQWKHLx6vHSZgwDu5206/nNgLrSRdhhJhGL
4aUpuLDvF3CwcyV5J2MDJeuwX5dnfmh7OLGm37kVpPkpv61nFw93bt17zVSTFuZuCVNkOPb0ZnAu
DxYulJlxPV4LPMiEApMy8w90DKRyC6F1/BNAtladY1xLIbMFHCqvUEKyGWdTv8iyOm7P0ZvU1oHu
6AEpR6uiRU1AiCkJz5FvmRJe0LMdf1GWBi/lwQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KtCaFpH5yy1KPpLSXtSgEd/ZCg2WEuwAZn64DZ1J67HVYqoV6OIlJJM01jkjnwaHUGeab1JONk7Y
NzlFT1iBF5RNfbcWR3rREbOFpK0VUEMgUD3Kt2AvUaGqxk099xRfO2ocqHd1kErelx/mkoOwYrig
XLFQYPKkZv3u5DSudIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Uqcf9ZpT00pvGSXmb50t2BJlzmezql8UqLPKkcUfVYLlsrHCfRYHirDhT6pVRS5pndGoCXn8JQyA
0s+8vPEmMS28l9BJj483pFu/4SBMTUwJyMYZTSRfseEgmQEGvSsDj1ttvK4RwoTYOjNocR4yaidC
n5TBqU9snDqb0Sef1EMg3ThePUD40fi1AAuVc3arCgr3THYPFLqyPcPKpBaUgJXBtnCwsct1lahp
Vs+IJTu7DrMkOq2uyrZkteh5pjGFY5GiYYPEAnjDAqekRu9Xs2d7ZDtu5UXibyWHsVZ5TBoPUP4D
8PrqSf55CFEigInAWl3hLuasBvbFgqGG6UfNFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44160)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_3/part_1/ip/clk_193MHz/clk_193MHz_clk_wiz.vhd | 4 | 7397 | -- file: clk_193MHz_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_193MHz_clk_wiz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_193MHz_clk_wiz;
architecture xilinx of clk_193MHz_clk_wiz is
-- Input clock buffering / unused connectors
signal clk_100MHz_clk_193MHz : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clk_193MHz : std_logic;
signal clkfbout_buf_clk_193MHz : std_logic;
signal clkfboutb_unused : std_logic;
signal clk_193MHz_clk_193MHz : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_bufg : BUFG
port map
(O => clk_100MHz_clk_193MHz,
I => clk_100MHz);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 5,
CLKFBOUT_MULT_F => 45.875,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 4.750,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 10.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clk_193MHz,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clk_193MHz_clk_193MHz,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clk_193MHz,
CLKIN1 => clk_100MHz_clk_193MHz,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_int,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clk_193MHz,
I => clkfbout_clk_193MHz);
clkout1_buf : BUFG
port map
(O => clk_193MHz,
I => clk_193MHz_clk_193MHz);
end xilinx;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/align_add_dsp48e1_sgl.vhd | 3 | 30644 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RvXkQ8Q1bO4jVN0SJg72mk2bp/a8kb9Jd6RB/Bg5aFfz1cy7fMpNc1/hUuCuKHiERslX3w85Fk3S
9tdzdCAdSA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cvvZvv9BU+18f+ciySQzy5kJeJDMXv0JRzPA3pyidP1xwyLBrV7RfTEfV7eQb3xCSjYsGZvBMqy4
46JeNGQbYeOZwiMeuDCHpZD47E7gBxXkjYojNZFRDbAYM/J9JJa9svngcxky29esAqCmKJG43s0B
nMU98UUdy7WrdECtE0c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m5J3MwD5e1rtt4DSCIxcD2UATTXmwe3JH21qqkEG323DHUUUtme1RO3OrzY8icl09cdfIWqJY5AE
umildv2qf0SHqSwZtT1ZAO1132fimXauL3IItgsvOuZ6IgyyyRAoDa4PBdccAC8rCfQaMh/UqjRC
4VWw8TpH8rcZURcL8ZYitlGAqJQGdcY8R8HTRxoBwdpf0eCe5fvl4x5xSj/UZ9ZIisiB41ah0pj3
UjdnoEhsOX7zLOZKQ291+gq5r6G37LY6y5IXzvzvoi+eLT1o5tEfGVemkqGCGfauTwSUZXnjTerG
jIy/lg2JxJYNfpzBxs1R8f1temuouzTwVeeT+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tryOv/dE3EFUwO3dbmutrAmlOHeZ9lNAQOMnA4uZk/+1TOtTXhIPNWcymLs1YIGXaN5wp68xVOmY
i1C4k4Ovhmpm6t+XNjSXsgBoMRKVXF/YSbkitKz67qVEyb/9VLtjMP8miw2RxUETebnqgiXmUndb
v5PXKgMot4XQukIUYHM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Pm1hHcjXpv0yceWkFm6aKnOrab6IQ9IS8+EUXbCi8QrfslX4DeG5cGyHj0PMadXo3ZK8+1pd9sbE
siLiXsqFvPt7ggdw2b4TTxDu/unusBKAtzJO8bhFB5Mn+OoGdgpJE08qu1rWNzQ7IoVYSwZA8y/r
apXxbyDPrO6fD5l1YWQxGpRNL//llOEElPOkoPFMIYqcZgih5Ywu7CvqgcexZRZW7ImIAz0dIsRd
p7lU37cjB96tsiLtYlwPap4pku4hltZ+UmKTjH6suRqJz7VwAck/l8Vm5WltqDqgepTecWOpW4ca
Dj9VKrxXDf1rSJhRANOAlHsrOcU1YQIsQntRKg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20944)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_viv.vhd | 3 | 69873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PCm2fNIkeE7RqN1Qh8aDBzSz2JZdHrSd39tfvplEdg301UYEYTYTh7LAGuyNl/IWzVtXy/8OLKM2
jEsukhpHyQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RwLRXbOkAb7oBKsdG074UuSXcADZ7XW9e8TFDepVg3T5gHDHlCoCkhWq9htKPblu7CdCqhJ10k/h
vm6kCixqzAf7pRbi6Dd7yD8JkHHpD/JoCpG0CXkR/YkwyGacxzBT1e81vN7QTqojdxa7d/QRyQC+
MewlL03luvuOMVsoYDI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ig+L+aUsv/EyA85z3weCZqReauEsxoUG/dQGRFaaA5NTQinUnMmZKRSCqwqjwKHtQvgCVcrj39nv
BvmgbwrS287dmW0ayl0xVJH1ZBO4m/r6tWMGBH+BqwvlJuYzwDq+XuaVTyGzQsJQEpn3gOGPS37n
by3PiEpwE8sEJJzLmjC0P2WRWiChNAhPX68xR3rPYA1v//HykKkOMZR6FxpbRWStkhoP4ZXtekka
MT+U1HqIsEUXJ3yu9Lv53E1z+hITgeHqLQuoHDZxe/vo1aJp6P7bfmUYBqKcuhtfI1+q/ROLWobm
dwK7BAzmKU9Op6712saAT0JuiaJqDfbDYUHO9A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lsIBIwPgIXnrlbB1KQUAyK5Bmb+zT58EQeCjYseHbFK6kNgAjElBgxkvBKz1ldl+9Q1yKo9moyZ4
0HyIHRAHS95K/FmwvZR8HN20nLUworQZNn1ZSc4snrj+MuW4LurL7+bP+fn5i/mEoYRMkwf+hLQ2
pxb0CiqXIODA5ZIP5pI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
B4T4vMluttJXNdEAWlnoeDvXFlnigyOiQxAptjffGiB+sVVUpeE4ItUuQIRNPONjqpFlyqMY59aT
BzHfGmB25cZxQOUUdyiY2I51XOBeohLT8+YNLywsTYYY9gjqJGsNoMYGBy1/vYQ1yDSpY5QEuAHL
HcpPLsR9S/YaklUk82wT85wlXBEbo3w1EX2jAIcHHgFKDtVpBBrxw5WWxE9wckGNsaRnIB7WuvM6
U5XE08mbJJz5+nK1bcsOtBfGhk+0ZjdLIH5Pb5sAhVUy9iyG4VfJojcINLmFVH3IsTL+uXTZoTaH
qqG9CnqzsOurHX7xzG3E/qxLQpSyspS9D9m7+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 49984)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/r22_srl_memory.vhd | 3 | 9286 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IIoZSpw39I+70gLx8CNqz9vPdCtyURSOIGUAdq6pCaKQNK5APEwx+eC7ySyym7IGxuxoVo2r/4X+
HT0EehnNCA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JepL2DHLzaXC5nRp7t0H2YRxYIFbfOsQcR7rWQdNLaCvVQMiBx6tAjmNwRfNfMRWnjAFhGw5pX0x
v6HFoTaUDfbJKt7pMCrRAni2L1bWLw5sHEl1J81vuS3fRs7hQIU0ypv0GCZCDIkRz1m0spbE1H5X
6M5sf7f9TBnU1okgjiY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K/tt4nh0i24tJUZBweS3abDscQMXrKyzH9qkGZxqkG9wtOC87LW1GO5A/tlbxO9Okf3ZBpVWuSIO
t4iA6Dfdq9qOBm+Q/x6LanaPh2jmX0C2y3ZiBCMvm+2lCHnZmPw+pAPiSZFZfQoKiblNV9JFWZOE
yL/eMDcegV+plJ4rinv5kka+DBprp6Vf5lnuLLMOf32bj2l2K0t7QCxT/LLohyCmjBi0YiElEZpH
UaKM+iUjzLRcDWHN1fufS/pHIFKoXssRGdAekGknKZUG9/sfDHvgWPoscg5OW55zs/akMXF5Zpvj
2StYej9Q1gCc9wD2KygFaHJ3BJqAFFt27hsrJw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
THII22Tt4hKSXa6K8MudMSUEysH2jGCjhMpIWSnzLv3QB+OXykSdJH7AB9oofPH5abF5xio6mfL4
cl1an3CXzx+lEr0XXw6akRGXQ63NtMb/iJrxHYyU9pDONX+WbC5ZCzwYfwuItlaiCJiSTCmKxvHc
tu3kI0n+jVl4tihtlYY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOhHRuPJQgVRyqj3EwsXXr0/yU2fO0KopCSzQ9bmuuPSx9QT7YCTYSWM8jNN0FIQx0VdGxBk9xHi
VkE9eyt7IoKtuNO5DiHNL++d1G4VdiavoUbjMkvjiaOfxGfz2KhxTkM5RcSUqesHP3oRpLrajz1j
MWW55XSIDjr5xNBTAe20CgD6IfUl+ExAqhT16blOIeWS0k8qGoc9D55DXczaunO9rBWkzyfZYgAS
GZGIo4QoGRlvCI8tSNWqzk95PpBnNC4GtrOHdCNYu7CFLnsC7D42Lt+1nJc0TmaClacjfc6C2bIu
Q2bRXXx2h9NLIRvESyQRMKYwMIPMP99eUxlmuw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5136)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_alignment.vhd | 3 | 25951 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JGKpAKZPak1E+SBrf2+xkI/9H4ipBU+0bvxnlg3CezszAFWaxXxyDBJvuc7eeETrsTJWHCLk4fQ4
ojk45E2Xrg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LOimFJ6t4mgQnTmmprifePnl0doZcfKLlJjt2buErg4uMr3wm/SeBPbqyA6NuMClcnTCLHrn+CXH
t+W2nfoEaheAaCVARuEoHvUdCtPLI4jR6dPC4RStSO4EzZFiKs08enzMIdygIlTvdfw5PM6+X8E1
jwTrQnblXxl2M+LgAF8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nSDKl6kpolVYf3x3+G0b8NfKr/Cx577N+YXvFCQmhQFBsCfwLB+z177H4Wk6nmZK0tn9c6tbqHm3
D/CGJNY+cnzvSsXOiyXO04EqdFLJlyl+QyPw/14yT137NB5XHzCKxW6KYlFWKFojvUUIGFCaXD6R
JqpJ8fkGwBPJHo0hOLYFhx2W7DuTa5kPxHDfslQk8apcaqYEB/99FuEKcH7dBG7rPcjT8xiEb4Hs
nqs+emIAAfU6HrQlhrs2xAtnagriHhSeCA35BiPhjvLLGD6HrOKx6E8TwTNYYGN5N10n6autfUHJ
cTAf1RqmGxTVICRQr5nrpgunfx2OqBXr8uDwag==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YDZbZVAE3gSumAQRv1dgWfq4OSqB4rmFrRgZ384xQTuyp74AvxgPyHmdzze7yTUy5bpVXKvIi5AJ
GpqYIoWvdEZX7MwXhnYiC81MiRqD+MJZu3j7sGIlwNAkX12YkB1cotBd5sM7c5I1V8XCc0P3jSc4
lzH8DB80Ly0sSVoQYtE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
meZ4Ma4O9VuNTQYmpD+hK7adOwU6NET9hjjjftrOk8yg/SUZIrSnt7Qe7kRU5ehesYjHqFMOVcIf
0JT3Y9vYvVFYhpScMJStJGHqsssfZHN6j75bc+QBgPPyyOuDJeqlUYxK94QSEax/CfFnXpaNaMnZ
Wd6uBbP/pp1U2Dtcil6i2gezn82yqSVU/lm+qvNDBYFTatjzNtqU7QU7wiilVAo7xkWnUL93BHQN
CrJ7CbR9chjiTekGDhUx2KpU3mw7QuanvtiNLmOs+7RmUzVWtE6k2AfXofa3XzCmo6xlCkEOBR41
Imqsr51t3TFZhCX2xsxZ1H5aCqiweJLK5uSPnw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17472)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_6/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv_comp.vhd | 12 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hMTrOc8dD18HaqgWvNmpZ4zEm8bBBYbUJD8q1/fmMBemus6deF/Rs3qv014OJsRXQqbxa2hesuab
yGLKKDfrwQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mSxgBrwgtLA2vAOXwyMHrrOann/C22f5E08+6DMf0LZ5hAU9geZ/0xmR5kvqfwU8TARik4RxiMPe
GoOXyLsOMN2W6UkShgCGCLgANK5tzZcuyHx6Pk44yHLUUpuKg164L+cH07mc8cp50IJTS2Cc8CtI
krKzpMgwe9M7J+GMH70=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzknZSGCbgMYEa8u6l4dUyn/X4Z2Ja897ql7FP5SKS5fe3Yb+7ag8MRk2B3VKVA5Xoyj1B4W4sIv
+xA7HVkJ5qhFGnaIxXLQE9YDYjt7bN4aSnrrGVlnrTeF15jG6/33OpfAqBt5wFvtNlCAmFI6UBBx
g2e8hCldEiZakjnpEkpseVR8pjDgCSm6Ns4wvBhf2d1rxhnnEtxZ8gT8BwJdq3qbxox5IAs1/3kf
8FmllXrABHR6vNYYk5rBolu45OEDwNVpdUAmx7XYQ0k+W8iaDWMn5o/uh3S6WXr39B+2eCXFKqG+
CodlyF+RZCIldwTvMX2jtHDrcF4VoJKljv+wTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o5iRsFqM3ce7b6T7svod/88zc9yVed0DgumWVLeL6+U0PCbfFWUs89gBXvk5fXcJ78wVSQZpoT9S
SMVqypRbuNsuNyeadNIPe8zTFMr+kqbvEhJWktgz8LOCYyNa8D1s6wjBMEvWOrBv9mYwWz+SfPeu
rDnf1CaEQUIGOn51rlw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0y/MyCOFNO91xE5Xg0a1Z9Lm3XAa0vnInwPBlmj4SF7OXr3Z8er2IgnDAgtYLZRcJ4mY7izGvok
7oaOdrfmkgF09GXKIKaENYYEuxjKq3RDhaP2LPiYvfDSLbaZK05L5qDTnZrtUUdhXRKMlLQMJj9D
GsrzDvF6HP7lZrcyhXGF8/wqjq8e4mXVAV2f9wIMrK3WC/QjhRtlADM+kQmt/lq73Z+CLauXO1ba
qiyP8Kva34rNeczv3cj/jV6jMQiu0NrEDtr9UE6OwO88QpRGjMwvnozHvo7/+FaKbA5CxfncTyWV
8YdmEtExuakfJPBNLqE8l0vzx1GFI1YzLVkC8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/multi_QI/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv_comp.vhd | 12 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hMTrOc8dD18HaqgWvNmpZ4zEm8bBBYbUJD8q1/fmMBemus6deF/Rs3qv014OJsRXQqbxa2hesuab
yGLKKDfrwQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mSxgBrwgtLA2vAOXwyMHrrOann/C22f5E08+6DMf0LZ5hAU9geZ/0xmR5kvqfwU8TARik4RxiMPe
GoOXyLsOMN2W6UkShgCGCLgANK5tzZcuyHx6Pk44yHLUUpuKg164L+cH07mc8cp50IJTS2Cc8CtI
krKzpMgwe9M7J+GMH70=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzknZSGCbgMYEa8u6l4dUyn/X4Z2Ja897ql7FP5SKS5fe3Yb+7ag8MRk2B3VKVA5Xoyj1B4W4sIv
+xA7HVkJ5qhFGnaIxXLQE9YDYjt7bN4aSnrrGVlnrTeF15jG6/33OpfAqBt5wFvtNlCAmFI6UBBx
g2e8hCldEiZakjnpEkpseVR8pjDgCSm6Ns4wvBhf2d1rxhnnEtxZ8gT8BwJdq3qbxox5IAs1/3kf
8FmllXrABHR6vNYYk5rBolu45OEDwNVpdUAmx7XYQ0k+W8iaDWMn5o/uh3S6WXr39B+2eCXFKqG+
CodlyF+RZCIldwTvMX2jtHDrcF4VoJKljv+wTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o5iRsFqM3ce7b6T7svod/88zc9yVed0DgumWVLeL6+U0PCbfFWUs89gBXvk5fXcJ78wVSQZpoT9S
SMVqypRbuNsuNyeadNIPe8zTFMr+kqbvEhJWktgz8LOCYyNa8D1s6wjBMEvWOrBv9mYwWz+SfPeu
rDnf1CaEQUIGOn51rlw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0y/MyCOFNO91xE5Xg0a1Z9Lm3XAa0vnInwPBlmj4SF7OXr3Z8er2IgnDAgtYLZRcJ4mY7izGvok
7oaOdrfmkgF09GXKIKaENYYEuxjKq3RDhaP2LPiYvfDSLbaZK05L5qDTnZrtUUdhXRKMlLQMJj9D
GsrzDvF6HP7lZrcyhXGF8/wqjq8e4mXVAV2f9wIMrK3WC/QjhRtlADM+kQmt/lq73Z+CLauXO1ba
qiyP8Kva34rNeczv3cj/jV6jMQiu0NrEDtr9UE6OwO88QpRGjMwvnozHvo7/+FaKbA5CxfncTyWV
8YdmEtExuakfJPBNLqE8l0vzx1GFI1YzLVkC8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_bp_p/synth/fir_bp_p.vhd | 1 | 12173 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fir_compiler:7.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fir_compiler_v7_1;
USE fir_compiler_v7_1.fir_compiler_v7_1;
ENTITY fir_bp_p IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0)
);
END fir_bp_p;
ARCHITECTURE fir_bp_p_arch OF fir_bp_p IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fir_bp_p_arch: ARCHITECTURE IS "yes";
COMPONENT fir_compiler_v7_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_COMPONENT_NAME : STRING;
C_COEF_FILE : STRING;
C_COEF_FILE_LINES : INTEGER;
C_FILTER_TYPE : INTEGER;
C_INTERP_RATE : INTEGER;
C_DECIM_RATE : INTEGER;
C_ZERO_PACKING_FACTOR : INTEGER;
C_SYMMETRY : INTEGER;
C_NUM_FILTS : INTEGER;
C_NUM_TAPS : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_CHANNEL_PATTERN : STRING;
C_ROUND_MODE : INTEGER;
C_COEF_RELOAD : INTEGER;
C_NUM_RELOAD_SLOTS : INTEGER;
C_COL_MODE : INTEGER;
C_COL_PIPE_LEN : INTEGER;
C_COL_CONFIG : STRING;
C_OPTIMIZATION : INTEGER;
C_DATA_PATH_WIDTHS : STRING;
C_DATA_IP_PATH_WIDTHS : STRING;
C_DATA_PX_PATH_WIDTHS : STRING;
C_DATA_WIDTH : INTEGER;
C_COEF_PATH_WIDTHS : STRING;
C_COEF_WIDTH : INTEGER;
C_DATA_PATH_SRC : STRING;
C_COEF_PATH_SRC : STRING;
C_DATA_PATH_SIGN : STRING;
C_COEF_PATH_SIGN : STRING;
C_ACCUM_PATH_WIDTHS : STRING;
C_OUTPUT_WIDTH : INTEGER;
C_OUTPUT_PATH_WIDTHS : STRING;
C_ACCUM_OP_PATH_WIDTHS : STRING;
C_EXT_MULT_CNFG : STRING;
C_DATA_PATH_PSAMP_SRC : STRING;
C_OP_PATH_PSAMP_SRC : STRING;
C_NUM_MADDS : INTEGER;
C_OPT_MADDS : STRING;
C_OVERSAMPLING_RATE : INTEGER;
C_INPUT_RATE : INTEGER;
C_OUTPUT_RATE : INTEGER;
C_DATA_MEMTYPE : INTEGER;
C_COEF_MEMTYPE : INTEGER;
C_IPBUFF_MEMTYPE : INTEGER;
C_OPBUFF_MEMTYPE : INTEGER;
C_DATAPATH_MEMTYPE : INTEGER;
C_MEM_ARRANGEMENT : INTEGER;
C_DATA_MEM_PACKING : INTEGER;
C_COEF_MEM_PACKING : INTEGER;
C_FILTS_PACKED : INTEGER;
C_LATENCY : INTEGER;
C_HAS_ARESETn : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_DATA_HAS_TLAST : INTEGER;
C_S_DATA_HAS_FIFO : INTEGER;
C_S_DATA_HAS_TUSER : INTEGER;
C_S_DATA_TDATA_WIDTH : INTEGER;
C_S_DATA_TUSER_WIDTH : INTEGER;
C_M_DATA_HAS_TREADY : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_CONFIG_CHANNEL : INTEGER;
C_CONFIG_SYNC_MODE : INTEGER;
C_CONFIG_PACKET_SIZE : INTEGER;
C_CONFIG_TDATA_WIDTH : INTEGER;
C_RELOAD_TDATA_WIDTH : INTEGER
);
PORT (
aresetn : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tlast : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_reload_tvalid : IN STD_LOGIC;
s_axis_reload_tready : OUT STD_LOGIC;
s_axis_reload_tlast : IN STD_LOGIC;
s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0);
event_s_data_tlast_missing : OUT STD_LOGIC;
event_s_data_tlast_unexpected : OUT STD_LOGIC;
event_s_data_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC;
event_s_reload_tlast_missing : OUT STD_LOGIC;
event_s_reload_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT fir_compiler_v7_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF fir_bp_p_arch: ARCHITECTURE IS "fir_compiler_v7_1,Vivado 2014.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF fir_bp_p_arch : ARCHITECTURE IS "fir_bp_p,fir_compiler_v7_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF fir_bp_p_arch: ARCHITECTURE IS "fir_bp_p,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_COMPONENT_NAME=fir_bp_p,C_COEF_FILE=fir_bp_p.mif,C_COEF_FILE_LINES=128,C_FILTER_TYPE=1,C_INTERP_RATE=1,C_DECIM_RATE=2,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=255,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=0,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=20_20_24,C_OPTIMIZATION=2046,C_DATA_PATH_WIDTHS=16,C_DATA_IP_PATH_WIDTHS=16,C_DATA_PX_PATH_WIDTHS=16,C_DATA_WIDTH=16,C_COEF_PATH_WIDTHS=20,C_COEF_WIDTH=20,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=41,C_OUTPUT_WIDTH=41,C_OUTPUT_PATH_WIDTHS=41,C_ACCUM_OP_PATH_WIDTHS=41,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=64,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=1,C_INPUT_RATE=1,C_OUTPUT_RATE=2,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=0,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=81,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=16,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=48,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : fir_compiler_v7_1
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_COMPONENT_NAME => "fir_bp_p",
C_COEF_FILE => "fir_bp_p.mif",
C_COEF_FILE_LINES => 128,
C_FILTER_TYPE => 1,
C_INTERP_RATE => 1,
C_DECIM_RATE => 2,
C_ZERO_PACKING_FACTOR => 1,
C_SYMMETRY => 1,
C_NUM_FILTS => 1,
C_NUM_TAPS => 255,
C_NUM_CHANNELS => 1,
C_CHANNEL_PATTERN => "fixed",
C_ROUND_MODE => 0,
C_COEF_RELOAD => 0,
C_NUM_RELOAD_SLOTS => 1,
C_COL_MODE => 1,
C_COL_PIPE_LEN => 4,
C_COL_CONFIG => "20,20,24",
C_OPTIMIZATION => 2046,
C_DATA_PATH_WIDTHS => "16",
C_DATA_IP_PATH_WIDTHS => "16",
C_DATA_PX_PATH_WIDTHS => "16",
C_DATA_WIDTH => 16,
C_COEF_PATH_WIDTHS => "20",
C_COEF_WIDTH => 20,
C_DATA_PATH_SRC => "0",
C_COEF_PATH_SRC => "0",
C_DATA_PATH_SIGN => "0",
C_COEF_PATH_SIGN => "0",
C_ACCUM_PATH_WIDTHS => "41",
C_OUTPUT_WIDTH => 41,
C_OUTPUT_PATH_WIDTHS => "41",
C_ACCUM_OP_PATH_WIDTHS => "41",
C_EXT_MULT_CNFG => "none",
C_DATA_PATH_PSAMP_SRC => "0",
C_OP_PATH_PSAMP_SRC => "0",
C_NUM_MADDS => 64,
C_OPT_MADDS => "none",
C_OVERSAMPLING_RATE => 1,
C_INPUT_RATE => 1,
C_OUTPUT_RATE => 2,
C_DATA_MEMTYPE => 0,
C_COEF_MEMTYPE => 2,
C_IPBUFF_MEMTYPE => 0,
C_OPBUFF_MEMTYPE => 0,
C_DATAPATH_MEMTYPE => 2,
C_MEM_ARRANGEMENT => 1,
C_DATA_MEM_PACKING => 0,
C_COEF_MEM_PACKING => 0,
C_FILTS_PACKED => 0,
C_LATENCY => 81,
C_HAS_ARESETn => 0,
C_HAS_ACLKEN => 0,
C_DATA_HAS_TLAST => 0,
C_S_DATA_HAS_FIFO => 1,
C_S_DATA_HAS_TUSER => 0,
C_S_DATA_TDATA_WIDTH => 16,
C_S_DATA_TUSER_WIDTH => 1,
C_M_DATA_HAS_TREADY => 0,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TDATA_WIDTH => 48,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_CONFIG_CHANNEL => 0,
C_CONFIG_SYNC_MODE => 0,
C_CONFIG_PACKET_SIZE => 0,
C_CONFIG_TDATA_WIDTH => 1,
C_RELOAD_TDATA_WIDTH => 1
)
PORT MAP (
aresetn => '1',
aclk => aclk,
aclken => '1',
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_data_tdata => s_axis_data_tdata,
s_axis_config_tvalid => '0',
s_axis_config_tlast => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_reload_tvalid => '0',
s_axis_reload_tlast => '0',
s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '1',
m_axis_data_tdata => m_axis_data_tdata
);
END fir_bp_p_arch;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e1_sgl.vhd | 3 | 15081 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PMDKLwXfL5PreXX3bLgGi6wl3myxePQZFaEMNd9G+WJDv1G06nQbGhr0aLyJ/dyGCbhAHq1sGSYx
+jD3o4Sb9Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hk8O5bfJUWWOpQymMnsc2rWJn3efiJHVwmxZE4st8SH1Xe4M/+7q8fRoWNMIACvndDknQyJTV4Na
qGAUcPG52ybLXwkaLb5OwZnFG6TcIbvQzOiE8ZpTCLx2CE94ng3JBK29Xx+eEn5XHIhNrOZYfy2w
qLCs40NzbR3avMJcT1s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VK7rvSvi0kvoh0mpXUux9Vy2p/GkumPw8wML97QWFS4dU3sbTSF5CX7qgxUtuC6rdF7zVLlhsLWG
C6Vd1CfFWx2HImmEPIPYX8UNTuq6aYcHBZIv/GQENOklvlNJBBOgzRaxJNmV5eD8q1DwgwztOMZl
h5zRUURC/CoJvxv6fAiYv3ljXmuu8dtKukIHbeC0nueVtDKpVO/5jGCcS2a7kqzY4kE3dqS7syQE
SQqy5WWNykZPGJjl/paidxgfpEqvMUnylTsG3d766pzfR9EIsNgo5UeacLbW2f0L80eSab+Hfv+O
AOUcfKD18EOL7As1gL92Xk6JyVjwaC+WEdbz3g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
M8/U11oSYY7anTsIvxoyRQHNwtz0qmvOukg/ypI7NgYdgbeVg6EEUxFaZ5vI1y2ZrhcVI4Kgou9X
Af+IjAJpOSJbbePjHAdVhlGnHhU4tnjWVMWKelchvj56n0dnQNIMz2OhZ34ImDfDjtSSAAj3c9nB
S+nF8XBe5j7J3onVnYQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mmB3Z5FAcSNp6wz4dGOGL2oSWbP1SdGQzfXl+LeR5KXao3ENPpGGxUAfkTkG/1e5+Sty/iYKi4dG
lk9nr1rvvb/sDG0ErC+uInNffX7yXMXTrg31wCphzx+C9bjfXEmWxMiFWaKgLXhPoNHaGRq8KnN+
XHoFnOz/tSMfQVM//hShf4eRapNaxpJXtk0oir9AE55jSu5+7OYc7JsuQWD+L5yGtonVF+j7bHxL
v5H1Hwhe+or+EHQ/Fdf7l8eXl59zyfh9si6cL7MO/h3whUzVu2Xh4s0Me4aDR6f3dtn0bEufEMYM
sQVfDiLMZFWqyIsCt0MrSPlHmhMxFSYV4q6cSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9424)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_bf_sp.vhd | 3 | 87575 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WaUMYyOCrJ3+e0D+IgKRm7y7D8B3zV49qiAzywvvZnM+iiPmkjv6jaaleJhuUHr/VVbJ0WVREsFN
C3aocuAIZQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vg4kt+0MRKUFDd786MB334oscjgqOf0zx5x6X9lD5FSNEePK+/Ljwwf4Tg5LDl6RNtM6Wjqch9qW
bZos/OTOGWCccZ7THphoHP82pYF+16K4OALfhXWSVLkx3LM/Ee80BPMDNyNr7APabTz8t/B2zYqn
gE2Fzu+5KZhSDvDOuQY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cWGA2skQ+xHJft3wojh5pJME6yNH9Bx0EVSst3oo1sZLM7ErfioFg1z+7OJnLlEce8cKoYEGeLs6
+gNrKbPWpAp0zSJbuZ0eujD2+nHr4SOW14RicX6Nu4YPY4pKeTUGOxYbcf/pN8mUp+z7mhKu0nUZ
qy0JkoLyJSOPAl2alYiDOSzP3GT91SGexbMF9mT5Fm1atMmyZ61lPY8i9/apriMNWgJpMurcg4k5
8kmIZOaR5Gs61/cjT91VyfF1kpg8s1saZbH1Ex+7FN2BQTnYsIrNGQ3JLzMEtYTTf0uyoMCsboLY
M8OBIrSAYL2DFP7LTiUBA4q9vMUdDBd7BJdJ5w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Tt5wGX8ZsS7GiZjUnzWwuPsbxkgszeSP5BClrbfLjB8y7WpfrQWBbv+fRT2g91jGODwdMjrR5tjE
22De4WKTDixAzpeOgcBX+Xc0M6RsGaVHzUfK+JkGDEed/WmLKMZxFJ8R+PX+/nXpeQxh8GlrVPhk
X8G6I68iwwAP5QsD1po=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TXVckh/ZsyfHWatJ1CThmr5yme5DmLI6BHXKq36gZOA+wSj8jrvriqKEet/Ythi3nXcnflz4qD5k
Te79tj+/VmKfxHr4/Y7bqNLGV5KSa2Ku8YeOtPG076xafNifzK5kPQCa6JjI6WTSjqyDXqwxvUq9
OjO7hhzprnUPFZVgL+3QU0Ig7UtzmeK5F1C2v7E4rHsaTRM4G1HIf70fHeMLBPgdxSQ+WpiwkSmX
znFKMRsGbOZ/xNa+nhB6dkzvRq6y9grRTcVmtPVzVvm6vE5iYlpFXbpid6zc3gm3VAUtbaq8JGxX
xv40pN378Z193tDDnmJ1MsI9WB5AogwPu+Gs8Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63088)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
cafe-alpha/wascafe | v12/fpga_firmware/wasca/synthesis/wasca.vhd | 6 | 118138 | -- wasca.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca is
port (
altpll_0_areset_conduit_export : in std_logic := '0'; -- altpll_0_areset_conduit.export
altpll_0_locked_conduit_export : out std_logic; -- altpll_0_locked_conduit.export
altpll_0_phasedone_conduit_export : out std_logic; -- altpll_0_phasedone_conduit.export
audio_out_BCLK : in std_logic := '0'; -- audio_out.BCLK
audio_out_DACDAT : out std_logic; -- .DACDAT
audio_out_DACLRCK : in std_logic := '0'; -- .DACLRCK
clk_clk : in std_logic := '0'; -- clk.clk
clock_116_mhz_clk : out std_logic; -- clock_116_mhz.clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(9 downto 0) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic; -- .interrupt
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .addressdata
sega_saturn_abus_slave_0_abus_direction : out std_logic; -- .direction
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0); -- .muxing
sega_saturn_abus_slave_0_abus_disableout : out std_logic; -- .disableout
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset : in std_logic := '0'; -- sega_saturn_abus_slave_0_conduit_saturn_reset.saturn_reset
spi_sd_card_MISO : in std_logic := '0'; -- spi_sd_card.MISO
spi_sd_card_MOSI : out std_logic; -- .MOSI
spi_sd_card_SCLK : out std_logic; -- .SCLK
spi_sd_card_SS_n : out std_logic; -- .SS_n
spi_stm32_MISO : out std_logic; -- spi_stm32.MISO
spi_stm32_MOSI : in std_logic := '0'; -- .MOSI
spi_stm32_SCLK : in std_logic := '0'; -- .SCLK
spi_stm32_SS_n : in std_logic := '0'; -- .SS_n
uart_0_external_connection_rxd : in std_logic := '0'; -- uart_0_external_connection.rxd
uart_0_external_connection_txd : out std_logic -- .txd
);
end entity wasca;
architecture rtl of wasca is
component wasca_altpll_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
c0 : out std_logic; -- clk
areset : in std_logic := 'X'; -- export
c1 : out std_logic; -- export
locked : out std_logic; -- export
phasedone : out std_logic -- export
);
end component wasca_altpll_0;
component wasca_audio_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
chipselect : in std_logic := 'X'; -- chipselect
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(31 downto 0); -- readdata
irq : out std_logic; -- irq
AUD_BCLK : in std_logic := 'X'; -- export
AUD_DACDAT : out std_logic; -- export
AUD_DACLRCK : in std_logic := 'X' -- export
);
end component wasca_audio_0;
component wasca_external_sdram_controller is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
az_addr : in std_logic_vector(23 downto 0) := (others => 'X'); -- address
az_be_n : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable_n
az_cs : in std_logic := 'X'; -- chipselect
az_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
az_rd_n : in std_logic := 'X'; -- read_n
az_wr_n : in std_logic := 'X'; -- write_n
za_data : out std_logic_vector(15 downto 0); -- readdata
za_valid : out std_logic; -- readdatavalid
za_waitrequest : out std_logic; -- waitrequest
zs_addr : out std_logic_vector(12 downto 0); -- export
zs_ba : out std_logic_vector(1 downto 0); -- export
zs_cas_n : out std_logic; -- export
zs_cke : out std_logic; -- export
zs_cs_n : out std_logic; -- export
zs_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export
zs_dqm : out std_logic_vector(1 downto 0); -- export
zs_ras_n : out std_logic; -- export
zs_we_n : out std_logic -- export
);
end component wasca_external_sdram_controller;
component wasca_nios2_gen2_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
d_address : out std_logic_vector(26 downto 0); -- address
d_byteenable : out std_logic_vector(3 downto 0); -- byteenable
d_read : out std_logic; -- read
d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
d_waitrequest : in std_logic := 'X'; -- waitrequest
d_write : out std_logic; -- write
d_writedata : out std_logic_vector(31 downto 0); -- writedata
debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess
i_address : out std_logic_vector(26 downto 0); -- address
i_read : out std_logic; -- read
i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
i_waitrequest : in std_logic := 'X'; -- waitrequest
irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq
debug_reset_request : out std_logic; -- reset
debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address
debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess
debug_mem_slave_read : in std_logic := 'X'; -- read
debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata
debug_mem_slave_waitrequest : out std_logic; -- waitrequest
debug_mem_slave_write : in std_logic := 'X'; -- write
debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
dummy_ci_port : out std_logic -- readra
);
end component wasca_nios2_gen2_0;
component altera_onchip_flash is
generic (
INIT_FILENAME : string := "";
INIT_FILENAME_SIM : string := "";
DEVICE_FAMILY : string := "Unknown";
PART_NAME : string := "Unknown";
DEVICE_ID : string := "Unknown";
SECTOR1_START_ADDR : integer := 0;
SECTOR1_END_ADDR : integer := 0;
SECTOR2_START_ADDR : integer := 0;
SECTOR2_END_ADDR : integer := 0;
SECTOR3_START_ADDR : integer := 0;
SECTOR3_END_ADDR : integer := 0;
SECTOR4_START_ADDR : integer := 0;
SECTOR4_END_ADDR : integer := 0;
SECTOR5_START_ADDR : integer := 0;
SECTOR5_END_ADDR : integer := 0;
MIN_VALID_ADDR : integer := 0;
MAX_VALID_ADDR : integer := 0;
MIN_UFM_VALID_ADDR : integer := 0;
MAX_UFM_VALID_ADDR : integer := 0;
SECTOR1_MAP : integer := 0;
SECTOR2_MAP : integer := 0;
SECTOR3_MAP : integer := 0;
SECTOR4_MAP : integer := 0;
SECTOR5_MAP : integer := 0;
ADDR_RANGE1_END_ADDR : integer := 0;
ADDR_RANGE1_OFFSET : integer := 0;
ADDR_RANGE2_OFFSET : integer := 0;
AVMM_DATA_ADDR_WIDTH : integer := 19;
AVMM_DATA_DATA_WIDTH : integer := 32;
AVMM_DATA_BURSTCOUNT_WIDTH : integer := 4;
SECTOR_READ_PROTECTION_MODE : integer := 31;
FLASH_SEQ_READ_DATA_COUNT : integer := 2;
FLASH_ADDR_ALIGNMENT_BITS : integer := 1;
FLASH_READ_CYCLE_MAX_INDEX : integer := 4;
FLASH_RESET_CYCLE_MAX_INDEX : integer := 29;
FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX : integer := 112;
FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX : integer := 40603248;
FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX : integer := 35382;
PARALLEL_MODE : boolean := true;
READ_AND_WRITE_MODE : boolean := true;
WRAPPING_BURST_MODE : boolean := false;
IS_DUAL_BOOT : string := "False";
IS_ERAM_SKIP : string := "False";
IS_COMPRESSED_IMAGE : string := "False"
);
port (
clock : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
avmm_data_addr : in std_logic_vector(15 downto 0) := (others => 'X'); -- address
avmm_data_read : in std_logic := 'X'; -- read
avmm_data_readdata : out std_logic_vector(31 downto 0); -- readdata
avmm_data_waitrequest : out std_logic; -- waitrequest
avmm_data_readdatavalid : out std_logic; -- readdatavalid
avmm_data_burstcount : in std_logic_vector(3 downto 0) := (others => 'X'); -- burstcount
avmm_data_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avmm_data_write : in std_logic := 'X'; -- write
avmm_csr_addr : in std_logic := 'X'; -- address
avmm_csr_read : in std_logic := 'X'; -- read
avmm_csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avmm_csr_write : in std_logic := 'X'; -- write
avmm_csr_readdata : out std_logic_vector(31 downto 0) -- readdata
);
end component altera_onchip_flash;
component wasca_onchip_memory2_0 is
port (
clk : in std_logic := 'X'; -- clk
address : in std_logic_vector(11 downto 0) := (others => 'X'); -- address
clken : in std_logic := 'X'; -- clken
chipselect : in std_logic := 'X'; -- chipselect
write : in std_logic := 'X'; -- write
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
reset : in std_logic := 'X'; -- reset
reset_req : in std_logic := 'X' -- reset_req
);
end component wasca_onchip_memory2_0;
component sega_saturn_abus_slave is
port (
clock : in std_logic := 'X'; -- clk
abus_address : in std_logic_vector(9 downto 0) := (others => 'X'); -- address
abus_chipselect : in std_logic_vector(2 downto 0) := (others => 'X'); -- chipselect
abus_read : in std_logic := 'X'; -- read
abus_write : in std_logic_vector(1 downto 0) := (others => 'X'); -- write
abus_waitrequest : out std_logic; -- waitrequest
abus_interrupt : out std_logic; -- interrupt
abus_addressdata : inout std_logic_vector(15 downto 0) := (others => 'X'); -- addressdata
abus_direction : out std_logic; -- direction
abus_muxing : out std_logic_vector(1 downto 0); -- muxing
abus_disable_out : out std_logic; -- disableout
avalon_read : out std_logic; -- read
avalon_write : out std_logic; -- write
avalon_waitrequest : in std_logic := 'X'; -- waitrequest
avalon_address : out std_logic_vector(27 downto 0); -- address
avalon_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
avalon_writedata : out std_logic_vector(15 downto 0); -- writedata
avalon_readdatavalid : in std_logic := 'X'; -- readdatavalid
avalon_burstcount : out std_logic; -- burstcount
reset : in std_logic := 'X'; -- reset
saturn_reset : in std_logic := 'X'; -- saturn_reset
avalon_nios_read : in std_logic := 'X'; -- read
avalon_nios_write : in std_logic := 'X'; -- write
avalon_nios_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address
avalon_nios_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
avalon_nios_readdata : out std_logic_vector(15 downto 0); -- readdata
avalon_nios_waitrequest : out std_logic; -- waitrequest
avalon_nios_readdatavalid : out std_logic; -- readdatavalid
avalon_nios_burstcount : in std_logic := 'X' -- burstcount
);
end component sega_saturn_abus_slave;
component wasca_spi_sd_card is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
data_to_cpu : out std_logic_vector(15 downto 0); -- readdata
mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
read_n : in std_logic := 'X'; -- read_n
spi_select : in std_logic := 'X'; -- chipselect
write_n : in std_logic := 'X'; -- write_n
irq : out std_logic; -- irq
MISO : in std_logic := 'X'; -- export
MOSI : out std_logic; -- export
SCLK : out std_logic; -- export
SS_n : out std_logic -- export
);
end component wasca_spi_sd_card;
component wasca_spi_stm32 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
data_to_cpu : out std_logic_vector(15 downto 0); -- readdata
mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
read_n : in std_logic := 'X'; -- read_n
spi_select : in std_logic := 'X'; -- chipselect
write_n : in std_logic := 'X'; -- write_n
irq : out std_logic; -- irq
MISO : out std_logic; -- export
MOSI : in std_logic := 'X'; -- export
SCLK : in std_logic := 'X'; -- export
SS_n : in std_logic := 'X' -- export
);
end component wasca_spi_stm32;
component wasca_uart_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
begintransfer : in std_logic := 'X'; -- begintransfer
chipselect : in std_logic := 'X'; -- chipselect
read_n : in std_logic := 'X'; -- read_n
write_n : in std_logic := 'X'; -- write_n
writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(15 downto 0); -- readdata
dataavailable : out std_logic; -- dataavailable
readyfordata : out std_logic; -- readyfordata
rxd : in std_logic := 'X'; -- export
txd : out std_logic; -- export
irq : out std_logic -- irq
);
end component wasca_uart_0;
component wasca_mm_interconnect_0 is
port (
altpll_0_c0_clk : in std_logic := 'X'; -- clk
clk_0_clk_clk : in std_logic := 'X'; -- clk
altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
nios2_gen2_0_data_master_address : in std_logic_vector(26 downto 0) := (others => 'X'); -- address
nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata
nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write
nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess
nios2_gen2_0_instruction_master_address : in std_logic_vector(26 downto 0) := (others => 'X'); -- address
nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata
sega_saturn_abus_slave_0_avalon_master_address : in std_logic_vector(27 downto 0) := (others => 'X'); -- address
sega_saturn_abus_slave_0_avalon_master_waitrequest : out std_logic; -- waitrequest
sega_saturn_abus_slave_0_avalon_master_burstcount : in std_logic_vector(0 downto 0) := (others => 'X'); -- burstcount
sega_saturn_abus_slave_0_avalon_master_read : in std_logic := 'X'; -- read
sega_saturn_abus_slave_0_avalon_master_readdata : out std_logic_vector(15 downto 0); -- readdata
sega_saturn_abus_slave_0_avalon_master_readdatavalid : out std_logic; -- readdatavalid
sega_saturn_abus_slave_0_avalon_master_write : in std_logic := 'X'; -- write
sega_saturn_abus_slave_0_avalon_master_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
altpll_0_pll_slave_address : out std_logic_vector(1 downto 0); -- address
altpll_0_pll_slave_write : out std_logic; -- write
altpll_0_pll_slave_read : out std_logic; -- read
altpll_0_pll_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
altpll_0_pll_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_0_avalon_audio_slave_address : out std_logic_vector(1 downto 0); -- address
audio_0_avalon_audio_slave_write : out std_logic; -- write
audio_0_avalon_audio_slave_read : out std_logic; -- read
audio_0_avalon_audio_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
audio_0_avalon_audio_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_0_avalon_audio_slave_chipselect : out std_logic; -- chipselect
external_sdram_controller_s1_address : out std_logic_vector(23 downto 0); -- address
external_sdram_controller_s1_write : out std_logic; -- write
external_sdram_controller_s1_read : out std_logic; -- read
external_sdram_controller_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
external_sdram_controller_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
external_sdram_controller_s1_byteenable : out std_logic_vector(1 downto 0); -- byteenable
external_sdram_controller_s1_readdatavalid : in std_logic := 'X'; -- readdatavalid
external_sdram_controller_s1_waitrequest : in std_logic := 'X'; -- waitrequest
external_sdram_controller_s1_chipselect : out std_logic; -- chipselect
nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address
nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write
nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read
nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable
nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess
onchip_flash_0_data_address : out std_logic_vector(15 downto 0); -- address
onchip_flash_0_data_read : out std_logic; -- read
onchip_flash_0_data_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_flash_0_data_burstcount : out std_logic_vector(3 downto 0); -- burstcount
onchip_flash_0_data_readdatavalid : in std_logic := 'X'; -- readdatavalid
onchip_flash_0_data_waitrequest : in std_logic := 'X'; -- waitrequest
onchip_memory2_0_s1_address : out std_logic_vector(11 downto 0); -- address
onchip_memory2_0_s1_write : out std_logic; -- write
onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable
onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect
onchip_memory2_0_s1_clken : out std_logic; -- clken
sega_saturn_abus_slave_0_avalon_nios_address : out std_logic_vector(7 downto 0); -- address
sega_saturn_abus_slave_0_avalon_nios_write : out std_logic; -- write
sega_saturn_abus_slave_0_avalon_nios_read : out std_logic; -- read
sega_saturn_abus_slave_0_avalon_nios_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
sega_saturn_abus_slave_0_avalon_nios_writedata : out std_logic_vector(15 downto 0); -- writedata
sega_saturn_abus_slave_0_avalon_nios_burstcount : out std_logic_vector(0 downto 0); -- burstcount
sega_saturn_abus_slave_0_avalon_nios_readdatavalid : in std_logic := 'X'; -- readdatavalid
sega_saturn_abus_slave_0_avalon_nios_waitrequest : in std_logic := 'X'; -- waitrequest
spi_sd_card_spi_control_port_address : out std_logic_vector(2 downto 0); -- address
spi_sd_card_spi_control_port_write : out std_logic; -- write
spi_sd_card_spi_control_port_read : out std_logic; -- read
spi_sd_card_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
spi_sd_card_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata
spi_sd_card_spi_control_port_chipselect : out std_logic; -- chipselect
spi_stm32_spi_control_port_address : out std_logic_vector(2 downto 0); -- address
spi_stm32_spi_control_port_write : out std_logic; -- write
spi_stm32_spi_control_port_read : out std_logic; -- read
spi_stm32_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
spi_stm32_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata
spi_stm32_spi_control_port_chipselect : out std_logic; -- chipselect
uart_0_s1_address : out std_logic_vector(2 downto 0); -- address
uart_0_s1_write : out std_logic; -- write
uart_0_s1_read : out std_logic; -- read
uart_0_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
uart_0_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
uart_0_s1_begintransfer : out std_logic; -- begintransfer
uart_0_s1_chipselect : out std_logic -- chipselect
);
end component wasca_mm_interconnect_0;
component wasca_irq_mapper is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
receiver0_irq : in std_logic := 'X'; -- irq
receiver1_irq : in std_logic := 'X'; -- irq
receiver2_irq : in std_logic := 'X'; -- irq
receiver3_irq : in std_logic := 'X'; -- irq
sender_irq : out std_logic_vector(31 downto 0) -- irq
);
end component wasca_irq_mapper;
component wasca_rst_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component wasca_rst_controller;
component wasca_rst_controller_001 is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component wasca_rst_controller_001;
signal altpll_0_c0_clk : std_logic; -- altpll_0:c0 -> [clock_116_mhz_clk, audio_0:clk, external_sdram_controller:clk, irq_mapper:clk, mm_interconnect_0:altpll_0_c0_clk, nios2_gen2_0:clk, onchip_flash_0:clock, onchip_memory2_0:clk, rst_controller_001:clk, rst_controller_002:clk, sega_saturn_abus_slave_0:clock, spi_sd_card:clk, spi_stm32:clk, uart_0:clk]
signal nios2_gen2_0_debug_reset_request_reset : std_logic; -- nios2_gen2_0:debug_reset_request -> [rst_controller:reset_in0, rst_controller_001:reset_in0, rst_controller_002:reset_in0]
signal sega_saturn_abus_slave_0_avalon_master_waitrequest : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_waitrequest -> sega_saturn_abus_slave_0:avalon_waitrequest
signal sega_saturn_abus_slave_0_avalon_master_readdata : std_logic_vector(15 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_readdata -> sega_saturn_abus_slave_0:avalon_readdata
signal sega_saturn_abus_slave_0_avalon_master_read : std_logic; -- sega_saturn_abus_slave_0:avalon_read -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_read
signal sega_saturn_abus_slave_0_avalon_master_address : std_logic_vector(27 downto 0); -- sega_saturn_abus_slave_0:avalon_address -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_address
signal sega_saturn_abus_slave_0_avalon_master_readdatavalid : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_readdatavalid -> sega_saturn_abus_slave_0:avalon_readdatavalid
signal sega_saturn_abus_slave_0_avalon_master_write : std_logic; -- sega_saturn_abus_slave_0:avalon_write -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_write
signal sega_saturn_abus_slave_0_avalon_master_writedata : std_logic_vector(15 downto 0); -- sega_saturn_abus_slave_0:avalon_writedata -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_writedata
signal sega_saturn_abus_slave_0_avalon_master_burstcount : std_logic; -- sega_saturn_abus_slave_0:avalon_burstcount -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_burstcount
signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata
signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest
signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess
signal nios2_gen2_0_data_master_address : std_logic_vector(26 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address
signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable
signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read
signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write
signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata
signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata
signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest
signal nios2_gen2_0_instruction_master_address : std_logic_vector(26 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address
signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read
signal mm_interconnect_0_external_sdram_controller_s1_chipselect : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_chipselect -> external_sdram_controller:az_cs
signal mm_interconnect_0_external_sdram_controller_s1_readdata : std_logic_vector(15 downto 0); -- external_sdram_controller:za_data -> mm_interconnect_0:external_sdram_controller_s1_readdata
signal mm_interconnect_0_external_sdram_controller_s1_waitrequest : std_logic; -- external_sdram_controller:za_waitrequest -> mm_interconnect_0:external_sdram_controller_s1_waitrequest
signal mm_interconnect_0_external_sdram_controller_s1_address : std_logic_vector(23 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_address -> external_sdram_controller:az_addr
signal mm_interconnect_0_external_sdram_controller_s1_read : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_read -> mm_interconnect_0_external_sdram_controller_s1_read:in
signal mm_interconnect_0_external_sdram_controller_s1_byteenable : std_logic_vector(1 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_byteenable -> mm_interconnect_0_external_sdram_controller_s1_byteenable:in
signal mm_interconnect_0_external_sdram_controller_s1_readdatavalid : std_logic; -- external_sdram_controller:za_valid -> mm_interconnect_0:external_sdram_controller_s1_readdatavalid
signal mm_interconnect_0_external_sdram_controller_s1_write : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_write -> mm_interconnect_0_external_sdram_controller_s1_write:in
signal mm_interconnect_0_external_sdram_controller_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_writedata -> external_sdram_controller:az_data
signal mm_interconnect_0_onchip_flash_0_data_readdata : std_logic_vector(31 downto 0); -- onchip_flash_0:avmm_data_readdata -> mm_interconnect_0:onchip_flash_0_data_readdata
signal mm_interconnect_0_onchip_flash_0_data_waitrequest : std_logic; -- onchip_flash_0:avmm_data_waitrequest -> mm_interconnect_0:onchip_flash_0_data_waitrequest
signal mm_interconnect_0_onchip_flash_0_data_address : std_logic_vector(15 downto 0); -- mm_interconnect_0:onchip_flash_0_data_address -> onchip_flash_0:avmm_data_addr
signal mm_interconnect_0_onchip_flash_0_data_read : std_logic; -- mm_interconnect_0:onchip_flash_0_data_read -> onchip_flash_0:avmm_data_read
signal mm_interconnect_0_onchip_flash_0_data_readdatavalid : std_logic; -- onchip_flash_0:avmm_data_readdatavalid -> mm_interconnect_0:onchip_flash_0_data_readdatavalid
signal mm_interconnect_0_onchip_flash_0_data_burstcount : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_flash_0_data_burstcount -> onchip_flash_0:avmm_data_burstcount
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata
signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect
signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata
signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(11 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address
signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable
signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write
signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata
signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken
signal mm_interconnect_0_audio_0_avalon_audio_slave_chipselect : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_chipselect -> audio_0:chipselect
signal mm_interconnect_0_audio_0_avalon_audio_slave_readdata : std_logic_vector(31 downto 0); -- audio_0:readdata -> mm_interconnect_0:audio_0_avalon_audio_slave_readdata
signal mm_interconnect_0_audio_0_avalon_audio_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:audio_0_avalon_audio_slave_address -> audio_0:address
signal mm_interconnect_0_audio_0_avalon_audio_slave_read : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_read -> audio_0:read
signal mm_interconnect_0_audio_0_avalon_audio_slave_write : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_write -> audio_0:write
signal mm_interconnect_0_audio_0_avalon_audio_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_0_avalon_audio_slave_writedata -> audio_0:writedata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata : std_logic_vector(15 downto 0); -- sega_saturn_abus_slave_0:avalon_nios_readdata -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_readdata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest : std_logic; -- sega_saturn_abus_slave_0:avalon_nios_waitrequest -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_waitrequest
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address : std_logic_vector(7 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_address -> sega_saturn_abus_slave_0:avalon_nios_address
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_read -> sega_saturn_abus_slave_0:avalon_nios_read
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid : std_logic; -- sega_saturn_abus_slave_0:avalon_nios_readdatavalid -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_readdatavalid
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_write -> sega_saturn_abus_slave_0:avalon_nios_write
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_writedata -> sega_saturn_abus_slave_0:avalon_nios_writedata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount : std_logic_vector(0 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_burstcount -> sega_saturn_abus_slave_0:avalon_nios_burstcount
signal mm_interconnect_0_altpll_0_pll_slave_readdata : std_logic_vector(31 downto 0); -- altpll_0:readdata -> mm_interconnect_0:altpll_0_pll_slave_readdata
signal mm_interconnect_0_altpll_0_pll_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_address -> altpll_0:address
signal mm_interconnect_0_altpll_0_pll_slave_read : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_read -> altpll_0:read
signal mm_interconnect_0_altpll_0_pll_slave_write : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_write -> altpll_0:write
signal mm_interconnect_0_altpll_0_pll_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_writedata -> altpll_0:writedata
signal mm_interconnect_0_uart_0_s1_chipselect : std_logic; -- mm_interconnect_0:uart_0_s1_chipselect -> uart_0:chipselect
signal mm_interconnect_0_uart_0_s1_readdata : std_logic_vector(15 downto 0); -- uart_0:readdata -> mm_interconnect_0:uart_0_s1_readdata
signal mm_interconnect_0_uart_0_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:uart_0_s1_address -> uart_0:address
signal mm_interconnect_0_uart_0_s1_read : std_logic; -- mm_interconnect_0:uart_0_s1_read -> mm_interconnect_0_uart_0_s1_read:in
signal mm_interconnect_0_uart_0_s1_begintransfer : std_logic; -- mm_interconnect_0:uart_0_s1_begintransfer -> uart_0:begintransfer
signal mm_interconnect_0_uart_0_s1_write : std_logic; -- mm_interconnect_0:uart_0_s1_write -> mm_interconnect_0_uart_0_s1_write:in
signal mm_interconnect_0_uart_0_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:uart_0_s1_writedata -> uart_0:writedata
signal mm_interconnect_0_spi_sd_card_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_chipselect -> spi_sd_card:spi_select
signal mm_interconnect_0_spi_sd_card_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_sd_card:data_to_cpu -> mm_interconnect_0:spi_sd_card_spi_control_port_readdata
signal mm_interconnect_0_spi_sd_card_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_sd_card_spi_control_port_address -> spi_sd_card:mem_addr
signal mm_interconnect_0_spi_sd_card_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_read -> mm_interconnect_0_spi_sd_card_spi_control_port_read:in
signal mm_interconnect_0_spi_sd_card_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_write -> mm_interconnect_0_spi_sd_card_spi_control_port_write:in
signal mm_interconnect_0_spi_sd_card_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_sd_card_spi_control_port_writedata -> spi_sd_card:data_from_cpu
signal mm_interconnect_0_spi_stm32_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_chipselect -> spi_stm32:spi_select
signal mm_interconnect_0_spi_stm32_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_stm32:data_to_cpu -> mm_interconnect_0:spi_stm32_spi_control_port_readdata
signal mm_interconnect_0_spi_stm32_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_stm32_spi_control_port_address -> spi_stm32:mem_addr
signal mm_interconnect_0_spi_stm32_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_read -> mm_interconnect_0_spi_stm32_spi_control_port_read:in
signal mm_interconnect_0_spi_stm32_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_write -> mm_interconnect_0_spi_stm32_spi_control_port_write:in
signal mm_interconnect_0_spi_stm32_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_stm32_spi_control_port_writedata -> spi_stm32:data_from_cpu
signal irq_mapper_receiver0_irq : std_logic; -- audio_0:irq -> irq_mapper:receiver0_irq
signal irq_mapper_receiver1_irq : std_logic; -- uart_0:irq -> irq_mapper:receiver1_irq
signal irq_mapper_receiver2_irq : std_logic; -- spi_sd_card:irq -> irq_mapper:receiver2_irq
signal irq_mapper_receiver3_irq : std_logic; -- spi_stm32:irq -> irq_mapper:receiver3_irq
signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq
signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [altpll_0:reset, mm_interconnect_0:altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset]
signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [audio_0:reset, irq_mapper:reset, mm_interconnect_0:sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_001_reset_out_reset:in, rst_translator:in_reset, sega_saturn_abus_slave_0:reset]
signal rst_controller_001_reset_out_reset_req : std_logic; -- rst_controller_001:reset_req -> [onchip_memory2_0:reset_req, rst_translator:reset_req_in]
signal mm_interconnect_0_external_sdram_controller_s1_read_ports_inv : std_logic; -- mm_interconnect_0_external_sdram_controller_s1_read:inv -> external_sdram_controller:az_rd_n
signal mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv : std_logic_vector(1 downto 0); -- mm_interconnect_0_external_sdram_controller_s1_byteenable:inv -> external_sdram_controller:az_be_n
signal mm_interconnect_0_external_sdram_controller_s1_write_ports_inv : std_logic; -- mm_interconnect_0_external_sdram_controller_s1_write:inv -> external_sdram_controller:az_wr_n
signal mm_interconnect_0_uart_0_s1_read_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_read:inv -> uart_0:read_n
signal mm_interconnect_0_uart_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_write:inv -> uart_0:write_n
signal mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_sd_card_spi_control_port_read:inv -> spi_sd_card:read_n
signal mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_sd_card_spi_control_port_write:inv -> spi_sd_card:write_n
signal mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_stm32_spi_control_port_read:inv -> spi_stm32:read_n
signal mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_stm32_spi_control_port_write:inv -> spi_stm32:write_n
signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> [external_sdram_controller:reset_n, nios2_gen2_0:reset_n, onchip_flash_0:reset_n, spi_sd_card:reset_n, spi_stm32:reset_n, uart_0:reset_n]
begin
altpll_0 : component wasca_altpll_0
port map (
clk => clk_clk, -- inclk_interface.clk
reset => rst_controller_reset_out_reset, -- inclk_interface_reset.reset
read => mm_interconnect_0_altpll_0_pll_slave_read, -- pll_slave.read
write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write
address => mm_interconnect_0_altpll_0_pll_slave_address, -- .address
readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata
writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata
c0 => altpll_0_c0_clk, -- c0.clk
areset => altpll_0_areset_conduit_export, -- areset_conduit.export
c1 => open, -- c1_conduit.export
locked => altpll_0_locked_conduit_export, -- locked_conduit.export
phasedone => altpll_0_phasedone_conduit_export -- phasedone_conduit.export
);
audio_0 : component wasca_audio_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset => rst_controller_001_reset_out_reset, -- reset.reset
address => mm_interconnect_0_audio_0_avalon_audio_slave_address, -- avalon_audio_slave.address
chipselect => mm_interconnect_0_audio_0_avalon_audio_slave_chipselect, -- .chipselect
read => mm_interconnect_0_audio_0_avalon_audio_slave_read, -- .read
write => mm_interconnect_0_audio_0_avalon_audio_slave_write, -- .write
writedata => mm_interconnect_0_audio_0_avalon_audio_slave_writedata, -- .writedata
readdata => mm_interconnect_0_audio_0_avalon_audio_slave_readdata, -- .readdata
irq => irq_mapper_receiver0_irq, -- interrupt.irq
AUD_BCLK => audio_out_BCLK, -- external_interface.export
AUD_DACDAT => audio_out_DACDAT, -- .export
AUD_DACLRCK => audio_out_DACLRCK -- .export
);
external_sdram_controller : component wasca_external_sdram_controller
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
az_addr => mm_interconnect_0_external_sdram_controller_s1_address, -- s1.address
az_be_n => mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv, -- .byteenable_n
az_cs => mm_interconnect_0_external_sdram_controller_s1_chipselect, -- .chipselect
az_data => mm_interconnect_0_external_sdram_controller_s1_writedata, -- .writedata
az_rd_n => mm_interconnect_0_external_sdram_controller_s1_read_ports_inv, -- .read_n
az_wr_n => mm_interconnect_0_external_sdram_controller_s1_write_ports_inv, -- .write_n
za_data => mm_interconnect_0_external_sdram_controller_s1_readdata, -- .readdata
za_valid => mm_interconnect_0_external_sdram_controller_s1_readdatavalid, -- .readdatavalid
za_waitrequest => mm_interconnect_0_external_sdram_controller_s1_waitrequest, -- .waitrequest
zs_addr => external_sdram_controller_wire_addr, -- wire.export
zs_ba => external_sdram_controller_wire_ba, -- .export
zs_cas_n => external_sdram_controller_wire_cas_n, -- .export
zs_cke => external_sdram_controller_wire_cke, -- .export
zs_cs_n => external_sdram_controller_wire_cs_n, -- .export
zs_dq => external_sdram_controller_wire_dq, -- .export
zs_dqm => external_sdram_controller_wire_dqm, -- .export
zs_ras_n => external_sdram_controller_wire_ras_n, -- .export
zs_we_n => external_sdram_controller_wire_we_n -- .export
);
nios2_gen2_0 : component wasca_nios2_gen2_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
d_address => nios2_gen2_0_data_master_address, -- data_master.address
d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
d_read => nios2_gen2_0_data_master_read, -- .read
d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
d_write => nios2_gen2_0_data_master_write, -- .write
d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address
i_read => nios2_gen2_0_instruction_master_read, -- .read
i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
irq => nios2_gen2_0_irq_irq, -- irq.irq
debug_reset_request => nios2_gen2_0_debug_reset_request_reset, -- debug_reset_request.reset
debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address
debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
dummy_ci_port => open -- custom_instruction_master.readra
);
onchip_flash_0 : component altera_onchip_flash
generic map (
INIT_FILENAME => "",
INIT_FILENAME_SIM => "",
DEVICE_FAMILY => "MAX 10",
PART_NAME => "10M08SAE144C8GES",
DEVICE_ID => "08",
SECTOR1_START_ADDR => 0,
SECTOR1_END_ADDR => 4095,
SECTOR2_START_ADDR => 4096,
SECTOR2_END_ADDR => 8191,
SECTOR3_START_ADDR => 8192,
SECTOR3_END_ADDR => 29183,
SECTOR4_START_ADDR => 29184,
SECTOR4_END_ADDR => 44031,
SECTOR5_START_ADDR => 0,
SECTOR5_END_ADDR => 0,
MIN_VALID_ADDR => 0,
MAX_VALID_ADDR => 44031,
MIN_UFM_VALID_ADDR => 0,
MAX_UFM_VALID_ADDR => 44031,
SECTOR1_MAP => 1,
SECTOR2_MAP => 2,
SECTOR3_MAP => 3,
SECTOR4_MAP => 4,
SECTOR5_MAP => 0,
ADDR_RANGE1_END_ADDR => 44031,
ADDR_RANGE1_OFFSET => 512,
ADDR_RANGE2_OFFSET => 0,
AVMM_DATA_ADDR_WIDTH => 16,
AVMM_DATA_DATA_WIDTH => 32,
AVMM_DATA_BURSTCOUNT_WIDTH => 4,
SECTOR_READ_PROTECTION_MODE => 31,
FLASH_SEQ_READ_DATA_COUNT => 2,
FLASH_ADDR_ALIGNMENT_BITS => 1,
FLASH_READ_CYCLE_MAX_INDEX => 3,
FLASH_RESET_CYCLE_MAX_INDEX => 29,
FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX => 111,
FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX => 40603248,
FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX => 35382,
PARALLEL_MODE => true,
READ_AND_WRITE_MODE => false,
WRAPPING_BURST_MODE => false,
IS_DUAL_BOOT => "False",
IS_ERAM_SKIP => "True",
IS_COMPRESSED_IMAGE => "True"
)
port map (
clock => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- nreset.reset_n
avmm_data_addr => mm_interconnect_0_onchip_flash_0_data_address, -- data.address
avmm_data_read => mm_interconnect_0_onchip_flash_0_data_read, -- .read
avmm_data_readdata => mm_interconnect_0_onchip_flash_0_data_readdata, -- .readdata
avmm_data_waitrequest => mm_interconnect_0_onchip_flash_0_data_waitrequest, -- .waitrequest
avmm_data_readdatavalid => mm_interconnect_0_onchip_flash_0_data_readdatavalid, -- .readdatavalid
avmm_data_burstcount => mm_interconnect_0_onchip_flash_0_data_burstcount, -- .burstcount
avmm_data_writedata => "00000000000000000000000000000000", -- (terminated)
avmm_data_write => '0', -- (terminated)
avmm_csr_addr => '0', -- (terminated)
avmm_csr_read => '0', -- (terminated)
avmm_csr_writedata => "00000000000000000000000000000000", -- (terminated)
avmm_csr_write => '0', -- (terminated)
avmm_csr_readdata => open -- (terminated)
);
onchip_memory2_0 : component wasca_onchip_memory2_0
port map (
clk => altpll_0_c0_clk, -- clk1.clk
address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address
clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
reset => rst_controller_001_reset_out_reset, -- reset1.reset
reset_req => rst_controller_001_reset_out_reset_req -- .reset_req
);
sega_saturn_abus_slave_0 : component sega_saturn_abus_slave
port map (
clock => altpll_0_c0_clk, -- clock.clk
abus_address => sega_saturn_abus_slave_0_abus_address, -- abus.address
abus_chipselect => sega_saturn_abus_slave_0_abus_chipselect, -- .chipselect
abus_read => sega_saturn_abus_slave_0_abus_read, -- .read
abus_write => sega_saturn_abus_slave_0_abus_write, -- .write
abus_waitrequest => sega_saturn_abus_slave_0_abus_waitrequest, -- .waitrequest
abus_interrupt => sega_saturn_abus_slave_0_abus_interrupt, -- .interrupt
abus_addressdata => sega_saturn_abus_slave_0_abus_addressdata, -- .addressdata
abus_direction => sega_saturn_abus_slave_0_abus_direction, -- .direction
abus_muxing => sega_saturn_abus_slave_0_abus_muxing, -- .muxing
abus_disable_out => sega_saturn_abus_slave_0_abus_disableout, -- .disableout
avalon_read => sega_saturn_abus_slave_0_avalon_master_read, -- avalon_master.read
avalon_write => sega_saturn_abus_slave_0_avalon_master_write, -- .write
avalon_waitrequest => sega_saturn_abus_slave_0_avalon_master_waitrequest, -- .waitrequest
avalon_address => sega_saturn_abus_slave_0_avalon_master_address, -- .address
avalon_readdata => sega_saturn_abus_slave_0_avalon_master_readdata, -- .readdata
avalon_writedata => sega_saturn_abus_slave_0_avalon_master_writedata, -- .writedata
avalon_readdatavalid => sega_saturn_abus_slave_0_avalon_master_readdatavalid, -- .readdatavalid
avalon_burstcount => sega_saturn_abus_slave_0_avalon_master_burstcount, -- .burstcount
reset => rst_controller_001_reset_out_reset, -- reset.reset
saturn_reset => sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset, -- conduit_saturn_reset.saturn_reset
avalon_nios_read => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read, -- avalon_nios.read
avalon_nios_write => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write, -- .write
avalon_nios_address => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address, -- .address
avalon_nios_writedata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata, -- .writedata
avalon_nios_readdata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata, -- .readdata
avalon_nios_waitrequest => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest, -- .waitrequest
avalon_nios_readdatavalid => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid, -- .readdatavalid
avalon_nios_burstcount => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount(0) -- .burstcount
);
spi_sd_card : component wasca_spi_sd_card
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
data_from_cpu => mm_interconnect_0_spi_sd_card_spi_control_port_writedata, -- spi_control_port.writedata
data_to_cpu => mm_interconnect_0_spi_sd_card_spi_control_port_readdata, -- .readdata
mem_addr => mm_interconnect_0_spi_sd_card_spi_control_port_address, -- .address
read_n => mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv, -- .read_n
spi_select => mm_interconnect_0_spi_sd_card_spi_control_port_chipselect, -- .chipselect
write_n => mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv, -- .write_n
irq => irq_mapper_receiver2_irq, -- irq.irq
MISO => spi_sd_card_MISO, -- external.export
MOSI => spi_sd_card_MOSI, -- .export
SCLK => spi_sd_card_SCLK, -- .export
SS_n => spi_sd_card_SS_n -- .export
);
spi_stm32 : component wasca_spi_stm32
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
data_from_cpu => mm_interconnect_0_spi_stm32_spi_control_port_writedata, -- spi_control_port.writedata
data_to_cpu => mm_interconnect_0_spi_stm32_spi_control_port_readdata, -- .readdata
mem_addr => mm_interconnect_0_spi_stm32_spi_control_port_address, -- .address
read_n => mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv, -- .read_n
spi_select => mm_interconnect_0_spi_stm32_spi_control_port_chipselect, -- .chipselect
write_n => mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv, -- .write_n
irq => irq_mapper_receiver3_irq, -- irq.irq
MISO => spi_stm32_MISO, -- external.export
MOSI => spi_stm32_MOSI, -- .export
SCLK => spi_stm32_SCLK, -- .export
SS_n => spi_stm32_SS_n -- .export
);
uart_0 : component wasca_uart_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_uart_0_s1_address, -- s1.address
begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
chipselect => mm_interconnect_0_uart_0_s1_chipselect, -- .chipselect
read_n => mm_interconnect_0_uart_0_s1_read_ports_inv, -- .read_n
write_n => mm_interconnect_0_uart_0_s1_write_ports_inv, -- .write_n
writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
dataavailable => open, -- .dataavailable
readyfordata => open, -- .readyfordata
rxd => uart_0_external_connection_rxd, -- external_connection.export
txd => uart_0_external_connection_txd, -- .export
irq => irq_mapper_receiver1_irq -- irq.irq
);
mm_interconnect_0 : component wasca_mm_interconnect_0
port map (
altpll_0_c0_clk => altpll_0_c0_clk, -- altpll_0_c0.clk
clk_0_clk_clk => clk_clk, -- clk_0_clk.clk
altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- altpll_0_inclk_interface_reset_reset_bridge_in_reset.reset
sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset => rst_controller_001_reset_out_reset, -- sega_saturn_abus_slave_0_reset_reset_bridge_in_reset.reset
nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address
nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read
nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write
nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address
nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read
nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_master_address => sega_saturn_abus_slave_0_avalon_master_address, -- sega_saturn_abus_slave_0_avalon_master.address
sega_saturn_abus_slave_0_avalon_master_waitrequest => sega_saturn_abus_slave_0_avalon_master_waitrequest, -- .waitrequest
sega_saturn_abus_slave_0_avalon_master_burstcount(0) => sega_saturn_abus_slave_0_avalon_master_burstcount, -- .burstcount
sega_saturn_abus_slave_0_avalon_master_read => sega_saturn_abus_slave_0_avalon_master_read, -- .read
sega_saturn_abus_slave_0_avalon_master_readdata => sega_saturn_abus_slave_0_avalon_master_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_master_readdatavalid => sega_saturn_abus_slave_0_avalon_master_readdatavalid, -- .readdatavalid
sega_saturn_abus_slave_0_avalon_master_write => sega_saturn_abus_slave_0_avalon_master_write, -- .write
sega_saturn_abus_slave_0_avalon_master_writedata => sega_saturn_abus_slave_0_avalon_master_writedata, -- .writedata
altpll_0_pll_slave_address => mm_interconnect_0_altpll_0_pll_slave_address, -- altpll_0_pll_slave.address
altpll_0_pll_slave_write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write
altpll_0_pll_slave_read => mm_interconnect_0_altpll_0_pll_slave_read, -- .read
altpll_0_pll_slave_readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata
altpll_0_pll_slave_writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata
audio_0_avalon_audio_slave_address => mm_interconnect_0_audio_0_avalon_audio_slave_address, -- audio_0_avalon_audio_slave.address
audio_0_avalon_audio_slave_write => mm_interconnect_0_audio_0_avalon_audio_slave_write, -- .write
audio_0_avalon_audio_slave_read => mm_interconnect_0_audio_0_avalon_audio_slave_read, -- .read
audio_0_avalon_audio_slave_readdata => mm_interconnect_0_audio_0_avalon_audio_slave_readdata, -- .readdata
audio_0_avalon_audio_slave_writedata => mm_interconnect_0_audio_0_avalon_audio_slave_writedata, -- .writedata
audio_0_avalon_audio_slave_chipselect => mm_interconnect_0_audio_0_avalon_audio_slave_chipselect, -- .chipselect
external_sdram_controller_s1_address => mm_interconnect_0_external_sdram_controller_s1_address, -- external_sdram_controller_s1.address
external_sdram_controller_s1_write => mm_interconnect_0_external_sdram_controller_s1_write, -- .write
external_sdram_controller_s1_read => mm_interconnect_0_external_sdram_controller_s1_read, -- .read
external_sdram_controller_s1_readdata => mm_interconnect_0_external_sdram_controller_s1_readdata, -- .readdata
external_sdram_controller_s1_writedata => mm_interconnect_0_external_sdram_controller_s1_writedata, -- .writedata
external_sdram_controller_s1_byteenable => mm_interconnect_0_external_sdram_controller_s1_byteenable, -- .byteenable
external_sdram_controller_s1_readdatavalid => mm_interconnect_0_external_sdram_controller_s1_readdatavalid, -- .readdatavalid
external_sdram_controller_s1_waitrequest => mm_interconnect_0_external_sdram_controller_s1_waitrequest, -- .waitrequest
external_sdram_controller_s1_chipselect => mm_interconnect_0_external_sdram_controller_s1_chipselect, -- .chipselect
nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address
nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
onchip_flash_0_data_address => mm_interconnect_0_onchip_flash_0_data_address, -- onchip_flash_0_data.address
onchip_flash_0_data_read => mm_interconnect_0_onchip_flash_0_data_read, -- .read
onchip_flash_0_data_readdata => mm_interconnect_0_onchip_flash_0_data_readdata, -- .readdata
onchip_flash_0_data_burstcount => mm_interconnect_0_onchip_flash_0_data_burstcount, -- .burstcount
onchip_flash_0_data_readdatavalid => mm_interconnect_0_onchip_flash_0_data_readdatavalid, -- .readdatavalid
onchip_flash_0_data_waitrequest => mm_interconnect_0_onchip_flash_0_data_waitrequest, -- .waitrequest
onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address
onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
sega_saturn_abus_slave_0_avalon_nios_address => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address, -- sega_saturn_abus_slave_0_avalon_nios.address
sega_saturn_abus_slave_0_avalon_nios_write => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write, -- .write
sega_saturn_abus_slave_0_avalon_nios_read => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read, -- .read
sega_saturn_abus_slave_0_avalon_nios_readdata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_nios_writedata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata, -- .writedata
sega_saturn_abus_slave_0_avalon_nios_burstcount => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount, -- .burstcount
sega_saturn_abus_slave_0_avalon_nios_readdatavalid => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid, -- .readdatavalid
sega_saturn_abus_slave_0_avalon_nios_waitrequest => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest, -- .waitrequest
spi_sd_card_spi_control_port_address => mm_interconnect_0_spi_sd_card_spi_control_port_address, -- spi_sd_card_spi_control_port.address
spi_sd_card_spi_control_port_write => mm_interconnect_0_spi_sd_card_spi_control_port_write, -- .write
spi_sd_card_spi_control_port_read => mm_interconnect_0_spi_sd_card_spi_control_port_read, -- .read
spi_sd_card_spi_control_port_readdata => mm_interconnect_0_spi_sd_card_spi_control_port_readdata, -- .readdata
spi_sd_card_spi_control_port_writedata => mm_interconnect_0_spi_sd_card_spi_control_port_writedata, -- .writedata
spi_sd_card_spi_control_port_chipselect => mm_interconnect_0_spi_sd_card_spi_control_port_chipselect, -- .chipselect
spi_stm32_spi_control_port_address => mm_interconnect_0_spi_stm32_spi_control_port_address, -- spi_stm32_spi_control_port.address
spi_stm32_spi_control_port_write => mm_interconnect_0_spi_stm32_spi_control_port_write, -- .write
spi_stm32_spi_control_port_read => mm_interconnect_0_spi_stm32_spi_control_port_read, -- .read
spi_stm32_spi_control_port_readdata => mm_interconnect_0_spi_stm32_spi_control_port_readdata, -- .readdata
spi_stm32_spi_control_port_writedata => mm_interconnect_0_spi_stm32_spi_control_port_writedata, -- .writedata
spi_stm32_spi_control_port_chipselect => mm_interconnect_0_spi_stm32_spi_control_port_chipselect, -- .chipselect
uart_0_s1_address => mm_interconnect_0_uart_0_s1_address, -- uart_0_s1.address
uart_0_s1_write => mm_interconnect_0_uart_0_s1_write, -- .write
uart_0_s1_read => mm_interconnect_0_uart_0_s1_read, -- .read
uart_0_s1_readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
uart_0_s1_writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
uart_0_s1_begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
uart_0_s1_chipselect => mm_interconnect_0_uart_0_s1_chipselect -- .chipselect
);
irq_mapper : component wasca_irq_mapper
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset => rst_controller_001_reset_out_reset, -- clk_reset.reset
receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq
receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq
receiver2_irq => irq_mapper_receiver2_irq, -- receiver2.irq
receiver3_irq => irq_mapper_receiver3_irq, -- receiver3.irq
sender_irq => nios2_gen2_0_irq_irq -- sender.irq
);
rst_controller : component wasca_rst_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 0,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => clk_clk, -- clk.clk
reset_out => rst_controller_reset_out_reset, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
rst_controller_001 : component wasca_rst_controller_001
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 1,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => altpll_0_c0_clk, -- clk.clk
reset_out => rst_controller_001_reset_out_reset, -- reset_out.reset
reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
rst_controller_002 : component wasca_rst_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "both",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 0,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => altpll_0_c0_clk, -- clk.clk
reset_out => open, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
mm_interconnect_0_external_sdram_controller_s1_read_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_read;
mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_byteenable;
mm_interconnect_0_external_sdram_controller_s1_write_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_write;
mm_interconnect_0_uart_0_s1_read_ports_inv <= not mm_interconnect_0_uart_0_s1_read;
mm_interconnect_0_uart_0_s1_write_ports_inv <= not mm_interconnect_0_uart_0_s1_write;
mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_sd_card_spi_control_port_read;
mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_sd_card_spi_control_port_write;
mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_stm32_spi_control_port_read;
mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_stm32_spi_control_port_write;
rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset;
clock_116_mhz_clk <= altpll_0_c0_clk;
end architecture rtl; -- of wasca
| gpl-2.0 |
cafe-alpha/wascafe | v13/r07c_de10_20201010_abus3/wasca/synthesis/wasca.vhd | 6 | 118138 | -- wasca.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca is
port (
altpll_0_areset_conduit_export : in std_logic := '0'; -- altpll_0_areset_conduit.export
altpll_0_locked_conduit_export : out std_logic; -- altpll_0_locked_conduit.export
altpll_0_phasedone_conduit_export : out std_logic; -- altpll_0_phasedone_conduit.export
audio_out_BCLK : in std_logic := '0'; -- audio_out.BCLK
audio_out_DACDAT : out std_logic; -- .DACDAT
audio_out_DACLRCK : in std_logic := '0'; -- .DACLRCK
clk_clk : in std_logic := '0'; -- clk.clk
clock_116_mhz_clk : out std_logic; -- clock_116_mhz.clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(9 downto 0) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic; -- .interrupt
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .addressdata
sega_saturn_abus_slave_0_abus_direction : out std_logic; -- .direction
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0); -- .muxing
sega_saturn_abus_slave_0_abus_disableout : out std_logic; -- .disableout
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset : in std_logic := '0'; -- sega_saturn_abus_slave_0_conduit_saturn_reset.saturn_reset
spi_sd_card_MISO : in std_logic := '0'; -- spi_sd_card.MISO
spi_sd_card_MOSI : out std_logic; -- .MOSI
spi_sd_card_SCLK : out std_logic; -- .SCLK
spi_sd_card_SS_n : out std_logic; -- .SS_n
spi_stm32_MISO : out std_logic; -- spi_stm32.MISO
spi_stm32_MOSI : in std_logic := '0'; -- .MOSI
spi_stm32_SCLK : in std_logic := '0'; -- .SCLK
spi_stm32_SS_n : in std_logic := '0'; -- .SS_n
uart_0_external_connection_rxd : in std_logic := '0'; -- uart_0_external_connection.rxd
uart_0_external_connection_txd : out std_logic -- .txd
);
end entity wasca;
architecture rtl of wasca is
component wasca_altpll_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
c0 : out std_logic; -- clk
areset : in std_logic := 'X'; -- export
c1 : out std_logic; -- export
locked : out std_logic; -- export
phasedone : out std_logic -- export
);
end component wasca_altpll_0;
component wasca_audio_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
chipselect : in std_logic := 'X'; -- chipselect
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(31 downto 0); -- readdata
irq : out std_logic; -- irq
AUD_BCLK : in std_logic := 'X'; -- export
AUD_DACDAT : out std_logic; -- export
AUD_DACLRCK : in std_logic := 'X' -- export
);
end component wasca_audio_0;
component wasca_external_sdram_controller is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
az_addr : in std_logic_vector(23 downto 0) := (others => 'X'); -- address
az_be_n : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable_n
az_cs : in std_logic := 'X'; -- chipselect
az_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
az_rd_n : in std_logic := 'X'; -- read_n
az_wr_n : in std_logic := 'X'; -- write_n
za_data : out std_logic_vector(15 downto 0); -- readdata
za_valid : out std_logic; -- readdatavalid
za_waitrequest : out std_logic; -- waitrequest
zs_addr : out std_logic_vector(12 downto 0); -- export
zs_ba : out std_logic_vector(1 downto 0); -- export
zs_cas_n : out std_logic; -- export
zs_cke : out std_logic; -- export
zs_cs_n : out std_logic; -- export
zs_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export
zs_dqm : out std_logic_vector(1 downto 0); -- export
zs_ras_n : out std_logic; -- export
zs_we_n : out std_logic -- export
);
end component wasca_external_sdram_controller;
component wasca_nios2_gen2_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
d_address : out std_logic_vector(26 downto 0); -- address
d_byteenable : out std_logic_vector(3 downto 0); -- byteenable
d_read : out std_logic; -- read
d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
d_waitrequest : in std_logic := 'X'; -- waitrequest
d_write : out std_logic; -- write
d_writedata : out std_logic_vector(31 downto 0); -- writedata
debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess
i_address : out std_logic_vector(26 downto 0); -- address
i_read : out std_logic; -- read
i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
i_waitrequest : in std_logic := 'X'; -- waitrequest
irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq
debug_reset_request : out std_logic; -- reset
debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address
debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess
debug_mem_slave_read : in std_logic := 'X'; -- read
debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata
debug_mem_slave_waitrequest : out std_logic; -- waitrequest
debug_mem_slave_write : in std_logic := 'X'; -- write
debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
dummy_ci_port : out std_logic -- readra
);
end component wasca_nios2_gen2_0;
component altera_onchip_flash is
generic (
INIT_FILENAME : string := "";
INIT_FILENAME_SIM : string := "";
DEVICE_FAMILY : string := "Unknown";
PART_NAME : string := "Unknown";
DEVICE_ID : string := "Unknown";
SECTOR1_START_ADDR : integer := 0;
SECTOR1_END_ADDR : integer := 0;
SECTOR2_START_ADDR : integer := 0;
SECTOR2_END_ADDR : integer := 0;
SECTOR3_START_ADDR : integer := 0;
SECTOR3_END_ADDR : integer := 0;
SECTOR4_START_ADDR : integer := 0;
SECTOR4_END_ADDR : integer := 0;
SECTOR5_START_ADDR : integer := 0;
SECTOR5_END_ADDR : integer := 0;
MIN_VALID_ADDR : integer := 0;
MAX_VALID_ADDR : integer := 0;
MIN_UFM_VALID_ADDR : integer := 0;
MAX_UFM_VALID_ADDR : integer := 0;
SECTOR1_MAP : integer := 0;
SECTOR2_MAP : integer := 0;
SECTOR3_MAP : integer := 0;
SECTOR4_MAP : integer := 0;
SECTOR5_MAP : integer := 0;
ADDR_RANGE1_END_ADDR : integer := 0;
ADDR_RANGE1_OFFSET : integer := 0;
ADDR_RANGE2_OFFSET : integer := 0;
AVMM_DATA_ADDR_WIDTH : integer := 19;
AVMM_DATA_DATA_WIDTH : integer := 32;
AVMM_DATA_BURSTCOUNT_WIDTH : integer := 4;
SECTOR_READ_PROTECTION_MODE : integer := 31;
FLASH_SEQ_READ_DATA_COUNT : integer := 2;
FLASH_ADDR_ALIGNMENT_BITS : integer := 1;
FLASH_READ_CYCLE_MAX_INDEX : integer := 4;
FLASH_RESET_CYCLE_MAX_INDEX : integer := 29;
FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX : integer := 112;
FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX : integer := 40603248;
FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX : integer := 35382;
PARALLEL_MODE : boolean := true;
READ_AND_WRITE_MODE : boolean := true;
WRAPPING_BURST_MODE : boolean := false;
IS_DUAL_BOOT : string := "False";
IS_ERAM_SKIP : string := "False";
IS_COMPRESSED_IMAGE : string := "False"
);
port (
clock : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
avmm_data_addr : in std_logic_vector(15 downto 0) := (others => 'X'); -- address
avmm_data_read : in std_logic := 'X'; -- read
avmm_data_readdata : out std_logic_vector(31 downto 0); -- readdata
avmm_data_waitrequest : out std_logic; -- waitrequest
avmm_data_readdatavalid : out std_logic; -- readdatavalid
avmm_data_burstcount : in std_logic_vector(3 downto 0) := (others => 'X'); -- burstcount
avmm_data_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avmm_data_write : in std_logic := 'X'; -- write
avmm_csr_addr : in std_logic := 'X'; -- address
avmm_csr_read : in std_logic := 'X'; -- read
avmm_csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avmm_csr_write : in std_logic := 'X'; -- write
avmm_csr_readdata : out std_logic_vector(31 downto 0) -- readdata
);
end component altera_onchip_flash;
component wasca_onchip_memory2_0 is
port (
clk : in std_logic := 'X'; -- clk
address : in std_logic_vector(11 downto 0) := (others => 'X'); -- address
clken : in std_logic := 'X'; -- clken
chipselect : in std_logic := 'X'; -- chipselect
write : in std_logic := 'X'; -- write
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
reset : in std_logic := 'X'; -- reset
reset_req : in std_logic := 'X' -- reset_req
);
end component wasca_onchip_memory2_0;
component sega_saturn_abus_slave is
port (
clock : in std_logic := 'X'; -- clk
abus_address : in std_logic_vector(9 downto 0) := (others => 'X'); -- address
abus_chipselect : in std_logic_vector(2 downto 0) := (others => 'X'); -- chipselect
abus_read : in std_logic := 'X'; -- read
abus_write : in std_logic_vector(1 downto 0) := (others => 'X'); -- write
abus_waitrequest : out std_logic; -- waitrequest
abus_interrupt : out std_logic; -- interrupt
abus_addressdata : inout std_logic_vector(15 downto 0) := (others => 'X'); -- addressdata
abus_direction : out std_logic; -- direction
abus_muxing : out std_logic_vector(1 downto 0); -- muxing
abus_disable_out : out std_logic; -- disableout
avalon_read : out std_logic; -- read
avalon_write : out std_logic; -- write
avalon_waitrequest : in std_logic := 'X'; -- waitrequest
avalon_address : out std_logic_vector(27 downto 0); -- address
avalon_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
avalon_writedata : out std_logic_vector(15 downto 0); -- writedata
avalon_readdatavalid : in std_logic := 'X'; -- readdatavalid
avalon_burstcount : out std_logic; -- burstcount
reset : in std_logic := 'X'; -- reset
saturn_reset : in std_logic := 'X'; -- saturn_reset
avalon_nios_read : in std_logic := 'X'; -- read
avalon_nios_write : in std_logic := 'X'; -- write
avalon_nios_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address
avalon_nios_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
avalon_nios_readdata : out std_logic_vector(15 downto 0); -- readdata
avalon_nios_waitrequest : out std_logic; -- waitrequest
avalon_nios_readdatavalid : out std_logic; -- readdatavalid
avalon_nios_burstcount : in std_logic := 'X' -- burstcount
);
end component sega_saturn_abus_slave;
component wasca_spi_sd_card is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
data_to_cpu : out std_logic_vector(15 downto 0); -- readdata
mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
read_n : in std_logic := 'X'; -- read_n
spi_select : in std_logic := 'X'; -- chipselect
write_n : in std_logic := 'X'; -- write_n
irq : out std_logic; -- irq
MISO : in std_logic := 'X'; -- export
MOSI : out std_logic; -- export
SCLK : out std_logic; -- export
SS_n : out std_logic -- export
);
end component wasca_spi_sd_card;
component wasca_spi_stm32 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
data_to_cpu : out std_logic_vector(15 downto 0); -- readdata
mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
read_n : in std_logic := 'X'; -- read_n
spi_select : in std_logic := 'X'; -- chipselect
write_n : in std_logic := 'X'; -- write_n
irq : out std_logic; -- irq
MISO : out std_logic; -- export
MOSI : in std_logic := 'X'; -- export
SCLK : in std_logic := 'X'; -- export
SS_n : in std_logic := 'X' -- export
);
end component wasca_spi_stm32;
component wasca_uart_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
begintransfer : in std_logic := 'X'; -- begintransfer
chipselect : in std_logic := 'X'; -- chipselect
read_n : in std_logic := 'X'; -- read_n
write_n : in std_logic := 'X'; -- write_n
writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(15 downto 0); -- readdata
dataavailable : out std_logic; -- dataavailable
readyfordata : out std_logic; -- readyfordata
rxd : in std_logic := 'X'; -- export
txd : out std_logic; -- export
irq : out std_logic -- irq
);
end component wasca_uart_0;
component wasca_mm_interconnect_0 is
port (
altpll_0_c0_clk : in std_logic := 'X'; -- clk
clk_0_clk_clk : in std_logic := 'X'; -- clk
altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
nios2_gen2_0_data_master_address : in std_logic_vector(26 downto 0) := (others => 'X'); -- address
nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata
nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write
nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess
nios2_gen2_0_instruction_master_address : in std_logic_vector(26 downto 0) := (others => 'X'); -- address
nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata
sega_saturn_abus_slave_0_avalon_master_address : in std_logic_vector(27 downto 0) := (others => 'X'); -- address
sega_saturn_abus_slave_0_avalon_master_waitrequest : out std_logic; -- waitrequest
sega_saturn_abus_slave_0_avalon_master_burstcount : in std_logic_vector(0 downto 0) := (others => 'X'); -- burstcount
sega_saturn_abus_slave_0_avalon_master_read : in std_logic := 'X'; -- read
sega_saturn_abus_slave_0_avalon_master_readdata : out std_logic_vector(15 downto 0); -- readdata
sega_saturn_abus_slave_0_avalon_master_readdatavalid : out std_logic; -- readdatavalid
sega_saturn_abus_slave_0_avalon_master_write : in std_logic := 'X'; -- write
sega_saturn_abus_slave_0_avalon_master_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
altpll_0_pll_slave_address : out std_logic_vector(1 downto 0); -- address
altpll_0_pll_slave_write : out std_logic; -- write
altpll_0_pll_slave_read : out std_logic; -- read
altpll_0_pll_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
altpll_0_pll_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_0_avalon_audio_slave_address : out std_logic_vector(1 downto 0); -- address
audio_0_avalon_audio_slave_write : out std_logic; -- write
audio_0_avalon_audio_slave_read : out std_logic; -- read
audio_0_avalon_audio_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
audio_0_avalon_audio_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_0_avalon_audio_slave_chipselect : out std_logic; -- chipselect
external_sdram_controller_s1_address : out std_logic_vector(23 downto 0); -- address
external_sdram_controller_s1_write : out std_logic; -- write
external_sdram_controller_s1_read : out std_logic; -- read
external_sdram_controller_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
external_sdram_controller_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
external_sdram_controller_s1_byteenable : out std_logic_vector(1 downto 0); -- byteenable
external_sdram_controller_s1_readdatavalid : in std_logic := 'X'; -- readdatavalid
external_sdram_controller_s1_waitrequest : in std_logic := 'X'; -- waitrequest
external_sdram_controller_s1_chipselect : out std_logic; -- chipselect
nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address
nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write
nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read
nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable
nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess
onchip_flash_0_data_address : out std_logic_vector(15 downto 0); -- address
onchip_flash_0_data_read : out std_logic; -- read
onchip_flash_0_data_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_flash_0_data_burstcount : out std_logic_vector(3 downto 0); -- burstcount
onchip_flash_0_data_readdatavalid : in std_logic := 'X'; -- readdatavalid
onchip_flash_0_data_waitrequest : in std_logic := 'X'; -- waitrequest
onchip_memory2_0_s1_address : out std_logic_vector(11 downto 0); -- address
onchip_memory2_0_s1_write : out std_logic; -- write
onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable
onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect
onchip_memory2_0_s1_clken : out std_logic; -- clken
sega_saturn_abus_slave_0_avalon_nios_address : out std_logic_vector(7 downto 0); -- address
sega_saturn_abus_slave_0_avalon_nios_write : out std_logic; -- write
sega_saturn_abus_slave_0_avalon_nios_read : out std_logic; -- read
sega_saturn_abus_slave_0_avalon_nios_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
sega_saturn_abus_slave_0_avalon_nios_writedata : out std_logic_vector(15 downto 0); -- writedata
sega_saturn_abus_slave_0_avalon_nios_burstcount : out std_logic_vector(0 downto 0); -- burstcount
sega_saturn_abus_slave_0_avalon_nios_readdatavalid : in std_logic := 'X'; -- readdatavalid
sega_saturn_abus_slave_0_avalon_nios_waitrequest : in std_logic := 'X'; -- waitrequest
spi_sd_card_spi_control_port_address : out std_logic_vector(2 downto 0); -- address
spi_sd_card_spi_control_port_write : out std_logic; -- write
spi_sd_card_spi_control_port_read : out std_logic; -- read
spi_sd_card_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
spi_sd_card_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata
spi_sd_card_spi_control_port_chipselect : out std_logic; -- chipselect
spi_stm32_spi_control_port_address : out std_logic_vector(2 downto 0); -- address
spi_stm32_spi_control_port_write : out std_logic; -- write
spi_stm32_spi_control_port_read : out std_logic; -- read
spi_stm32_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
spi_stm32_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata
spi_stm32_spi_control_port_chipselect : out std_logic; -- chipselect
uart_0_s1_address : out std_logic_vector(2 downto 0); -- address
uart_0_s1_write : out std_logic; -- write
uart_0_s1_read : out std_logic; -- read
uart_0_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
uart_0_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
uart_0_s1_begintransfer : out std_logic; -- begintransfer
uart_0_s1_chipselect : out std_logic -- chipselect
);
end component wasca_mm_interconnect_0;
component wasca_irq_mapper is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
receiver0_irq : in std_logic := 'X'; -- irq
receiver1_irq : in std_logic := 'X'; -- irq
receiver2_irq : in std_logic := 'X'; -- irq
receiver3_irq : in std_logic := 'X'; -- irq
sender_irq : out std_logic_vector(31 downto 0) -- irq
);
end component wasca_irq_mapper;
component wasca_rst_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component wasca_rst_controller;
component wasca_rst_controller_001 is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component wasca_rst_controller_001;
signal altpll_0_c0_clk : std_logic; -- altpll_0:c0 -> [clock_116_mhz_clk, audio_0:clk, external_sdram_controller:clk, irq_mapper:clk, mm_interconnect_0:altpll_0_c0_clk, nios2_gen2_0:clk, onchip_flash_0:clock, onchip_memory2_0:clk, rst_controller_001:clk, rst_controller_002:clk, sega_saturn_abus_slave_0:clock, spi_sd_card:clk, spi_stm32:clk, uart_0:clk]
signal nios2_gen2_0_debug_reset_request_reset : std_logic; -- nios2_gen2_0:debug_reset_request -> [rst_controller:reset_in0, rst_controller_001:reset_in0, rst_controller_002:reset_in0]
signal sega_saturn_abus_slave_0_avalon_master_waitrequest : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_waitrequest -> sega_saturn_abus_slave_0:avalon_waitrequest
signal sega_saturn_abus_slave_0_avalon_master_readdata : std_logic_vector(15 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_readdata -> sega_saturn_abus_slave_0:avalon_readdata
signal sega_saturn_abus_slave_0_avalon_master_read : std_logic; -- sega_saturn_abus_slave_0:avalon_read -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_read
signal sega_saturn_abus_slave_0_avalon_master_address : std_logic_vector(27 downto 0); -- sega_saturn_abus_slave_0:avalon_address -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_address
signal sega_saturn_abus_slave_0_avalon_master_readdatavalid : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_readdatavalid -> sega_saturn_abus_slave_0:avalon_readdatavalid
signal sega_saturn_abus_slave_0_avalon_master_write : std_logic; -- sega_saturn_abus_slave_0:avalon_write -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_write
signal sega_saturn_abus_slave_0_avalon_master_writedata : std_logic_vector(15 downto 0); -- sega_saturn_abus_slave_0:avalon_writedata -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_writedata
signal sega_saturn_abus_slave_0_avalon_master_burstcount : std_logic; -- sega_saturn_abus_slave_0:avalon_burstcount -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_burstcount
signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata
signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest
signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess
signal nios2_gen2_0_data_master_address : std_logic_vector(26 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address
signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable
signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read
signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write
signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata
signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata
signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest
signal nios2_gen2_0_instruction_master_address : std_logic_vector(26 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address
signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read
signal mm_interconnect_0_external_sdram_controller_s1_chipselect : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_chipselect -> external_sdram_controller:az_cs
signal mm_interconnect_0_external_sdram_controller_s1_readdata : std_logic_vector(15 downto 0); -- external_sdram_controller:za_data -> mm_interconnect_0:external_sdram_controller_s1_readdata
signal mm_interconnect_0_external_sdram_controller_s1_waitrequest : std_logic; -- external_sdram_controller:za_waitrequest -> mm_interconnect_0:external_sdram_controller_s1_waitrequest
signal mm_interconnect_0_external_sdram_controller_s1_address : std_logic_vector(23 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_address -> external_sdram_controller:az_addr
signal mm_interconnect_0_external_sdram_controller_s1_read : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_read -> mm_interconnect_0_external_sdram_controller_s1_read:in
signal mm_interconnect_0_external_sdram_controller_s1_byteenable : std_logic_vector(1 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_byteenable -> mm_interconnect_0_external_sdram_controller_s1_byteenable:in
signal mm_interconnect_0_external_sdram_controller_s1_readdatavalid : std_logic; -- external_sdram_controller:za_valid -> mm_interconnect_0:external_sdram_controller_s1_readdatavalid
signal mm_interconnect_0_external_sdram_controller_s1_write : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_write -> mm_interconnect_0_external_sdram_controller_s1_write:in
signal mm_interconnect_0_external_sdram_controller_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_writedata -> external_sdram_controller:az_data
signal mm_interconnect_0_onchip_flash_0_data_readdata : std_logic_vector(31 downto 0); -- onchip_flash_0:avmm_data_readdata -> mm_interconnect_0:onchip_flash_0_data_readdata
signal mm_interconnect_0_onchip_flash_0_data_waitrequest : std_logic; -- onchip_flash_0:avmm_data_waitrequest -> mm_interconnect_0:onchip_flash_0_data_waitrequest
signal mm_interconnect_0_onchip_flash_0_data_address : std_logic_vector(15 downto 0); -- mm_interconnect_0:onchip_flash_0_data_address -> onchip_flash_0:avmm_data_addr
signal mm_interconnect_0_onchip_flash_0_data_read : std_logic; -- mm_interconnect_0:onchip_flash_0_data_read -> onchip_flash_0:avmm_data_read
signal mm_interconnect_0_onchip_flash_0_data_readdatavalid : std_logic; -- onchip_flash_0:avmm_data_readdatavalid -> mm_interconnect_0:onchip_flash_0_data_readdatavalid
signal mm_interconnect_0_onchip_flash_0_data_burstcount : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_flash_0_data_burstcount -> onchip_flash_0:avmm_data_burstcount
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata
signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect
signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata
signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(11 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address
signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable
signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write
signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata
signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken
signal mm_interconnect_0_audio_0_avalon_audio_slave_chipselect : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_chipselect -> audio_0:chipselect
signal mm_interconnect_0_audio_0_avalon_audio_slave_readdata : std_logic_vector(31 downto 0); -- audio_0:readdata -> mm_interconnect_0:audio_0_avalon_audio_slave_readdata
signal mm_interconnect_0_audio_0_avalon_audio_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:audio_0_avalon_audio_slave_address -> audio_0:address
signal mm_interconnect_0_audio_0_avalon_audio_slave_read : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_read -> audio_0:read
signal mm_interconnect_0_audio_0_avalon_audio_slave_write : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_write -> audio_0:write
signal mm_interconnect_0_audio_0_avalon_audio_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_0_avalon_audio_slave_writedata -> audio_0:writedata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata : std_logic_vector(15 downto 0); -- sega_saturn_abus_slave_0:avalon_nios_readdata -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_readdata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest : std_logic; -- sega_saturn_abus_slave_0:avalon_nios_waitrequest -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_waitrequest
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address : std_logic_vector(7 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_address -> sega_saturn_abus_slave_0:avalon_nios_address
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_read -> sega_saturn_abus_slave_0:avalon_nios_read
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid : std_logic; -- sega_saturn_abus_slave_0:avalon_nios_readdatavalid -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_readdatavalid
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_write -> sega_saturn_abus_slave_0:avalon_nios_write
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_writedata -> sega_saturn_abus_slave_0:avalon_nios_writedata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount : std_logic_vector(0 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_burstcount -> sega_saturn_abus_slave_0:avalon_nios_burstcount
signal mm_interconnect_0_altpll_0_pll_slave_readdata : std_logic_vector(31 downto 0); -- altpll_0:readdata -> mm_interconnect_0:altpll_0_pll_slave_readdata
signal mm_interconnect_0_altpll_0_pll_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_address -> altpll_0:address
signal mm_interconnect_0_altpll_0_pll_slave_read : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_read -> altpll_0:read
signal mm_interconnect_0_altpll_0_pll_slave_write : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_write -> altpll_0:write
signal mm_interconnect_0_altpll_0_pll_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_writedata -> altpll_0:writedata
signal mm_interconnect_0_uart_0_s1_chipselect : std_logic; -- mm_interconnect_0:uart_0_s1_chipselect -> uart_0:chipselect
signal mm_interconnect_0_uart_0_s1_readdata : std_logic_vector(15 downto 0); -- uart_0:readdata -> mm_interconnect_0:uart_0_s1_readdata
signal mm_interconnect_0_uart_0_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:uart_0_s1_address -> uart_0:address
signal mm_interconnect_0_uart_0_s1_read : std_logic; -- mm_interconnect_0:uart_0_s1_read -> mm_interconnect_0_uart_0_s1_read:in
signal mm_interconnect_0_uart_0_s1_begintransfer : std_logic; -- mm_interconnect_0:uart_0_s1_begintransfer -> uart_0:begintransfer
signal mm_interconnect_0_uart_0_s1_write : std_logic; -- mm_interconnect_0:uart_0_s1_write -> mm_interconnect_0_uart_0_s1_write:in
signal mm_interconnect_0_uart_0_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:uart_0_s1_writedata -> uart_0:writedata
signal mm_interconnect_0_spi_sd_card_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_chipselect -> spi_sd_card:spi_select
signal mm_interconnect_0_spi_sd_card_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_sd_card:data_to_cpu -> mm_interconnect_0:spi_sd_card_spi_control_port_readdata
signal mm_interconnect_0_spi_sd_card_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_sd_card_spi_control_port_address -> spi_sd_card:mem_addr
signal mm_interconnect_0_spi_sd_card_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_read -> mm_interconnect_0_spi_sd_card_spi_control_port_read:in
signal mm_interconnect_0_spi_sd_card_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_write -> mm_interconnect_0_spi_sd_card_spi_control_port_write:in
signal mm_interconnect_0_spi_sd_card_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_sd_card_spi_control_port_writedata -> spi_sd_card:data_from_cpu
signal mm_interconnect_0_spi_stm32_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_chipselect -> spi_stm32:spi_select
signal mm_interconnect_0_spi_stm32_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_stm32:data_to_cpu -> mm_interconnect_0:spi_stm32_spi_control_port_readdata
signal mm_interconnect_0_spi_stm32_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_stm32_spi_control_port_address -> spi_stm32:mem_addr
signal mm_interconnect_0_spi_stm32_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_read -> mm_interconnect_0_spi_stm32_spi_control_port_read:in
signal mm_interconnect_0_spi_stm32_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_write -> mm_interconnect_0_spi_stm32_spi_control_port_write:in
signal mm_interconnect_0_spi_stm32_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_stm32_spi_control_port_writedata -> spi_stm32:data_from_cpu
signal irq_mapper_receiver0_irq : std_logic; -- audio_0:irq -> irq_mapper:receiver0_irq
signal irq_mapper_receiver1_irq : std_logic; -- uart_0:irq -> irq_mapper:receiver1_irq
signal irq_mapper_receiver2_irq : std_logic; -- spi_sd_card:irq -> irq_mapper:receiver2_irq
signal irq_mapper_receiver3_irq : std_logic; -- spi_stm32:irq -> irq_mapper:receiver3_irq
signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq
signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [altpll_0:reset, mm_interconnect_0:altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset]
signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [audio_0:reset, irq_mapper:reset, mm_interconnect_0:sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_001_reset_out_reset:in, rst_translator:in_reset, sega_saturn_abus_slave_0:reset]
signal rst_controller_001_reset_out_reset_req : std_logic; -- rst_controller_001:reset_req -> [onchip_memory2_0:reset_req, rst_translator:reset_req_in]
signal mm_interconnect_0_external_sdram_controller_s1_read_ports_inv : std_logic; -- mm_interconnect_0_external_sdram_controller_s1_read:inv -> external_sdram_controller:az_rd_n
signal mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv : std_logic_vector(1 downto 0); -- mm_interconnect_0_external_sdram_controller_s1_byteenable:inv -> external_sdram_controller:az_be_n
signal mm_interconnect_0_external_sdram_controller_s1_write_ports_inv : std_logic; -- mm_interconnect_0_external_sdram_controller_s1_write:inv -> external_sdram_controller:az_wr_n
signal mm_interconnect_0_uart_0_s1_read_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_read:inv -> uart_0:read_n
signal mm_interconnect_0_uart_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_write:inv -> uart_0:write_n
signal mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_sd_card_spi_control_port_read:inv -> spi_sd_card:read_n
signal mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_sd_card_spi_control_port_write:inv -> spi_sd_card:write_n
signal mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_stm32_spi_control_port_read:inv -> spi_stm32:read_n
signal mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_stm32_spi_control_port_write:inv -> spi_stm32:write_n
signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> [external_sdram_controller:reset_n, nios2_gen2_0:reset_n, onchip_flash_0:reset_n, spi_sd_card:reset_n, spi_stm32:reset_n, uart_0:reset_n]
begin
altpll_0 : component wasca_altpll_0
port map (
clk => clk_clk, -- inclk_interface.clk
reset => rst_controller_reset_out_reset, -- inclk_interface_reset.reset
read => mm_interconnect_0_altpll_0_pll_slave_read, -- pll_slave.read
write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write
address => mm_interconnect_0_altpll_0_pll_slave_address, -- .address
readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata
writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata
c0 => altpll_0_c0_clk, -- c0.clk
areset => altpll_0_areset_conduit_export, -- areset_conduit.export
c1 => open, -- c1_conduit.export
locked => altpll_0_locked_conduit_export, -- locked_conduit.export
phasedone => altpll_0_phasedone_conduit_export -- phasedone_conduit.export
);
audio_0 : component wasca_audio_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset => rst_controller_001_reset_out_reset, -- reset.reset
address => mm_interconnect_0_audio_0_avalon_audio_slave_address, -- avalon_audio_slave.address
chipselect => mm_interconnect_0_audio_0_avalon_audio_slave_chipselect, -- .chipselect
read => mm_interconnect_0_audio_0_avalon_audio_slave_read, -- .read
write => mm_interconnect_0_audio_0_avalon_audio_slave_write, -- .write
writedata => mm_interconnect_0_audio_0_avalon_audio_slave_writedata, -- .writedata
readdata => mm_interconnect_0_audio_0_avalon_audio_slave_readdata, -- .readdata
irq => irq_mapper_receiver0_irq, -- interrupt.irq
AUD_BCLK => audio_out_BCLK, -- external_interface.export
AUD_DACDAT => audio_out_DACDAT, -- .export
AUD_DACLRCK => audio_out_DACLRCK -- .export
);
external_sdram_controller : component wasca_external_sdram_controller
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
az_addr => mm_interconnect_0_external_sdram_controller_s1_address, -- s1.address
az_be_n => mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv, -- .byteenable_n
az_cs => mm_interconnect_0_external_sdram_controller_s1_chipselect, -- .chipselect
az_data => mm_interconnect_0_external_sdram_controller_s1_writedata, -- .writedata
az_rd_n => mm_interconnect_0_external_sdram_controller_s1_read_ports_inv, -- .read_n
az_wr_n => mm_interconnect_0_external_sdram_controller_s1_write_ports_inv, -- .write_n
za_data => mm_interconnect_0_external_sdram_controller_s1_readdata, -- .readdata
za_valid => mm_interconnect_0_external_sdram_controller_s1_readdatavalid, -- .readdatavalid
za_waitrequest => mm_interconnect_0_external_sdram_controller_s1_waitrequest, -- .waitrequest
zs_addr => external_sdram_controller_wire_addr, -- wire.export
zs_ba => external_sdram_controller_wire_ba, -- .export
zs_cas_n => external_sdram_controller_wire_cas_n, -- .export
zs_cke => external_sdram_controller_wire_cke, -- .export
zs_cs_n => external_sdram_controller_wire_cs_n, -- .export
zs_dq => external_sdram_controller_wire_dq, -- .export
zs_dqm => external_sdram_controller_wire_dqm, -- .export
zs_ras_n => external_sdram_controller_wire_ras_n, -- .export
zs_we_n => external_sdram_controller_wire_we_n -- .export
);
nios2_gen2_0 : component wasca_nios2_gen2_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
d_address => nios2_gen2_0_data_master_address, -- data_master.address
d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
d_read => nios2_gen2_0_data_master_read, -- .read
d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
d_write => nios2_gen2_0_data_master_write, -- .write
d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address
i_read => nios2_gen2_0_instruction_master_read, -- .read
i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
irq => nios2_gen2_0_irq_irq, -- irq.irq
debug_reset_request => nios2_gen2_0_debug_reset_request_reset, -- debug_reset_request.reset
debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address
debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
dummy_ci_port => open -- custom_instruction_master.readra
);
onchip_flash_0 : component altera_onchip_flash
generic map (
INIT_FILENAME => "",
INIT_FILENAME_SIM => "",
DEVICE_FAMILY => "MAX 10",
PART_NAME => "10M08SAE144C8GES",
DEVICE_ID => "08",
SECTOR1_START_ADDR => 0,
SECTOR1_END_ADDR => 4095,
SECTOR2_START_ADDR => 4096,
SECTOR2_END_ADDR => 8191,
SECTOR3_START_ADDR => 8192,
SECTOR3_END_ADDR => 29183,
SECTOR4_START_ADDR => 29184,
SECTOR4_END_ADDR => 44031,
SECTOR5_START_ADDR => 0,
SECTOR5_END_ADDR => 0,
MIN_VALID_ADDR => 0,
MAX_VALID_ADDR => 44031,
MIN_UFM_VALID_ADDR => 0,
MAX_UFM_VALID_ADDR => 44031,
SECTOR1_MAP => 1,
SECTOR2_MAP => 2,
SECTOR3_MAP => 3,
SECTOR4_MAP => 4,
SECTOR5_MAP => 0,
ADDR_RANGE1_END_ADDR => 44031,
ADDR_RANGE1_OFFSET => 512,
ADDR_RANGE2_OFFSET => 0,
AVMM_DATA_ADDR_WIDTH => 16,
AVMM_DATA_DATA_WIDTH => 32,
AVMM_DATA_BURSTCOUNT_WIDTH => 4,
SECTOR_READ_PROTECTION_MODE => 31,
FLASH_SEQ_READ_DATA_COUNT => 2,
FLASH_ADDR_ALIGNMENT_BITS => 1,
FLASH_READ_CYCLE_MAX_INDEX => 3,
FLASH_RESET_CYCLE_MAX_INDEX => 29,
FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX => 111,
FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX => 40603248,
FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX => 35382,
PARALLEL_MODE => true,
READ_AND_WRITE_MODE => false,
WRAPPING_BURST_MODE => false,
IS_DUAL_BOOT => "False",
IS_ERAM_SKIP => "True",
IS_COMPRESSED_IMAGE => "True"
)
port map (
clock => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- nreset.reset_n
avmm_data_addr => mm_interconnect_0_onchip_flash_0_data_address, -- data.address
avmm_data_read => mm_interconnect_0_onchip_flash_0_data_read, -- .read
avmm_data_readdata => mm_interconnect_0_onchip_flash_0_data_readdata, -- .readdata
avmm_data_waitrequest => mm_interconnect_0_onchip_flash_0_data_waitrequest, -- .waitrequest
avmm_data_readdatavalid => mm_interconnect_0_onchip_flash_0_data_readdatavalid, -- .readdatavalid
avmm_data_burstcount => mm_interconnect_0_onchip_flash_0_data_burstcount, -- .burstcount
avmm_data_writedata => "00000000000000000000000000000000", -- (terminated)
avmm_data_write => '0', -- (terminated)
avmm_csr_addr => '0', -- (terminated)
avmm_csr_read => '0', -- (terminated)
avmm_csr_writedata => "00000000000000000000000000000000", -- (terminated)
avmm_csr_write => '0', -- (terminated)
avmm_csr_readdata => open -- (terminated)
);
onchip_memory2_0 : component wasca_onchip_memory2_0
port map (
clk => altpll_0_c0_clk, -- clk1.clk
address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address
clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
reset => rst_controller_001_reset_out_reset, -- reset1.reset
reset_req => rst_controller_001_reset_out_reset_req -- .reset_req
);
sega_saturn_abus_slave_0 : component sega_saturn_abus_slave
port map (
clock => altpll_0_c0_clk, -- clock.clk
abus_address => sega_saturn_abus_slave_0_abus_address, -- abus.address
abus_chipselect => sega_saturn_abus_slave_0_abus_chipselect, -- .chipselect
abus_read => sega_saturn_abus_slave_0_abus_read, -- .read
abus_write => sega_saturn_abus_slave_0_abus_write, -- .write
abus_waitrequest => sega_saturn_abus_slave_0_abus_waitrequest, -- .waitrequest
abus_interrupt => sega_saturn_abus_slave_0_abus_interrupt, -- .interrupt
abus_addressdata => sega_saturn_abus_slave_0_abus_addressdata, -- .addressdata
abus_direction => sega_saturn_abus_slave_0_abus_direction, -- .direction
abus_muxing => sega_saturn_abus_slave_0_abus_muxing, -- .muxing
abus_disable_out => sega_saturn_abus_slave_0_abus_disableout, -- .disableout
avalon_read => sega_saturn_abus_slave_0_avalon_master_read, -- avalon_master.read
avalon_write => sega_saturn_abus_slave_0_avalon_master_write, -- .write
avalon_waitrequest => sega_saturn_abus_slave_0_avalon_master_waitrequest, -- .waitrequest
avalon_address => sega_saturn_abus_slave_0_avalon_master_address, -- .address
avalon_readdata => sega_saturn_abus_slave_0_avalon_master_readdata, -- .readdata
avalon_writedata => sega_saturn_abus_slave_0_avalon_master_writedata, -- .writedata
avalon_readdatavalid => sega_saturn_abus_slave_0_avalon_master_readdatavalid, -- .readdatavalid
avalon_burstcount => sega_saturn_abus_slave_0_avalon_master_burstcount, -- .burstcount
reset => rst_controller_001_reset_out_reset, -- reset.reset
saturn_reset => sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset, -- conduit_saturn_reset.saturn_reset
avalon_nios_read => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read, -- avalon_nios.read
avalon_nios_write => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write, -- .write
avalon_nios_address => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address, -- .address
avalon_nios_writedata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata, -- .writedata
avalon_nios_readdata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata, -- .readdata
avalon_nios_waitrequest => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest, -- .waitrequest
avalon_nios_readdatavalid => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid, -- .readdatavalid
avalon_nios_burstcount => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount(0) -- .burstcount
);
spi_sd_card : component wasca_spi_sd_card
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
data_from_cpu => mm_interconnect_0_spi_sd_card_spi_control_port_writedata, -- spi_control_port.writedata
data_to_cpu => mm_interconnect_0_spi_sd_card_spi_control_port_readdata, -- .readdata
mem_addr => mm_interconnect_0_spi_sd_card_spi_control_port_address, -- .address
read_n => mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv, -- .read_n
spi_select => mm_interconnect_0_spi_sd_card_spi_control_port_chipselect, -- .chipselect
write_n => mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv, -- .write_n
irq => irq_mapper_receiver2_irq, -- irq.irq
MISO => spi_sd_card_MISO, -- external.export
MOSI => spi_sd_card_MOSI, -- .export
SCLK => spi_sd_card_SCLK, -- .export
SS_n => spi_sd_card_SS_n -- .export
);
spi_stm32 : component wasca_spi_stm32
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
data_from_cpu => mm_interconnect_0_spi_stm32_spi_control_port_writedata, -- spi_control_port.writedata
data_to_cpu => mm_interconnect_0_spi_stm32_spi_control_port_readdata, -- .readdata
mem_addr => mm_interconnect_0_spi_stm32_spi_control_port_address, -- .address
read_n => mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv, -- .read_n
spi_select => mm_interconnect_0_spi_stm32_spi_control_port_chipselect, -- .chipselect
write_n => mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv, -- .write_n
irq => irq_mapper_receiver3_irq, -- irq.irq
MISO => spi_stm32_MISO, -- external.export
MOSI => spi_stm32_MOSI, -- .export
SCLK => spi_stm32_SCLK, -- .export
SS_n => spi_stm32_SS_n -- .export
);
uart_0 : component wasca_uart_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_uart_0_s1_address, -- s1.address
begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
chipselect => mm_interconnect_0_uart_0_s1_chipselect, -- .chipselect
read_n => mm_interconnect_0_uart_0_s1_read_ports_inv, -- .read_n
write_n => mm_interconnect_0_uart_0_s1_write_ports_inv, -- .write_n
writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
dataavailable => open, -- .dataavailable
readyfordata => open, -- .readyfordata
rxd => uart_0_external_connection_rxd, -- external_connection.export
txd => uart_0_external_connection_txd, -- .export
irq => irq_mapper_receiver1_irq -- irq.irq
);
mm_interconnect_0 : component wasca_mm_interconnect_0
port map (
altpll_0_c0_clk => altpll_0_c0_clk, -- altpll_0_c0.clk
clk_0_clk_clk => clk_clk, -- clk_0_clk.clk
altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- altpll_0_inclk_interface_reset_reset_bridge_in_reset.reset
sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset => rst_controller_001_reset_out_reset, -- sega_saturn_abus_slave_0_reset_reset_bridge_in_reset.reset
nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address
nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read
nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write
nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address
nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read
nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_master_address => sega_saturn_abus_slave_0_avalon_master_address, -- sega_saturn_abus_slave_0_avalon_master.address
sega_saturn_abus_slave_0_avalon_master_waitrequest => sega_saturn_abus_slave_0_avalon_master_waitrequest, -- .waitrequest
sega_saturn_abus_slave_0_avalon_master_burstcount(0) => sega_saturn_abus_slave_0_avalon_master_burstcount, -- .burstcount
sega_saturn_abus_slave_0_avalon_master_read => sega_saturn_abus_slave_0_avalon_master_read, -- .read
sega_saturn_abus_slave_0_avalon_master_readdata => sega_saturn_abus_slave_0_avalon_master_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_master_readdatavalid => sega_saturn_abus_slave_0_avalon_master_readdatavalid, -- .readdatavalid
sega_saturn_abus_slave_0_avalon_master_write => sega_saturn_abus_slave_0_avalon_master_write, -- .write
sega_saturn_abus_slave_0_avalon_master_writedata => sega_saturn_abus_slave_0_avalon_master_writedata, -- .writedata
altpll_0_pll_slave_address => mm_interconnect_0_altpll_0_pll_slave_address, -- altpll_0_pll_slave.address
altpll_0_pll_slave_write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write
altpll_0_pll_slave_read => mm_interconnect_0_altpll_0_pll_slave_read, -- .read
altpll_0_pll_slave_readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata
altpll_0_pll_slave_writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata
audio_0_avalon_audio_slave_address => mm_interconnect_0_audio_0_avalon_audio_slave_address, -- audio_0_avalon_audio_slave.address
audio_0_avalon_audio_slave_write => mm_interconnect_0_audio_0_avalon_audio_slave_write, -- .write
audio_0_avalon_audio_slave_read => mm_interconnect_0_audio_0_avalon_audio_slave_read, -- .read
audio_0_avalon_audio_slave_readdata => mm_interconnect_0_audio_0_avalon_audio_slave_readdata, -- .readdata
audio_0_avalon_audio_slave_writedata => mm_interconnect_0_audio_0_avalon_audio_slave_writedata, -- .writedata
audio_0_avalon_audio_slave_chipselect => mm_interconnect_0_audio_0_avalon_audio_slave_chipselect, -- .chipselect
external_sdram_controller_s1_address => mm_interconnect_0_external_sdram_controller_s1_address, -- external_sdram_controller_s1.address
external_sdram_controller_s1_write => mm_interconnect_0_external_sdram_controller_s1_write, -- .write
external_sdram_controller_s1_read => mm_interconnect_0_external_sdram_controller_s1_read, -- .read
external_sdram_controller_s1_readdata => mm_interconnect_0_external_sdram_controller_s1_readdata, -- .readdata
external_sdram_controller_s1_writedata => mm_interconnect_0_external_sdram_controller_s1_writedata, -- .writedata
external_sdram_controller_s1_byteenable => mm_interconnect_0_external_sdram_controller_s1_byteenable, -- .byteenable
external_sdram_controller_s1_readdatavalid => mm_interconnect_0_external_sdram_controller_s1_readdatavalid, -- .readdatavalid
external_sdram_controller_s1_waitrequest => mm_interconnect_0_external_sdram_controller_s1_waitrequest, -- .waitrequest
external_sdram_controller_s1_chipselect => mm_interconnect_0_external_sdram_controller_s1_chipselect, -- .chipselect
nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address
nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
onchip_flash_0_data_address => mm_interconnect_0_onchip_flash_0_data_address, -- onchip_flash_0_data.address
onchip_flash_0_data_read => mm_interconnect_0_onchip_flash_0_data_read, -- .read
onchip_flash_0_data_readdata => mm_interconnect_0_onchip_flash_0_data_readdata, -- .readdata
onchip_flash_0_data_burstcount => mm_interconnect_0_onchip_flash_0_data_burstcount, -- .burstcount
onchip_flash_0_data_readdatavalid => mm_interconnect_0_onchip_flash_0_data_readdatavalid, -- .readdatavalid
onchip_flash_0_data_waitrequest => mm_interconnect_0_onchip_flash_0_data_waitrequest, -- .waitrequest
onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address
onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
sega_saturn_abus_slave_0_avalon_nios_address => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address, -- sega_saturn_abus_slave_0_avalon_nios.address
sega_saturn_abus_slave_0_avalon_nios_write => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write, -- .write
sega_saturn_abus_slave_0_avalon_nios_read => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read, -- .read
sega_saturn_abus_slave_0_avalon_nios_readdata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_nios_writedata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata, -- .writedata
sega_saturn_abus_slave_0_avalon_nios_burstcount => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount, -- .burstcount
sega_saturn_abus_slave_0_avalon_nios_readdatavalid => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid, -- .readdatavalid
sega_saturn_abus_slave_0_avalon_nios_waitrequest => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest, -- .waitrequest
spi_sd_card_spi_control_port_address => mm_interconnect_0_spi_sd_card_spi_control_port_address, -- spi_sd_card_spi_control_port.address
spi_sd_card_spi_control_port_write => mm_interconnect_0_spi_sd_card_spi_control_port_write, -- .write
spi_sd_card_spi_control_port_read => mm_interconnect_0_spi_sd_card_spi_control_port_read, -- .read
spi_sd_card_spi_control_port_readdata => mm_interconnect_0_spi_sd_card_spi_control_port_readdata, -- .readdata
spi_sd_card_spi_control_port_writedata => mm_interconnect_0_spi_sd_card_spi_control_port_writedata, -- .writedata
spi_sd_card_spi_control_port_chipselect => mm_interconnect_0_spi_sd_card_spi_control_port_chipselect, -- .chipselect
spi_stm32_spi_control_port_address => mm_interconnect_0_spi_stm32_spi_control_port_address, -- spi_stm32_spi_control_port.address
spi_stm32_spi_control_port_write => mm_interconnect_0_spi_stm32_spi_control_port_write, -- .write
spi_stm32_spi_control_port_read => mm_interconnect_0_spi_stm32_spi_control_port_read, -- .read
spi_stm32_spi_control_port_readdata => mm_interconnect_0_spi_stm32_spi_control_port_readdata, -- .readdata
spi_stm32_spi_control_port_writedata => mm_interconnect_0_spi_stm32_spi_control_port_writedata, -- .writedata
spi_stm32_spi_control_port_chipselect => mm_interconnect_0_spi_stm32_spi_control_port_chipselect, -- .chipselect
uart_0_s1_address => mm_interconnect_0_uart_0_s1_address, -- uart_0_s1.address
uart_0_s1_write => mm_interconnect_0_uart_0_s1_write, -- .write
uart_0_s1_read => mm_interconnect_0_uart_0_s1_read, -- .read
uart_0_s1_readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
uart_0_s1_writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
uart_0_s1_begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
uart_0_s1_chipselect => mm_interconnect_0_uart_0_s1_chipselect -- .chipselect
);
irq_mapper : component wasca_irq_mapper
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset => rst_controller_001_reset_out_reset, -- clk_reset.reset
receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq
receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq
receiver2_irq => irq_mapper_receiver2_irq, -- receiver2.irq
receiver3_irq => irq_mapper_receiver3_irq, -- receiver3.irq
sender_irq => nios2_gen2_0_irq_irq -- sender.irq
);
rst_controller : component wasca_rst_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 0,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => clk_clk, -- clk.clk
reset_out => rst_controller_reset_out_reset, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
rst_controller_001 : component wasca_rst_controller_001
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 1,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => altpll_0_c0_clk, -- clk.clk
reset_out => rst_controller_001_reset_out_reset, -- reset_out.reset
reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
rst_controller_002 : component wasca_rst_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "both",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 0,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => altpll_0_c0_clk, -- clk.clk
reset_out => open, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
mm_interconnect_0_external_sdram_controller_s1_read_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_read;
mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_byteenable;
mm_interconnect_0_external_sdram_controller_s1_write_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_write;
mm_interconnect_0_uart_0_s1_read_ports_inv <= not mm_interconnect_0_uart_0_s1_read;
mm_interconnect_0_uart_0_s1_write_ports_inv <= not mm_interconnect_0_uart_0_s1_write;
mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_sd_card_spi_control_port_read;
mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_sd_card_spi_control_port_write;
mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_stm32_spi_control_port_read;
mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_stm32_spi_control_port_write;
rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset;
clock_116_mhz_clk <= altpll_0_c0_clk;
end architecture rtl; -- of wasca
| gpl-2.0 |
purisc-group/purisc | convert_to_seven_seg.vhd | 2 | 965 | library ieee;
use ieee.std_logic_1164.all;
entity convert_to_seven_seg is
port (
data_in : in std_logic_vector(3 downto 0);
hex_out : out std_logic_vector(6 downto 0)
);
end;
architecture FUCKFUCKFUCKFUCKFUCKFUCKFUCKFUCK of convert_to_seven_seg is
begin
hex_out <= "1111001" when data_in = "0001" else
"0100100" when data_in = "0010" else
"0110000" when data_in = "0011" else
"0011001" when data_in = "0100" else
"0010010" when data_in = "0101" else
"0000010" when data_in = "0110" else
"1111000" when data_in = "0111" else
"0000000" when data_in = "1000" else
"0011000" when data_in = "1001" else
"0001000" when data_in = "1010" else
"0000011" when data_in = "1011" else
"1000110" when data_in = "1100" else
"0100001" when data_in = "1101" else
"0000110" when data_in = "1110" else
"0001110" when data_in = "1111" else
"1000000" when data_in = "0000" else
"1111111";
end; | gpl-2.0 |
purisc-group/purisc | Global_memory/MAGIC_global/MAGIC_tb.vhd | 2 | 6542 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity MAGIC_tb is
end;
architecture testing of MAGIC_tb is
component MAGIC
PORT (
ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
W_EN : IN STD_LOGIC;
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
C0_STALL : OUT STD_LOGIC;
C1_STALL : OUT STD_LOGIC;
CORE_IDENT : OUT STD_LOGIC
);
end component;
signal ADDRESS_A : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal ADDRESS_B : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal ADDRESS_C : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal ADDRESS_0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal ADDRESS_1 : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal ADDRESS_W : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal DATA_TO_W : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal W_EN : STD_LOGIC := '0';
signal CLK : STD_LOGIC := '1';
signal RESET_n : STD_LOGIC := '0';
signal DATA_OUT_A : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal DATA_OUT_B : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal DATA_OUT_C : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal DATA_OUT_0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal DATA_OUT_1 : STD_LOGIC_VECTOR (31 DOWNTO 0);
signal C0_STALL : STD_LOGIC;
signal C1_STALL : STD_LOGIC;
signal CORE_IDENT : STD_LOGIC;
constant clk_period : time := 10ns;
begin
uut : MAGIC PORT MAP (
ADDRESS_A,
ADDRESS_B,
ADDRESS_C,
ADDRESS_0,
ADDRESS_1,
ADDRESS_W,
DATA_TO_W,
W_EN,
CLK,
RESET_n,
DATA_OUT_A,
DATA_OUT_B,
DATA_OUT_C,
DATA_OUT_0,
DATA_OUT_1,
C0_STALL,
C1_STALL,
CORE_IDENT
);
clk_process : process begin
CLK <= '1';
wait for clk_period/2;
CLK <= '0';
wait for clk_period/2;
end process;
-- id_process : process begin
-- CORE_ID <= '0';
-- wait for clk_period;
-- CORE_ID <= '1';
-- wait for clk_period;
-- end process;
stim_process : process begin
ADDRESS_A <= "00000000000000000000000000000000";
ADDRESS_B <= "00000000000000000000000000000001";
ADDRESS_C <= "00000000000000000000000000000010";
ADDRESS_0 <= "00000000000000000000000000000011";
ADDRESS_1 <= "00000000000000000000000000000100";
ADDRESS_W <= "00000000000000000000000000000000";
DATA_TO_W <= "00000000000000000000000000000000";
wait for clk_period;
RESET_n <= '1';
W_EN <= '1';
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000001";
DATA_TO_W <= "00000000000000000000000000000001";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000010";
DATA_TO_W <= "00000000000000000000000000000010";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000011";
DATA_TO_W <= "00000000000000000000000000000011";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000100";
DATA_TO_W <= "00000000000000000000000000000100";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000101";
DATA_TO_W <= "00000000000000000000000000000101";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000110";
DATA_TO_W <= "00000000000000000000000000000110";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000000111";
DATA_TO_W <= "00000000000000000000000000000111";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001000";
DATA_TO_W <= "00000000000000000000000000001000";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001001";
DATA_TO_W <= "00000000000000000000000000001001";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001010";
DATA_TO_W <= "00000000000000000000000000001010";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001011";
DATA_TO_W <= "00000000000000000000000000001011";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001100";
DATA_TO_W <= "00000000000000000000000000001100";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001101";
DATA_TO_W <= "00000000000000000000000000001101";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000001110";
DATA_TO_W <= "00000000000000000000000000001110";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000011000";
DATA_TO_W <= "00000000000000000000000000011000";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000010000";
DATA_TO_W <= "00000000000000000000000000010000";
wait for clk_period;
ADDRESS_W <= "00000000000000000000000000100000";
DATA_TO_W <= "00000000000000000000000000100000";
wait for clk_period;
--------------------------
ADDRESS_A <= "00000000000000000000000000000110";
ADDRESS_B <= "00000000000000000000000000001100";
ADDRESS_C <= "00000000000000000000000000000111";
ADDRESS_0 <= "00000000000000000000000000001000";
ADDRESS_1 <= "00000000000000000000000000001001";
W_EN <= '0';
wait for clk_period;
ADDRESS_A <= "00000000000000000000000000001000";
ADDRESS_B <= "00000000000000000000000000000000";
ADDRESS_C <= "00000000000000000000000000010000";
ADDRESS_0 <= "00000000000000000000000000011000";
ADDRESS_1 <= "00000000000000000000000000100000";
wait for clk_period*3;
ADDRESS_A <= "00000000000000000000000000000000";
ADDRESS_B <= "00000000000000000000000000000001";
ADDRESS_C <= "00000000000000000000000000000010";
ADDRESS_0 <= "00000000000000000000000000000011";
ADDRESS_1 <= "00000000000000000000000000000100";
wait for clk_period*2;
ADDRESS_A <= "00000000000000000000000000000000";
ADDRESS_B <= "00000000000000000000000000000000";
ADDRESS_C <= "00000000000000000000000000000000";
ADDRESS_0 <= "00000000000000000000000000000001";
ADDRESS_1 <= "00000000000000000000000000000010";
wait for clk_period*2;
ADDRESS_A <= "00000000000000000000000000000000";
ADDRESS_B <= "00000000000000000000000000000001";
ADDRESS_C <= "00000000000000000000000000000010";
ADDRESS_0 <= "00000000000000000000000000000011";
ADDRESS_1 <= "00000000000000000000000000000100";
wait;
end process;
end; | gpl-2.0 |
purisc-group/purisc | Compute_Group/MAGIC_clocked/SETUP.vhd | 2 | 12679 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity SETUP is
PORT(
CLK : IN STD_LOGIC;
ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
W_EN : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
STALL : OUT STD_LOGIC;
HAZARD : IN STD_LOGIC;
ram_0_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_0_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_0_wren_a : OUT STD_LOGIC;
ram_0_wren_b : OUT STD_LOGIC;
ram_1_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_1_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_1_wren_a : OUT STD_LOGIC;
ram_1_wren_b : OUT STD_LOGIC;
ram_2_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_2_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_2_wren_a : OUT STD_LOGIC;
ram_2_wren_b : OUT STD_LOGIC;
ram_3_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_3_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_3_wren_a : OUT STD_LOGIC;
ram_3_wren_b : OUT STD_LOGIC;
ram_4_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_4_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_4_wren_a : OUT STD_LOGIC;
ram_4_wren_b : OUT STD_LOGIC;
ram_5_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_5_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_5_wren_a : OUT STD_LOGIC;
ram_5_wren_b : OUT STD_LOGIC;
ram_6_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_6_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_6_wren_a : OUT STD_LOGIC;
ram_6_wren_b : OUT STD_LOGIC;
ram_7_port_a : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_7_port_b : OUT STD_LOGIC_VECTOR (9 downto 0);
ram_7_wren_a : OUT STD_LOGIC;
ram_7_wren_b : OUT STD_LOGIC;
ram_0_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_1_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_2_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_3_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_4_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_5_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_6_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ram_7_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)
);
end;
architecture control of SETUP is
component address_transcode
PORT (
ADDRESS : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ROW : OUT STD_LOGIC_VECTOR (9 downto 0);
COL : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
end component;
component create_opcode
PORT (
COL_A : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_B : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_C : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_D : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_E : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_W : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
W_EN : IN STD_LOGIC;
OPCODE_0 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_1 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_2 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_3 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_4 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_5 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_6 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_7 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0)
);
end component;
component FLOW
PORT(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
ROW_A : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_B : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_C : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_D : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_E : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_W : IN STD_LOGIC_VECTOR(9 downto 0);
HAZARD : IN STD_LOGIC;
EQUALITY : OUT STD_LOGIC;
ADDRESS_A : OUT STD_LOGIC_VECTOR(9 downto 0);
ADDRESS_B : OUT STD_LOGIC_VECTOR(9 downto 0);
SEL_VECTOR : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
WREN_A : OUT STD_LOGIC;
WREN_B : OUT STD_LOGIC
);
end component;
signal COL_A : std_logic_vector (2 downto 0);
signal COL_B : std_logic_vector (2 downto 0);
signal COL_C : std_logic_vector (2 downto 0);
signal COL_D : std_logic_vector (2 downto 0);
signal COL_E : std_logic_vector (2 downto 0);
signal COL_W : std_logic_vector (2 downto 0);
signal ROW_A : std_logic_vector (9 downto 0);
signal ROW_B : std_logic_vector (9 downto 0);
signal ROW_C : std_logic_vector (9 downto 0);
signal ROW_D : std_logic_vector (9 downto 0);
signal ROW_E : std_logic_vector (9 downto 0);
signal ROW_W : std_logic_vector (9 downto 0);
signal OPCODE_0 : std_logic_vector (5 downto 0);
signal OPCODE_1 : std_logic_vector (5 downto 0);
signal OPCODE_2 : std_logic_vector (5 downto 0);
signal OPCODE_3 : std_logic_vector (5 downto 0);
signal OPCODE_4 : std_logic_vector (5 downto 0);
signal OPCODE_5 : std_logic_vector (5 downto 0);
signal OPCODE_6 : std_logic_vector (5 downto 0);
signal OPCODE_7 : std_logic_vector (5 downto 0);
signal equality_0 : std_logic;
signal equality_1 : std_logic;
signal equality_2 : std_logic;
signal equality_3 : std_logic;
signal equality_4 : std_logic;
signal equality_5 : std_logic;
signal equality_6 : std_logic;
signal equality_7 : std_logic;
begin
transcode_a : address_transcode PORT MAP (
ADDRESS => ADDRESS_A,
ROW => ROW_A,
COL => COL_A
);
transcode_b : address_transcode PORT MAP (
ADDRESS => ADDRESS_B,
ROW => ROW_B,
COL => COL_B
);
transcode_c : address_transcode PORT MAP (
ADDRESS => ADDRESS_C,
ROW => ROW_C,
COL => COL_C
);
transcode_d : address_transcode PORT MAP (
ADDRESS => ADDRESS_0,
ROW => ROW_D,
COL => COL_D
);
transcode_e : address_transcode PORT MAP (
ADDRESS => ADDRESS_1,
ROW => ROW_E,
COL => COL_E
);
transcode_w : address_transcode PORT MAP (
ADDRESS => ADDRESS_W,
ROW => ROW_W,
COL => COL_W
);
opcodery : create_opcode PORT MAP (
COL_A => COL_A,
COL_B => COL_B,
COL_C => COL_C,
COL_D => COL_D,
COL_E => COL_E,
COL_W => COL_W,
W_EN => W_EN,
OPCODE_0 => OPCODE_0,
OPCODE_1 => OPCODE_1,
OPCODE_2 => OPCODE_2,
OPCODE_3 => OPCODE_3,
OPCODE_4 => OPCODE_4,
OPCODE_5 => OPCODE_5,
OPCODE_6 => OPCODE_6,
OPCODE_7 => OPCODE_7
);
RAM_0_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_0,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_0,
ADDRESS_A => ram_0_port_a,
ADDRESS_B => ram_0_port_b,
SEL_VECTOR => ram_0_sel_vector,
WREN_A => ram_0_wren_a,
WREN_B => ram_0_wren_b
);
RAM_1_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_1,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_1,
ADDRESS_A => ram_1_port_a,
ADDRESS_B => ram_1_port_b,
SEL_VECTOR => ram_1_sel_vector,
WREN_A => ram_1_wren_a,
WREN_B => ram_1_wren_b
);
RAM_2_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_2,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_2,
ADDRESS_A => ram_2_port_a,
ADDRESS_B => ram_2_port_b,
SEL_VECTOR => ram_2_sel_vector,
WREN_A => ram_2_wren_a,
WREN_B => ram_2_wren_b
);
RAM_3_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_3,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_3,
ADDRESS_A => ram_3_port_a,
ADDRESS_B => ram_3_port_b,
SEL_VECTOR => ram_3_sel_vector,
WREN_A => ram_3_wren_a,
WREN_B => ram_3_wren_b
);
RAM_4_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_4,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_4,
ADDRESS_A => ram_4_port_a,
ADDRESS_B => ram_4_port_b,
SEL_VECTOR => ram_4_sel_vector,
WREN_A => ram_4_wren_a,
WREN_B => ram_4_wren_b
);
RAM_5_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_5,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_5,
ADDRESS_A => ram_5_port_a,
ADDRESS_B => ram_5_port_b,
SEL_VECTOR => ram_5_sel_vector,
WREN_A => ram_5_wren_a,
WREN_B => ram_5_wren_b
);
RAM_6_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_6,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_6,
ADDRESS_A => ram_6_port_a,
ADDRESS_B => ram_6_port_b,
SEL_VECTOR => ram_6_sel_vector,
WREN_A => ram_6_wren_a,
WREN_B => ram_6_wren_b
);
RAM_7_CONTROL : FLOW PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE_7,
ROW_A => std_logic_vector(ROW_A),
ROW_B => std_logic_vector(ROW_B),
ROW_C => std_logic_vector(ROW_C),
ROW_D => std_logic_vector(ROW_D),
ROW_E => std_logic_vector(ROW_E),
ROW_W => std_logic_vector(ROW_W),
HAZARD => HAZARD,
EQUALITY => equality_7,
ADDRESS_A => ram_7_port_a,
ADDRESS_B => ram_7_port_b,
SEL_VECTOR => ram_7_sel_vector,
WREN_A => ram_7_wren_a,
WREN_B => ram_7_wren_b
);
STALL <= not (equality_0 and equality_1 and equality_2 and equality_3 and equality_4 and equality_5 and equality_6 and equality_7);
end;
| gpl-2.0 |
purisc-group/purisc | Compute_Group/MAGIC_clocked/FLOW.vhd | 2 | 4398 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity FLOW is
PORT(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
ROW_A : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_B : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_C : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_D : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_E : IN STD_LOGIC_VECTOR(9 downto 0);
ROW_W : IN STD_LOGIC_VECTOR(9 downto 0);
HAZARD : IN STD_LOGIC;
EQUALITY : OUT STD_LOGIC;
ADDRESS_A : OUT STD_LOGIC_VECTOR(9 downto 0);
ADDRESS_B : OUT STD_LOGIC_VECTOR(9 downto 0);
SEL_VECTOR : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
WREN_A : OUT STD_LOGIC;
WREN_B : OUT STD_LOGIC
);
end;
architecture flow of FLOW is
component SELECTOR
PORT(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
EQUALITY : OUT STD_LOGIC;
sel_A_0 : OUT STD_LOGIC;
sel_B_0 : OUT STD_LOGIC;
sel_C_0 : OUT STD_LOGIC;
sel_D_0 : OUT STD_LOGIC;
sel_E_0 : OUT STD_LOGIC;
sel_W_0 : OUT STD_LOGIC;
sel_A_1 : OUT STD_LOGIC;
sel_B_1 : OUT STD_LOGIC;
sel_C_1 : OUT STD_LOGIC;
sel_D_1 : OUT STD_LOGIC;
sel_E_1 : OUT STD_LOGIC;
sel_W_1 : OUT STD_LOGIC
);
end component;
component tristate
PORT(
my_in : in std_logic_vector(9 downto 0);
sel : in std_logic;
my_out : out std_logic_vector(9 downto 0)
);
end component;
signal sel_a0 : std_logic;
signal sel_b0 : std_logic;
signal sel_c0 : std_logic;
signal sel_d0 : std_logic;
signal sel_e0 : std_logic;
signal sel_w0 : std_logic;
signal sel_a1 : std_logic;
signal sel_b1 : std_logic;
signal sel_c1 : std_logic;
signal sel_d1 : std_logic;
signal sel_e1 : std_logic;
signal sel_w1 : std_logic;
begin
select_address : SELECTOR PORT MAP (
CLK => CLK,
RESET_n => RESET_n,
OPCODE => OPCODE,
EQUALITY => EQUALITY,
SEL_A_0 => sel_a0,
SEL_B_0 => sel_b0,
SEL_C_0 => sel_c0,
SEL_D_0 => sel_d0,
SEL_E_0 => sel_e0,
SEL_W_0 => sel_w0,
SEL_A_1 => sel_a1,
SEL_B_1 => sel_b1,
SEL_C_1 => sel_c1,
SEL_D_1 => sel_d1,
SEL_E_1 => sel_e1,
SEL_W_1 => sel_w1
);
TRI_0_PORT_A : tristate PORT MAP (
my_in => ROW_A,
sel => sel_a0,
my_out => ADDRESS_A
);
TRI_1_PORT_A : tristate PORT MAP (
my_in => ROW_B,
sel => sel_b0,
my_out => ADDRESS_A
);
TRI_2_PORT_A : tristate PORT MAP (
my_in => ROW_C,
sel => sel_c0,
my_out => ADDRESS_A
);
TRI_3_PORT_A : tristate PORT MAP (
my_in => ROW_D,
sel => sel_d0,
my_out => ADDRESS_A
);
TRI_4_PORT_A : tristate PORT MAP (
my_in => ROW_E,
sel => sel_e0,
my_out => ADDRESS_A
);
TRI_5_PORT_A : tristate PORT MAP (
my_in => ROW_W,
sel => sel_w0,
my_out => ADDRESS_A
);
TRI_0_PORT_B : tristate PORT MAP (
my_in => ROW_A,
sel => sel_a1,
my_out => ADDRESS_B
);
TRI_1_PORT_B : tristate PORT MAP (
my_in => ROW_B,
sel => sel_b1,
my_out => ADDRESS_B
);
TRI_2_PORT_B : tristate PORT MAP (
my_in => ROW_C,
sel => sel_c1,
my_out => ADDRESS_B
);
TRI_3_PORT_B : tristate PORT MAP (
my_in => ROW_D,
sel => sel_d1,
my_out => ADDRESS_B
);
TRI_4_PORT_B : tristate PORT MAP (
my_in => ROW_E,
sel => sel_e1,
my_out => ADDRESS_B
);
TRI_5_PORT_B : tristate PORT MAP (
my_in => ROW_W,
sel => sel_w1,
my_out => ADDRESS_B
);
WREN_A <= '0';
process (HAZARD, OPCODE) begin --addred this if block
if (HAZARD = '1') then
WREN_B <= '0';
else
WREN_B <= OPCODE(0); --used to be just this line
end if;
end process;
SEL_VECTOR <= sel_a0 & sel_a1 & sel_b0 & sel_b1 & sel_c0 & sel_c1 & sel_d0 & sel_d1 & sel_e0 & sel_e1;
end; | gpl-2.0 |
huukit/logicsynth | excercises/vhd/i2c_config.vhd | 1 | 10027 | -------------------------------------------------------------------------------
-- Title : TIE-50206, Exercise 12
-- Project :
-------------------------------------------------------------------------------
-- File : i2c_config.vhd
-- Author : Jonas Nikula, Tuomas Huuki
-- Company : TUT
-- Created : 20.1.2016
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: I2C bus controller, for Wolfson Audio Codec configuration
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 20.01.2016 1.0 nikulaj Created
-- 03.02.2016 1.1 huukitu Moved data to pkg.
-- 02.03.2016 1.2 nikulaj Assign finished_out sequentially
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
-- define the entity
entity i2c_config is
generic(
ref_clk_freq_g : integer := 50000000; -- reference clk
i2c_freq_g : integer := 20000; -- wanted i2c frequency
n_params_g : integer := 10 -- amount of 3 byte transmissions
);
port(
clk : in std_logic;
rst_n : in std_logic; -- active low rst
sdat_inout : inout std_logic; -- i2c dataline
sclk_out : out std_logic; -- i2c clk
param_status_out : out std_logic_vector(n_params_g - 1 downto 0); -- status "display"
finished_out : out std_logic -- 1 when done sending
);
end i2c_config;
architecture rtl of i2c_config is
-- type definitions
type state_type is (start_condition, stop_condition, acknowledge, data_transfer);
type temp_transmission_arr is array (2 downto 0) of std_logic_vector(7 downto 0);
-- constants
-- max value for clk prescaler
constant prescaler_max_c : integer := (ref_clk_freq_g / i2c_freq_g) / 2;
-- data to be sent
constant codec_address_c : std_logic_vector(7 downto 0) := "00110100";
type transmission_data_arr is array (n_params_g - 1 downto 0) of std_logic_vector(15 downto 0);
-- Actual transmission data array.
constant transmission_data_c : transmission_data_arr := (
"0001001000000001",
"0001000000000010",
"0000111000000001",
"0000110000000000",
"0000101000000110",
"0000100011111000",
"0000011001111011",
"0000010001111011",
"0000001000011010",
"0000000000011010"
);
-- registers
signal finished_r : std_logic;
signal sclk_r : std_logic;
signal sclk_prescaler_r : unsigned(integer(ceil(log2(real(prescaler_max_c)))) downto 0);
signal present_state_r : state_type;
signal bit_counter_r : unsigned(2 downto 0);
signal byte_counter_r : unsigned(1 downto 0);
signal status_counter_r : unsigned(3 downto 0);
signal param_status_r : std_logic_vector(n_params_g - 1 downto 0);
signal temp_transmission_r : temp_transmission_arr;
begin
finished_out <= finished_r;
param_status_out <= param_status_r;
-- Only output clk when NOT finished
with param_status_r(n_params_g - 1) select
sclk_out <=
sclk_r when '0',
'Z' when others;
-- i2c clk generation process
-- Increments counter, until it hits max value. At that point the clk
-- changes value
generate_sclk : process(clk, rst_n)
begin
if(rst_n = '0') then
sclk_r <= '0';
sclk_prescaler_r <= (others => '0');
elsif(clk'event and clk = '1') then
if(sclk_prescaler_r = prescaler_max_c) then
sclk_prescaler_r <= (others => '0');
sclk_r <= not sclk_r;
else
sclk_prescaler_r <= sclk_prescaler_r + 1;
end if;
end if;
end process generate_sclk;
-- i2c data output process
generate_sdat : process(clk, rst_n)
begin
if(rst_n = '0') then -- reset all values that need it
sdat_inout <= 'Z';
present_state_r <= start_condition;
bit_counter_r <= to_unsigned(7, bit_counter_r'length);
byte_counter_r <= to_unsigned(0, byte_counter_r'length);
status_counter_r <= to_unsigned(0, status_counter_r'length);
param_status_r <= (others => '0');
temp_transmission_r(0) <= (others => '0');
temp_transmission_r(1) <= (others => '0');
temp_transmission_r(2) <= (others => '0');
finished_r <= '0';
elsif(clk'event and clk = '1') then
if(param_status_r(n_params_g - 1) = '1') then
sdat_inout <= 'Z'; -- When finished, take config logic
finished_r <= '1'; -- out of the circuit
-- and set finished signal to 1
elsif(present_state_r = acknowledge and sclk_prescaler_r = 0) then
sdat_inout <= 'Z'; -- set to high-Z, so that ack can be received
elsif(sclk_prescaler_r = prescaler_max_c / 2) then
case present_state_r is
when start_condition =>
-- when sdat is high, a transition to low triggers a
-- start condition
-- also prepare for data transfer
if(sdat_inout = '1' and sclk_r = '1') then
sdat_inout <= '0';
present_state_r <= data_transfer;
bit_counter_r <= to_unsigned(7, bit_counter_r'length);
byte_counter_r <= to_unsigned(0, byte_counter_r'length);
temp_transmission_r(0) <= codec_address_c;
temp_transmission_r(1) <= transmission_data_c(to_integer(status_counter_r))(15 downto 8);
temp_transmission_r(2) <= transmission_data_c(to_integer(status_counter_r))(7 downto 0);
elsif(sclk_r = '0') then
-- set sdat high so it can be pulled low
sdat_inout <= '1';
end if;
when stop_condition =>
if(sdat_inout = '0' and sclk_r = '1') then
-- when sdat is low, a transition to high
-- triggers a stop condition
sdat_inout <= '1';
-- 3 byte transfer is done, increment status
status_counter_r <= status_counter_r + 1;
param_status_r(to_integer(status_counter_r)) <= '1';
-- after stop cond, go to start
present_state_r <= start_condition;
elsif(sclk_r = '0') then
sdat_inout <= '0';
end if;
when acknowledge =>
bit_counter_r <= to_unsigned(7, bit_counter_r'length);
-- listening for ack (or nack)
if(sclk_r = '1') then
if(sdat_inout = '1') then
-- on nack, go to start
present_state_r <= start_condition;
elsif(sdat_inout = '0') then
-- on ack, got to stop if all 3 bytes sent
if(byte_counter_r = 2) then
present_state_r <= stop_condition;
-- otherwise to next byte
else
present_state_r <= data_transfer;
byte_counter_r <= byte_counter_r + 1;
end if;
end if;
end if;
when data_transfer =>
-- when clk is low, change state, so that line is
-- stable for high
if(sclk_r = '0') then
sdat_inout <= temp_transmission_r(to_integer(byte_counter_r))(to_integer(bit_counter_r));
else
-- When bit is being sent, check if whole byte is
-- sent. If so, go to ack, otherwise
-- increment bit counter.
if(bit_counter_r = 0) then
present_state_r <= acknowledge;
else
bit_counter_r <= bit_counter_r - 1;
end if;
end if;
end case;
end if;
end if;
end process generate_sdat;
end rtl;
| gpl-2.0 |
huukit/logicsynth | excercises/tb/tb_adder.vhd | 1 | 4659 | -------------------------------------------------------------------------------
-- Title : TKT-1212, Exercise 03
-- Project :
-------------------------------------------------------------------------------
-- File : tb_adder.vhd
-- Author : Antti Rasmus
-- Company : TUT/DCS
-- Created : 2008-11-28
-- Last update: 2008-11-28
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description: Tests all combinations of summing two 8-bit values
-------------------------------------------------------------------------------
-- Copyright (c) 2008
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2008-11-28 1.0 ege Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb_adder is
end tb_adder;
architecture testbench of tb_adder is
-- Define constants: bit widths and duration of clk period
constant input_w_c : integer := 8;
constant output_w_c : integer := 9;
constant clk_period_c : time := 100 ns;
-- Calculate minimum and maximum values of input values
-- Note the asymmetrical value range
constant min_value_c : signed(input_w_c-1 downto 0) := to_signed( -(2**(input_w_c-1)), input_w_c);
constant max_value_c : signed(input_w_c-1 downto 0) := to_signed( 2**(input_w_c-1)-1, input_w_c);
-- Component declaration of DUV
component adder
generic (
operand_width_g : integer
);
port (
clk : in std_logic;
rst_n : in std_logic;
a_in : in std_logic_vector(operand_width_g-1 downto 0);
b_in : in std_logic_vector(operand_width_g-1 downto 0);
sum_out : out std_logic_vector(operand_width_g downto 0));
end component;
-- Define the needed signals
signal clk : std_logic := '0';
signal rst_n : std_logic := '0';
-- Note: Init values are not supported in synthesis
signal term1_r : signed(input_w_c-1 downto 0);
signal term2_r : signed(input_w_c-1 downto 0);
signal sum : std_logic_vector(output_w_c-1 downto 0);
signal expected_sum_r : signed(output_w_c-1 downto 0);
signal end_simulation_r : std_logic;
begin -- testbench
-- Instantiate DUV and connect the ports to testbench's signals
adder_1 : adder
generic map (
operand_width_g => input_w_c)
port map (
clk => clk,
rst_n => rst_n,
a_in => std_logic_vector(term1_r),
b_in => std_logic_vector(term2_r),
sum_out => sum);
-- Reset the DUV
rst_n <= '1' after clk_period_c*2;
-- purpose: Generate clock signal for DUV
-- type : combinational
-- inputs : clk (this is a special case for test purposes!)
-- outputs: clk (this is a special case for test purposes!)
clk_gen : process (clk)
begin -- process clk_gen
clk <= not clk after clk_period_c/2;
end process clk_gen;
-- purpose: Generate all possible inputs values and check the result
-- type : sequential
-- inputs : clk, rst_n
-- outputs: term1_r, term2_r
input_gen_output_check : process (clk, rst_n)
begin -- process input_gen_output_check
if rst_n = '0' then -- asynchronous reset (active low)
term1_r <= min_value_c;
term2_r <= min_value_c;
expected_sum_r <= (others => '0');
end_simulation_r <= '0';
elsif clk'event and clk = '1' then -- rising clock edge
-- Similar behavior as in tb_ripple_carry_adder but more elegant
-- Generate input values
if ( term1_r = max_value_c ) then
term1_r <= min_value_c;
if ( term2_r = max_value_c ) then
term2_r <= min_value_c;
end_simulation_r <= '1';
else
term2_r <= term2_r + to_signed(1, input_w_c);
end if;
else
term1_r <= term1_r + to_signed(1, input_w_c);
end if;
-- Check the result
expected_sum_r <= resize(term1_r, output_w_c) +
resize(term2_r, output_w_c);
assert to_integer(signed(sum)) = to_integer(expected_sum_r)
report "output signal is not equal to the sum of the inputs"
severity failure;
-- Stop the simulator
assert end_simulation_r = '0'
report "Simulation ended!" severity failure;
end if;
end process input_gen_output_check;
end testbench;
| gpl-2.0 |
purisc-group/purisc | Global_memory/MAGIC_global/create_opcode_global.vhd | 2 | 17379 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity create_opcode_global is
PORT (
COL_A : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_B : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_C : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_D : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_E : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
COL_W : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
W_EN : IN STD_LOGIC;
--OUTPUTS OF READS
OPCODE_0 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_1 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_2 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_3 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_4 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_5 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_6 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
OPCODE_7 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0)
);
end;
architecture gen of create_opcode_global is
begin
OPCODE_0(5) <= not(COL_A(2)) and not(COL_A(1)) and not(COL_A(0));
OPCODE_1(5) <= not(COL_A(2)) and not(COL_A(1)) and (COL_A(0));
OPCODE_2(5) <= not(COL_A(2)) and (COL_A(1)) and not(COL_A(0));
OPCODE_3(5) <= not(COL_A(2)) and (COL_A(1)) and (COL_A(0));
OPCODE_4(5) <= (COL_A(2)) and not(COL_A(1)) and not(COL_A(0));
OPCODE_5(5) <= (COL_A(2)) and not(COL_A(1)) and (COL_A(0));
OPCODE_6(5) <= (COL_A(2)) and (COL_A(1)) and not(COL_A(0));
OPCODE_7(5) <= (COL_A(2)) and (COL_A(1)) and (COL_A(0));
OPCODE_0(4) <= not(COL_B(2)) and not(COL_B(1)) and not(COL_B(0));
OPCODE_1(4) <= not(COL_B(2)) and not(COL_B(1)) and (COL_B(0));
OPCODE_2(4) <= not(COL_B(2)) and (COL_B(1)) and not(COL_B(0));
OPCODE_3(4) <= not(COL_B(2)) and (COL_B(1)) and (COL_B(0));
OPCODE_4(4) <= (COL_B(2)) and not(COL_B(1)) and not(COL_B(0));
OPCODE_5(4) <= (COL_B(2)) and not(COL_B(1)) and (COL_B(0));
OPCODE_6(4) <= (COL_B(2)) and (COL_B(1)) and not(COL_B(0));
OPCODE_7(4) <= (COL_B(2)) and (COL_B(1)) and (COL_B(0));
OPCODE_0(3) <= not(COL_C(2)) and not(COL_C(1)) and not(COL_C(0));
OPCODE_1(3) <= not(COL_C(2)) and not(COL_C(1)) and (COL_C(0));
OPCODE_2(3) <= not(COL_C(2)) and (COL_C(1)) and not(COL_C(0));
OPCODE_3(3) <= not(COL_C(2)) and (COL_C(1)) and (COL_C(0));
OPCODE_4(3) <= (COL_C(2)) and not(COL_C(1)) and not(COL_C(0));
OPCODE_5(3) <= (COL_C(2)) and not(COL_C(1)) and (COL_C(0));
OPCODE_6(3) <= (COL_C(2)) and (COL_C(1)) and not(COL_C(0));
OPCODE_7(3) <= (COL_C(2)) and (COL_C(1)) and (COL_C(0));
OPCODE_0(2) <= not(COL_D(2)) and not(COL_D(1)) and not(COL_D(0));
OPCODE_1(2) <= not(COL_D(2)) and not(COL_D(1)) and (COL_D(0));
OPCODE_2(2) <= not(COL_D(2)) and (COL_D(1)) and not(COL_D(0));
OPCODE_3(2) <= not(COL_D(2)) and (COL_D(1)) and (COL_D(0));
OPCODE_4(2) <= (COL_D(2)) and not(COL_D(1)) and not(COL_D(0));
OPCODE_5(2) <= (COL_D(2)) and not(COL_D(1)) and (COL_D(0));
OPCODE_6(2) <= (COL_D(2)) and (COL_D(1)) and not(COL_D(0));
OPCODE_7(2) <= (COL_D(2)) and (COL_D(1)) and (COL_D(0));
OPCODE_0(1) <= not(COL_E(2)) and not(COL_E(1)) and not(COL_E(0));
OPCODE_1(1) <= not(COL_E(2)) and not(COL_E(1)) and (COL_E(0));
OPCODE_2(1) <= not(COL_E(2)) and (COL_E(1)) and not(COL_E(0));
OPCODE_3(1) <= not(COL_E(2)) and (COL_E(1)) and (COL_E(0));
OPCODE_4(1) <= (COL_E(2)) and not(COL_E(1)) and not(COL_E(0));
OPCODE_5(1) <= (COL_E(2)) and not(COL_E(1)) and (COL_E(0));
OPCODE_6(1) <= (COL_E(2)) and (COL_E(1)) and not(COL_E(0));
OPCODE_7(1) <= (COL_E(2)) and (COL_E(1)) and (COL_E(0));
OPCODE_0(0) <= (not(COL_W(2)) and not(COL_W(1)) and not(COL_W(0))) and W_EN;
OPCODE_1(0) <= (not(COL_W(2)) and not(COL_W(1)) and (COL_W(0))) and W_EN;
OPCODE_2(0) <= (not(COL_W(2)) and (COL_W(1)) and not(COL_W(0))) and W_EN;
OPCODE_3(0) <= (not(COL_W(2)) and (COL_W(1)) and (COL_W(0))) and W_EN;
OPCODE_4(0) <= ((COL_W(2)) and not(COL_W(1)) and not(COL_W(0))) and W_EN;
OPCODE_5(0) <= ((COL_W(2)) and not(COL_W(1)) and (COL_W(0))) and W_EN;
OPCODE_6(0) <= ((COL_W(2)) and (COL_W(1)) and not(COL_W(0))) and W_EN;
OPCODE_7(0) <= ((COL_W(2)) and (COL_W(1)) and (COL_W(0))) and W_EN;
-- process (COL_A, COL_B, COL_C, COL_D, COL_E, COL_W, W_EN) begin
-- --assigning address A to column
-- if (COL_A = 0) then
-- OPCODE_0(5) <= '1';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 1) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '1';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 2) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '1';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 3) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '1';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 4) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '1';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 5) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '1';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 6) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '1';
-- OPCODE_7(5) <= '0';
-- elsif (COL_A = 7) then
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '1';
-- else
-- OPCODE_0(5) <= '0';
-- OPCODE_1(5) <= '0';
-- OPCODE_2(5) <= '0';
-- OPCODE_3(5) <= '0';
-- OPCODE_4(5) <= '0';
-- OPCODE_5(5) <= '0';
-- OPCODE_6(5) <= '0';
-- OPCODE_7(5) <= '0';
-- end if;
--
-- --assigning address B to column
-- if (COL_B = 0) then
-- OPCODE_0(4) <= '1';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 1) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '1';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 2) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '1';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 3) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '1';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 4) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '1';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 5) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '1';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 6) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '1';
-- OPCODE_7(4) <= '0';
-- elsif (COL_B = 7) then
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '1';
-- else
-- OPCODE_0(4) <= '0';
-- OPCODE_1(4) <= '0';
-- OPCODE_2(4) <= '0';
-- OPCODE_3(4) <= '0';
-- OPCODE_4(4) <= '0';
-- OPCODE_5(4) <= '0';
-- OPCODE_6(4) <= '0';
-- OPCODE_7(4) <= '0';
-- end if;
--
-- --assigning address C to column
-- if (COL_C = 0) then
-- OPCODE_0(3) <= '1';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 1) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '1';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 2) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '1';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 3) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '1';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 4) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '1';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 5) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '1';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 6) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '1';
-- OPCODE_7(3) <= '0';
-- elsif (COL_C = 7) then
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '1';
-- else
-- OPCODE_0(3) <= '0';
-- OPCODE_1(3) <= '0';
-- OPCODE_2(3) <= '0';
-- OPCODE_3(3) <= '0';
-- OPCODE_4(3) <= '0';
-- OPCODE_5(3) <= '0';
-- OPCODE_6(3) <= '0';
-- OPCODE_7(3) <= '0';
-- end if;
-- --assigning address D to column
-- if (COL_D = 0) then
-- OPCODE_0(2) <= '1';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 1) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '1';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 2) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '1';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 3) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '1';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 4) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '1';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 5) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '1';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 6) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '1';
-- OPCODE_7(2) <= '0';
-- elsif (COL_D = 7) then
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '1';
-- else
-- OPCODE_0(2) <= '0';
-- OPCODE_1(2) <= '0';
-- OPCODE_2(2) <= '0';
-- OPCODE_3(2) <= '0';
-- OPCODE_4(2) <= '0';
-- OPCODE_5(2) <= '0';
-- OPCODE_6(2) <= '0';
-- OPCODE_7(2) <= '0';
-- end if;
-- --assigning address E to column
-- if (COL_E = 0) then
-- OPCODE_0(1) <= '1';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 1) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '1';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 2) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '1';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 3) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '1';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 4) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '1';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 5) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '1';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 6) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '1';
-- OPCODE_7(1) <= '0';
-- elsif (COL_E = 7) then
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '1';
-- else
-- OPCODE_0(1) <= '0';
-- OPCODE_1(1) <= '0';
-- OPCODE_2(1) <= '0';
-- OPCODE_3(1) <= '0';
-- OPCODE_4(1) <= '0';
-- OPCODE_5(1) <= '0';
-- OPCODE_6(1) <= '0';
-- OPCODE_7(1) <= '0';
-- end if;
-- --assigning address W to column
-- if (COL_W = 0) then
-- OPCODE_0(0) <= '1' and W_EN;
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 1) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '1' and W_EN;
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 2) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '1' and W_EN;
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 3) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '1' and W_EN;
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 4) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '1' and W_EN;
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 5) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '1' and W_EN;
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 6) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '1' and W_EN;
-- OPCODE_7(0) <= '0';
-- elsif (COL_W = 7) then
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '1' and W_EN;
-- else
-- OPCODE_0(0) <= '0';
-- OPCODE_1(0) <= '0';
-- OPCODE_2(0) <= '0';
-- OPCODE_3(0) <= '0';
-- OPCODE_4(0) <= '0';
-- OPCODE_5(0) <= '0';
-- OPCODE_6(0) <= '0';
-- OPCODE_7(0) <= '0';
-- end if;
-- end process;
end gen; | gpl-2.0 |
purisc-group/purisc | Global_memory/MAGIC_global/HAZARD_RESOLVE_global.vhd | 2 | 2102 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity HAZARD_RESOLVE_global is
PORT(
select_signal : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
hazard : IN STD_LOGIC;
data : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
hazard_advanced : IN STD_LOGIC;
data_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
end;
architecture resolve of HAZARD_RESOLVE_global is
signal data_present : std_logic;
signal buffer_output : std_logic_vector(31 downto 0);
signal data_buffer : std_logic_vector(31 downto 0);
signal hazard_rising_edge : std_logic;
begin
--edge capture
hazard_rising_edge <= hazard_advanced and (hazard_advanced xor hazard);
data_present <= select_signal(15) or select_signal(14) or select_signal(13) or select_signal(12) or
select_signal(11) or select_signal(10) or select_signal(9) or select_signal(8) or
select_signal(7) or select_signal(6) or select_signal(5) or select_signal(4) or
select_signal(3) or select_signal(2) or select_signal(1) or select_signal(0);
buffering : process (CLK, RESET_n, hazard, data_present, data) begin
if (RESET_n = '0') then
buffer_output <= "00000000000000000000000000000000";
elsif (rising_edge(CLK)) then
if (data_present = '1' and hazard = '1') then
buffer_output <= data;
end if;
end if;
end process;
hazard_detect : process (hazard, data, data_buffer, RESET_n, buffer_output, data_present, CLK) begin
if (RESET_n = '0') then
data_buffer <= "00000000000000000000000000000000";
elsif (rising_edge(CLK))then --was on rising edge hazard
if (hazard_rising_edge = '1') then --this if never existed
if (data_present = '1') then
data_buffer <= data;
else
data_buffer <= buffer_output;
end if;
end if;
end if;
if (hazard = '0')then
if (data_present = '1') then
data_out <= data;
else
data_out <= buffer_output;
end if;
else
data_out <= data_buffer;
end if;
end process;
end; | gpl-2.0 |
mawww/ctags | Test/bug2374109.vhd | 98 | 196 | function Pow2( N, Exp : integer ) return mylib.myinteger is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_19_12_2012/TEST_CTRL_9P6_50MHZ_SCH/CTRL_9P6_50MHZ_VHDL.vhd | 6 | 21554 | -- PROFI_9P6_50MHZ_REC_BYTE
-- PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 09.10.2012
-- Bearbeiter: mharndt
-- Geaendert: 25.10.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_9P6_50MHZ_VHDL is
Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal
ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden
CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen
EN_BIT_0 : out std_logic; --Ausgangsvariable
EN_BIT_1 : out std_logic; --Ausgangsvariable
EN_BIT_2 : out std_logic; --Ausgangsvariable
EN_BIT_3 : out std_logic; --Ausgangsvariable
EN_BIT_4 : out std_logic; --Ausgangsvariable
EN_BIT_5 : out std_logic; --Ausgangsvariable
EN_BIT_6 : out std_logic; --Ausgangsvariable
EN_BIT_7 : out std_logic; --Ausgangsvariable
EN_BIT_8 : out std_logic; --Ausgangsvariable
BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert
BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl
);
end CTRL_9P6_50MHZ_VHDL;
architecture Behavioral of CTRL_9P6_50MHZ_VHDL is
type TYPE_STATE is (ST_CTRL_00, --Zustaende
ST_CTRL_01,
ST_CTRL_02,
ST_CTRL_03,
ST_CTRL_04,
ST_CTRL_05,
ST_CTRL_06,
ST_CTRL_07,
ST_CTRL_08,
ST_CTRL_09,
ST_CTRL_0A, --10
ST_CTRL_0B, --11
ST_CTRL_0C, --12
ST_CTRL_0D, --13
ST_CTRL_0E, --14
ST_CTRL_0F, --15
ST_CTRL_10, --16
ST_CTRL_11, --17
ST_CTRL_12, --18
ST_CTRL_13); --19
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit
signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit
signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit
signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal InAB_S : std_logic; --Eingangsvariable
--Zwischengespeichert im Eingangsregister
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten
constant CNTT01 : std_logic_vector := x"00A2C";
constant CNTT02 : std_logic_vector := x"01E84";
constant CNTT03 : std_logic_vector := x"032DC";
constant CNTT04 : std_logic_vector := x"04735";
constant CNTT05 : std_logic_vector := x"05B8B";
constant CNTT06 : std_logic_vector := x"06FE4";
constant CNTT07 : std_logic_vector := x"08441";
constant CNTT08 : std_logic_vector := x"09872";
constant CNTT09 : std_logic_vector := x"0ACEE";
constant CNTT10 : std_logic_vector := x"0C147";
constant CNTT11 : std_logic_vector := x"0D59F";
constant CNTT12 : std_logic_vector := x"0EE09";
constant CNTT13 : std_logic_vector := x"0FA3E";
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then InAB_S <= InAB;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_CTRL_00;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_CTRL_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
IL_OL_PROC: process (InAB_S, SV)
begin
case SV is
when ST_CTRL_00 =>
-- VAS00
COUNT <= x"00000"; -- Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
if (InAB_S = '1')
then
-- VAS00
COUNT <= x"00000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; -- Zustandsuebgergang
else
n_SV <= ST_CTRL_00; --InAB = '0'
end if;
when ST_CTRL_01 =>
if (n_COUNT >= CNTS30) --156250
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; -- Zustandsuebgergang
else --n_COUNT < CNTS30
--VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; --Zaehlschleife
end if;
when ST_CTRL_02 =>
if (InAB_S = '0')
then
-- VAS00
COUNT <= x"00000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
--VAS01
n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?)
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; --warte tsyn30 ab
end if;
when ST_CTRL_03 =>
if (n_COUNT >= CNTT01) --2604
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_04; -- Zustandsuebgergang
else --n_COUNT < CNTT01
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; --Zaehlschleife
end if;
when ST_CTRL_04 =>
if (InAB_S = '0') -- Startbit erkannt
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; -- Zustandsuebgergang
else --InAB_S = '1'
-- VAS02
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; --Error
end if;
when ST_CTRL_05 =>
if (ERROR_QUIT = '0') -- Error nicht bestätigt
then
-- VAS02
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; -- Fehlerschleife
else --ERROR_QUIT = '1'
-- VAS00
n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand
end if;
when ST_CTRL_06 =>
if (n_COUNT >= CNTT02) --7812
then
-- VAS03
n_COUNT <= COUNT+1;
EN_BIT_0 <= '1';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; -- Zustandsuebgergang
else --n_COUNT < CNTT02
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; --Zaehlschleife
end if;
when ST_CTRL_07 =>
if (n_COUNT >= CNTT03) --13020
then
-- VAS04
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '1';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; -- Zustandsuebgergang
else --n_COUNT < CNTT03
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; --Zaehlschleife
end if;
when ST_CTRL_08 =>
if (n_COUNT >= CNTT04) --18229
then
-- VAS05
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '1';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; -- Zustandsuebgergang
else --n_COUNT < CNTT04
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; --Zaehlschleife
end if;
when ST_CTRL_09 =>
if (n_COUNT >= CNTT05) --23435
then
-- VAS06
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '1';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; -- Zustandsuebgergang
else --n_COUNT < CNTT05
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; --Zaehlschleife
end if;
when ST_CTRL_0A =>
if (n_COUNT >= CNTT06) --28644
then
-- VAS07
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '1';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT06
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; --Zaehlschleife
end if;
when ST_CTRL_0B =>
if (n_COUNT >= CNTT07) --33854
then
-- VAS08
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '1';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; -- Zustandsuebgergang
else --n_COUNT < CNTT07
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; --Zaehlschleife
end if;
when ST_CTRL_0C =>
if (n_COUNT >= CNTT08) --39062
then
-- VAS09
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '1';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT08
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; --Zaehlschleife
end if;
when ST_CTRL_0D =>
if (n_COUNT >= CNTT09) --44270
then
-- VAS10
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '1';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; -- Zustandsuebgergang
else --n_COUNT < CNTT09
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0D; --Zaehlschleife
end if;
when ST_CTRL_0E =>
if (n_COUNT >= CNTT10) --49479
then
-- VAS11
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '1';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; -- Zustandsuebgergang
else --n_COUNT < CNTT10
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; --Zaehlschleife
end if;
when ST_CTRL_0F =>
if (n_COUNT >= CNTT11) --54687
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_10; -- Zustandsuebgergang
else --n_COUNT < CNTT11
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; --Zaehlschleife
end if;
when ST_CTRL_10 =>
if (InAB_S = '0')
then
-- VAS02
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit
else --InAB_S = '1'
-- VAS12
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '1';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; --Stoppbit erkannt
end if;
when ST_CTRL_11 =>
if (n_COUNT >= CNTT12) --60937
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; -- Zustandsuebgergang
else -- n_COUNT < CNTT12
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; --Zaehlschleife
end if;
when ST_CTRL_12 =>
if (InAB_S = '0') -- Startbit gefunden
then
-- VAS00
COUNT <= x"00000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1
end if;
when ST_CTRL_13 =>
if (n_COUNT >= CNTT13) --64062
then
-- VAS00
n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?)
else -- n_COUNT < CNTT13
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2
end if;
when others => n_SV <= ST_CTRL_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige
begin
LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0
DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1
DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2
DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3
DISPL2_SV(0) <= LONG_STATE_SV(4); --usw.
DISPL2_SV(1) <= LONG_STATE_SV(5);
DISPL2_SV(2) <= LONG_STATE_SV(6);
DISPL2_SV(3) <= LONG_STATE_SV(7);
DISPL1_n_SV(0) <= LONG_STATE_n_SV(0);
DISPL1_n_SV(1) <= LONG_STATE_n_SV(1);
DISPL1_n_SV(2) <= LONG_STATE_n_SV(2);
DISPL1_n_SV(3) <= LONG_STATE_n_SV(3);
DISPL2_n_SV(0) <= LONG_STATE_n_SV(4);
DISPL2_n_SV(1) <= LONG_STATE_n_SV(5);
DISPL2_n_SV(2) <= LONG_STATE_n_SV(6);
DISPL2_n_SV(3) <= LONG_STATE_n_SV(7);
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_14_12_2012/TEST_CTRL_9P6_50MHZ_SCH/CTRL_9P6_50MHZ_VHDL.vhd | 6 | 21554 | -- PROFI_9P6_50MHZ_REC_BYTE
-- PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 09.10.2012
-- Bearbeiter: mharndt
-- Geaendert: 25.10.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_9P6_50MHZ_VHDL is
Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal
ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden
CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen
EN_BIT_0 : out std_logic; --Ausgangsvariable
EN_BIT_1 : out std_logic; --Ausgangsvariable
EN_BIT_2 : out std_logic; --Ausgangsvariable
EN_BIT_3 : out std_logic; --Ausgangsvariable
EN_BIT_4 : out std_logic; --Ausgangsvariable
EN_BIT_5 : out std_logic; --Ausgangsvariable
EN_BIT_6 : out std_logic; --Ausgangsvariable
EN_BIT_7 : out std_logic; --Ausgangsvariable
EN_BIT_8 : out std_logic; --Ausgangsvariable
BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert
BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl
);
end CTRL_9P6_50MHZ_VHDL;
architecture Behavioral of CTRL_9P6_50MHZ_VHDL is
type TYPE_STATE is (ST_CTRL_00, --Zustaende
ST_CTRL_01,
ST_CTRL_02,
ST_CTRL_03,
ST_CTRL_04,
ST_CTRL_05,
ST_CTRL_06,
ST_CTRL_07,
ST_CTRL_08,
ST_CTRL_09,
ST_CTRL_0A, --10
ST_CTRL_0B, --11
ST_CTRL_0C, --12
ST_CTRL_0D, --13
ST_CTRL_0E, --14
ST_CTRL_0F, --15
ST_CTRL_10, --16
ST_CTRL_11, --17
ST_CTRL_12, --18
ST_CTRL_13); --19
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit
signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit
signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit
signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal InAB_S : std_logic; --Eingangsvariable
--Zwischengespeichert im Eingangsregister
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten
constant CNTT01 : std_logic_vector := x"00A2C";
constant CNTT02 : std_logic_vector := x"01E84";
constant CNTT03 : std_logic_vector := x"032DC";
constant CNTT04 : std_logic_vector := x"04735";
constant CNTT05 : std_logic_vector := x"05B8B";
constant CNTT06 : std_logic_vector := x"06FE4";
constant CNTT07 : std_logic_vector := x"08441";
constant CNTT08 : std_logic_vector := x"09872";
constant CNTT09 : std_logic_vector := x"0ACEE";
constant CNTT10 : std_logic_vector := x"0C147";
constant CNTT11 : std_logic_vector := x"0D59F";
constant CNTT12 : std_logic_vector := x"0EE09";
constant CNTT13 : std_logic_vector := x"0FA3E";
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then InAB_S <= InAB;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_CTRL_00;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_CTRL_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
IL_OL_PROC: process (InAB_S, SV)
begin
case SV is
when ST_CTRL_00 =>
-- VAS00
COUNT <= x"00000"; -- Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
if (InAB_S = '1')
then
-- VAS00
COUNT <= x"00000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; -- Zustandsuebgergang
else
n_SV <= ST_CTRL_00; --InAB = '0'
end if;
when ST_CTRL_01 =>
if (n_COUNT >= CNTS30) --156250
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; -- Zustandsuebgergang
else --n_COUNT < CNTS30
--VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; --Zaehlschleife
end if;
when ST_CTRL_02 =>
if (InAB_S = '0')
then
-- VAS00
COUNT <= x"00000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
--VAS01
n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?)
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; --warte tsyn30 ab
end if;
when ST_CTRL_03 =>
if (n_COUNT >= CNTT01) --2604
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_04; -- Zustandsuebgergang
else --n_COUNT < CNTT01
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; --Zaehlschleife
end if;
when ST_CTRL_04 =>
if (InAB_S = '0') -- Startbit erkannt
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; -- Zustandsuebgergang
else --InAB_S = '1'
-- VAS02
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; --Error
end if;
when ST_CTRL_05 =>
if (ERROR_QUIT = '0') -- Error nicht bestätigt
then
-- VAS02
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; -- Fehlerschleife
else --ERROR_QUIT = '1'
-- VAS00
n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand
end if;
when ST_CTRL_06 =>
if (n_COUNT >= CNTT02) --7812
then
-- VAS03
n_COUNT <= COUNT+1;
EN_BIT_0 <= '1';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; -- Zustandsuebgergang
else --n_COUNT < CNTT02
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; --Zaehlschleife
end if;
when ST_CTRL_07 =>
if (n_COUNT >= CNTT03) --13020
then
-- VAS04
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '1';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; -- Zustandsuebgergang
else --n_COUNT < CNTT03
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; --Zaehlschleife
end if;
when ST_CTRL_08 =>
if (n_COUNT >= CNTT04) --18229
then
-- VAS05
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '1';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; -- Zustandsuebgergang
else --n_COUNT < CNTT04
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; --Zaehlschleife
end if;
when ST_CTRL_09 =>
if (n_COUNT >= CNTT05) --23435
then
-- VAS06
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '1';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; -- Zustandsuebgergang
else --n_COUNT < CNTT05
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; --Zaehlschleife
end if;
when ST_CTRL_0A =>
if (n_COUNT >= CNTT06) --28644
then
-- VAS07
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '1';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT06
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; --Zaehlschleife
end if;
when ST_CTRL_0B =>
if (n_COUNT >= CNTT07) --33854
then
-- VAS08
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '1';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; -- Zustandsuebgergang
else --n_COUNT < CNTT07
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; --Zaehlschleife
end if;
when ST_CTRL_0C =>
if (n_COUNT >= CNTT08) --39062
then
-- VAS09
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '1';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT08
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; --Zaehlschleife
end if;
when ST_CTRL_0D =>
if (n_COUNT >= CNTT09) --44270
then
-- VAS10
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '1';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; -- Zustandsuebgergang
else --n_COUNT < CNTT09
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0D; --Zaehlschleife
end if;
when ST_CTRL_0E =>
if (n_COUNT >= CNTT10) --49479
then
-- VAS11
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '1';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; -- Zustandsuebgergang
else --n_COUNT < CNTT10
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; --Zaehlschleife
end if;
when ST_CTRL_0F =>
if (n_COUNT >= CNTT11) --54687
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_10; -- Zustandsuebgergang
else --n_COUNT < CNTT11
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; --Zaehlschleife
end if;
when ST_CTRL_10 =>
if (InAB_S = '0')
then
-- VAS02
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit
else --InAB_S = '1'
-- VAS12
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '1';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; --Stoppbit erkannt
end if;
when ST_CTRL_11 =>
if (n_COUNT >= CNTT12) --60937
then
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; -- Zustandsuebgergang
else -- n_COUNT < CNTT12
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; --Zaehlschleife
end if;
when ST_CTRL_12 =>
if (InAB_S = '0') -- Startbit gefunden
then
-- VAS00
COUNT <= x"00000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1
end if;
when ST_CTRL_13 =>
if (n_COUNT >= CNTT13) --64062
then
-- VAS00
n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?)
else -- n_COUNT < CNTT13
-- VAS01
n_COUNT <= COUNT+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2
end if;
when others => n_SV <= ST_CTRL_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige
begin
LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0
DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1
DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2
DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3
DISPL2_SV(0) <= LONG_STATE_SV(4); --usw.
DISPL2_SV(1) <= LONG_STATE_SV(5);
DISPL2_SV(2) <= LONG_STATE_SV(6);
DISPL2_SV(3) <= LONG_STATE_SV(7);
DISPL1_n_SV(0) <= LONG_STATE_n_SV(0);
DISPL1_n_SV(1) <= LONG_STATE_n_SV(1);
DISPL1_n_SV(2) <= LONG_STATE_n_SV(2);
DISPL1_n_SV(3) <= LONG_STATE_n_SV(3);
DISPL2_n_SV(0) <= LONG_STATE_n_SV(4);
DISPL2_n_SV(1) <= LONG_STATE_n_SV(5);
DISPL2_n_SV(2) <= LONG_STATE_n_SV(6);
DISPL2_n_SV(3) <= LONG_STATE_n_SV(7);
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_TELEGRAM_FILTER/CLOCK_SINGLE_RUN_SRC.vhd | 26 | 2585 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
else SV_M <= SV_M;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/PROFIBUS_MONITOR/CTRL_TELEGRAM_FILTER_SD1_VHDL.vhd | 4 | 6433 | -- CTRL_TELEGRAM_FILTER_SD1
-- Profibus Telegramtyp SD1 ermitteln und Bytes ausgeben, alternativ alle Bytes durchlassen
-- Ersteller: Martin Harndt
-- Erstellt: 23.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_TELEGRAM_FILTER_SD1_VHDL is
Port (BYTE_IN : in std_logic_vector (7 downto 0); --Eingangsvariable, Byte, 8bit
FILTER_ON : in std_logic; --Eingangsvariable, Filter einschalten
PARITY_OK : in std_logic; --Eingangsvariable, Parität i.O.
FILTER_BYTE_OUT : out std_logic_vector (7 downto 0);--Ausgangsvariable, gefilterte Telegramme
SEND_OUT : out std_logic; --Ausgangsvariable, Byte senden
T_CMPLT: out std_logic; --Ausgangsvariable, Telegramm komplett
DISPL_COUNT : in std_logic; --Eingangsvariable, Folgeszustand oder Bytezaehler anzeigen
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_TELEGRAM_FILTER_SD1_VHDL;
architecture Behavioral of CTRL_TELEGRAM_FILTER_SD1_VHDL is
type TYPE_STATE is
(ST_FI_00, --Zustaende TELEGRAM_CHECK
ST_FI_01,
ST_FI_02,
ST_FI_03);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal COUNT : std_logic_vector (11 downto 0); -- Vektor, Zaehler, 8bit
signal n_COUNT : std_logic_vector (11 downto 0); -- Vektor, Zaehler, 8bit, neuer Wert
signal COUNT_M : std_logic_vector (11 downto 0); -- Vektor, Zaehler, 8bit, Ausgang Master
signal STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
--signal not_CLK : std_logic; --negierte Taktvariable
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_FI_00;
COUNT_M <= X"000";
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_FI_00;
COUNT <= X"000";
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
TELEGRAM_FILTER_PROC:process (FILTER_ON, PARITY_OK, BYTE_IN, SV, COUNT) --Telegramm SD1 Filtern und ausgeben
begin
case SV is
when ST_FI_00 =>
if (FILTER_ON = '1')
then
--FI02
FILTER_BYTE_OUT <= X"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= COUNT;
n_SV <= ST_FI_01;
else
--FI01
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= X"000";
n_SV <= ST_FI_00;
end if;
when ST_FI_01 =>
if (PARITY_OK = '1' AND BYTE_IN = X"68") --SD2
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_02;
else
--FI00
FILTER_BYTE_OUT <= X"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= X"000";
n_SV <= ST_FI_00;
end if;
when ST_FI_02 =>
if (PARITY_OK = '1')
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_03;
else
--FI02
FILTER_BYTE_OUT <= X"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= COUNT;
n_SV <= ST_FI_02;
end if;
when ST_FI_03 =>
if (COUNT = X"126" OR BYTE_IN = X"16")
then
--FI04
FILTER_BYTE_OUT <= X"00";
T_CMPLT <= '1';
SEND_OUT <= '0';
n_COUNT <= X"000";
n_SV <= ST_FI_00;
else
--FI02
FILTER_BYTE_OUT <= X"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= COUNT;
n_SV <= ST_FI_02;
end if;
when others =>
-- FI00
FILTER_BYTE_OUT <= X"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"000";
n_SV <= ST_FI_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, STATE_SV, STATE_n_SV, COUNT) -- Zustandsanzeige
begin
STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
--anktuellen Zustand anzeigen
DISPL1_SV(0) <= STATE_SV(0); --Bit0
DISPL1_SV(1) <= STATE_SV(1); --Bit1
DISPL1_SV(2) <= STATE_SV(2); --Bit2
DISPL1_SV(3) <= STATE_SV(3); --Bit3
DISPL2_SV(0) <= STATE_SV(4); --usw.
DISPL2_SV(1) <= STATE_SV(5);
DISPL2_SV(2) <= STATE_SV(6);
DISPL2_SV(3) <= STATE_SV(7);
if (DISPL_COUNT ='0') --Original
then --Folgezustand anzeigen
DISPL1_n_SV(0) <= STATE_n_SV(0);
DISPL1_n_SV(1) <= STATE_n_SV(1);
DISPL1_n_SV(2) <= STATE_n_SV(2);
DISPL1_n_SV(3) <= STATE_n_SV(3);
DISPL2_n_SV(0) <= STATE_n_SV(4);
DISPL2_n_SV(1) <= STATE_n_SV(5);
DISPL2_n_SV(2) <= STATE_n_SV(6);
DISPL2_n_SV(3) <= STATE_n_SV(7);
else --Telegrammzaehler anzeigen
DISPL1_n_SV(0) <= COUNT(0);
DISPL1_n_SV(1) <= COUNT(1);
DISPL1_n_SV(2) <= COUNT(2);
DISPL1_n_SV(3) <= COUNT(3);
DISPL2_n_SV(0) <= COUNT(4);
DISPL2_n_SV(1) <= COUNT(5);
DISPL2_n_SV(2) <= COUNT(6);
DISPL2_n_SV(3) <= COUNT(7);
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_14_12_2012/TEST_CTRL_9P6_50MHZ_SCH/CLOCK_SINGLE_RUN_SRC.vhd | 12 | 2560 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/TEST2_SRAM_25MHZ_255_BYTE/CLOCK_SINGLE_RUN_SRC.vhd | 12 | 2560 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/PROFIBUS_MONITOR/CTRL_InAB_INPUT_VHDL.vhd | 4 | 27282 | -- CTRL_InAB_INPUT
-- Einlesen des Datenstroms von InAB und Ausgabe als Einzelnes Bit, sowie Signalisierung das Byte komplet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 09.10.2012
-- Bearbeiter: mharndt
-- Geaendert: 29.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
-- Optimierungen aus: http://www.lothar-miller.de/s9y/categories/37-FSM
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_InAB_INPUT_VHDL is
Port (InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal
CHOSE_VALUE : in std_logic; --Eingangsvariable, Zählerwert aendern
EN_BIT_i : out std_logic_vector (8 downto 0); --Ausgangsvariable, Enable Bit i, 9bit
BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert
BYTE_CMPLT: out std_logic; --Ausgangsvariabel, Byte empfangen und komplett
PAUSE_END : out std_logic; --Ausgangssignal, Pause zu Ende
CLK : in std_logic; --Taktvariable
-- CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_InAB_INPUT_VHDL;
architecture Behavioral of CTRL_InAB_INPUT_VHDL is
type TYPE_STATE is
(ST_CTRL_00, --Zustaende CTRL_9P6_50MHZ
ST_CTRL_01,
ST_CTRL_02,
ST_CTRL_03,
ST_CTRL_04,
ST_CTRL_05,
ST_CTRL_06,
ST_CTRL_07,
ST_CTRL_08,
ST_CTRL_09,
ST_CTRL_0A, --10
ST_CTRL_0B, --11
ST_CTRL_0C, --12
ST_CTRL_0D, --13
ST_CTRL_0E, --14
ST_CTRL_0F);--15
signal SV : TYPE_STATE := ST_CTRL_00; --Zustandsvariable
signal n_SV: TYPE_STATE := ST_CTRL_00; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE := ST_CTRL_00; --Zustandsvariable, Ausgang Master
signal COUNT_L : std_logic_vector (19 downto 0) := x"00000"; --großer Zaehler, Vektor, 20 Bit
signal n_COUNT_L : std_logic_vector (19 downto 0) := x"00000"; --großer Zaehler, neuer Wert, Vektor, 20 Bit
signal COUNT_L_M : std_logic_vector (19 downto 0) := x"00000"; --großer Zaehler, Ausgang Master, Vektor, 20 Bit
signal COUNT_S : std_logic_vector (15 downto 0) := x"0000"; --kleiner Zaehler, Vektor, 16 Bit
signal n_COUNT_S : std_logic_vector (15 downto 0) := x"0000"; --kleiner Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_S_M : std_logic_vector (15 downto 0) := x"0000"; --kleiner Zaehler, Ausgang Master, Vektor, 16 Bit
signal InAB_S : std_logic := '0'; --Eingangsvariable
--Zwischengespeichert im Eingangsregister
--signal not_CLK : std_logic; --negierte Taktvariable
--signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal EN_BIT_0 : std_logic := '0'; --BIT0
signal EN_BIT_1 : std_logic := '0'; --BIT1
signal EN_BIT_2 : std_logic := '0'; --BIT2
signal EN_BIT_3 : std_logic := '0'; --BIT3
signal EN_BIT_4 : std_logic := '0'; --BIT4
signal EN_BIT_5 : std_logic := '0'; --BIT5
signal EN_BIT_6 : std_logic := '0'; --BIT6
signal EN_BIT_7 : std_logic := '0'; --BIT7
signal EN_BIT_8 : std_logic := '0'; --Paritätsbit
signal CNTS30 : std_logic_vector (19 downto 0) := x"00000"; --Zählerwerte
signal CNTT01 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT02 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT03 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT04 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT05 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT06 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT07 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT08 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT09 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT10 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT11 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT12 : std_logic_vector (15 downto 0) := x"0000";
signal CNTT13 : std_logic_vector (15 downto 0) := x"0000";
--Konstanten, lang
constant long_CNTS30 : std_logic_vector := x"2625A"; --20 Bit
constant long_CNTT01 : std_logic_vector := x"0A2C"; --16 Bit
constant long_CNTT02 : std_logic_vector := x"1E84"; --usw.
constant long_CNTT03 : std_logic_vector := x"32DC";
constant long_CNTT04 : std_logic_vector := x"4735";
constant long_CNTT05 : std_logic_vector := x"5B8B";
constant long_CNTT06 : std_logic_vector := x"6FE4";
constant long_CNTT07 : std_logic_vector := x"8441";
constant long_CNTT08 : std_logic_vector := x"9872";
constant long_CNTT09 : std_logic_vector := x"ACEE";
constant long_CNTT10 : std_logic_vector := x"C147";
constant long_CNTT11 : std_logic_vector := x"D59F";
constant long_CNTT12 : std_logic_vector := x"D9B1";
constant long_CNTT13 : std_logic_vector := x"E5E6";
--Konstanten, kurz
constant short_CNTS30 : std_logic_vector := x"0000A"; --10
constant short_CNTT01 : std_logic_vector := x"0003"; --3
constant short_CNTT02 : std_logic_vector := x"0006"; --6
constant short_CNTT03 : std_logic_vector := x"0009"; --9
constant short_CNTT04 : std_logic_vector := x"000C"; --12
constant short_CNTT05 : std_logic_vector := x"000F"; --15
constant short_CNTT06 : std_logic_vector := x"0012"; --18
constant short_CNTT07 : std_logic_vector := x"0015"; --21
constant short_CNTT08 : std_logic_vector := x"0018"; --24
constant short_CNTT09 : std_logic_vector := x"001B"; --27
constant short_CNTT10 : std_logic_vector := x"001E"; --30
constant short_CNTT11 : std_logic_vector := x"0021"; --33
constant short_CNTT12 : std_logic_vector := x"0024"; --36
constant short_CNTT13 : std_logic_vector := x"002A"; --42
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
---NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
--begin
-- not_CLK_IO <= not CLK_IO;
--end process;
IREG_PROC: process (InAB, InAB_S, CLK) --Eingangsregister
begin
if falling_edge(CLK) --Eingangsregister
then InAB_S <= InAB;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, n_COUNT_L,n_COUNT_S, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_CTRL_00;
COUNT_L_M <= x"00000";
COUNT_S_M <= x"0000";
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_L_M <= n_COUNT_L;
COUNT_S_M <= n_COUNT_S;
else SV_M <= SV_M;
COUNT_L_M <= COUNT_L_M;
COUNT_S_M <= COUNT_S_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, COUNT_L_M, COUNT_S_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_CTRL_00;
COUNT_L <= x"00000";
COUNT_S <= x"0000";
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT_L <= COUNT_L_M;
COUNT_S <= COUNT_S_M;
end if;
end if;
end process;
IL_OL_PROC: process (InAB_S, SV, COUNT_L,COUNT_S, CNTS30, CNTT01, CNTT02, CNTT03, CNTT04, CNTT05, CNTT06, CNTT07, CNTT08, CNTT09, CNTT10, CNTT11, CNTT12, CNTT13)
begin
case SV is
when ST_CTRL_00 =>
if (InAB_S = '1')
then
-- VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000"; -- großer Zaehler Neustart
n_COUNT_S <= x"0000"; -- kleiner Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_01; -- Zustandsuebgergang
else
--VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000"; -- großer Zaehler nullen
n_COUNT_S <= x"0000"; -- kleiner Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_00; --InAB = '0'
end if;
when ST_CTRL_01 =>
if (InAB_S = '1')
then
if (COUNT_L = CNTS30) --156250 -- if (COUNT >=3)
then
-- VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_02; -- Zustandsuebgergang
else --not COUNT_L = CNTS30
--VAS01
PAUSE_END <= '0';
n_COUNT_L <= COUNT_L+1;
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_01; --Zaehlschleife
end if;
else --InAB_S = '1'
--VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_00; -- Zustandsuebgergang
end if;
when ST_CTRL_02 =>
if (InAB_S = '0')
then
-- VAS03
PAUSE_END <= '1';
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
--VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_02; --warte ab bis InAB wieder NUll wird
end if;
when ST_CTRL_03 =>
if (COUNT_S = CNTT01) --2604
then
if (InAB_S = '0') -- Startbit erkannt
then
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_04; -- Zustandsuebgergang
else --InAB_S = '1'
-- VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_00;
end if;
else
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
end if;
when ST_CTRL_04 =>
if (COUNT_S = CNTT02) --7812
then
-- VAS04
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '1';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_05; -- Zustandsuebgergang
else --n_COUNT < CNTT02
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_04; --Zaehlschleife
end if;
when ST_CTRL_05 =>
if (COUNT_S = CNTT03) --13020
then
-- VAS05
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '1';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_06; -- Zustandsuebgergang
else --n_COUNT < CNTT03
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_05; --Zaehlschleife
end if;
when ST_CTRL_06 =>
if (COUNT_S = CNTT04) --18229
then
-- VAS06
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '1';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_07; -- Zustandsuebgergang
else --n_COUNT < CNTT04
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_06; --Zaehlschleife
end if;
when ST_CTRL_07 =>
if (COUNT_S = CNTT05) --23435
then
-- VAS07
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '1';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_08; -- Zustandsuebgergang
else --n_COUNT < CNTT05
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_07; --Zaehlschleife
end if;
when ST_CTRL_08 =>
if (COUNT_S = CNTT06) --28644
then
-- VAS08
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '1';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_09; -- Zustandsuebgergang
else --n_COUNT < CNTT06
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_08; --Zaehlschleife
end if;
when ST_CTRL_09 =>
if (COUNT_S = CNTT07) --33854
then
-- VAS09
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '1';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0A; -- Zustandsuebgergang
else --n_COUNT < CNTT07
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_09; --Zaehlschleife
end if;
when ST_CTRL_0A =>
if (COUNT_S = CNTT08) --39062
then
-- VAS10
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '1';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT08
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0A; --Zaehlschleife
end if;
when ST_CTRL_0B =>
if (COUNT_S = CNTT09) --44270
then
-- VAS11
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '1';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0C; -- Zustandsuebgergang
else --n_COUNT < CNTT09
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0B; --Zaehlschleife
end if;
when ST_CTRL_0C =>
if (COUNT_S = CNTT10) --49479
then
-- VAS12
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '1';
BIT_VALUE <= InAB_S;
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0D; -- Zustandsuebgergang
else --n_COUNT < CNTT10
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0C; --Zaehlschleife
end if;
when ST_CTRL_0D =>
if (COUNT_S = CNTT11) --54687
then
if (InAB_S = '0')
then
-- VAS03
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_00; -- Error: Kein Stoppbit, vormals ST_CTRL_05
else --InAB_S = '1'
-- VAS13
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '1';
n_SV <= ST_CTRL_0E; --Stoppbit erkannt
end if; --InAB_S = '0'
else --not COUNT_S = CNTT11
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0D; --Zaehlschleife
end if; --COUNT_S = CNTT11
when ST_CTRL_0E =>
if (COUNT_S = CNTT12) --60937
then
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0F; -- Zustandsuebgergang
else -- n_COUNT < CNTT12
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0E; --Zaehlschleife
end if;
when ST_CTRL_0F =>
if (InAB_S = '1') --Startbot bisher ncoh nicht gefunden
then
if (COUNT_S = CNTT13) --64062
then
-- VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000"; -- Zaehler nullen
n_COUNT_S <= x"0000"; -- Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?)
else --not COUNT_S = CNTT13
-- VAS02
PAUSE_END <= '0';
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_0F; --Zaehlschleife
end if; --COUNT_S = CNTT13
else --InAB_S = '0'
-- Startbit gefunden
-- VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
end if;
when others =>
-- VAS00
PAUSE_END <= '0';
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_CMPLT <= '0';
n_SV <= ST_CTRL_00;
end case;
end process;
--BYTE_IN_PROC: process (EN_BIT_0, EN_BIT_1, EN_BIT_2, EN_BIT_3, EN_BIT_4, EN_BIT_5, EN_BIT_6, EN_BIT_7, EN_BIT_8) --Umwandlung einzelnes Bit EIN_BIT_0_S bis 8_S in Vector EN_BIT_i
-- begin
EN_BIT_i(0) <= EN_BIT_0;
EN_BIT_i(1) <= EN_BIT_1;
EN_BIT_i(2) <= EN_BIT_2;
EN_BIT_i(3) <= EN_BIT_3;
EN_BIT_i(4) <= EN_BIT_4;
EN_BIT_i(5) <= EN_BIT_5;
EN_BIT_i(6) <= EN_BIT_6;
EN_BIT_i(7) <= EN_BIT_7;
EN_BIT_i(8) <= EN_BIT_8;
--end process;
STATE_DISPL_PROC: process (SV, n_SV, STATE_SV, STATE_n_SV) -- Zustandsanzeige
begin
STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= STATE_SV(0); --Bit0
DISPL1_SV(1) <= STATE_SV(1); --Bit1
DISPL1_SV(2) <= STATE_SV(2); --Bit2
DISPL1_SV(3) <= STATE_SV(3); --Bit3
DISPL2_SV(0) <= STATE_SV(4); --usw.
DISPL2_SV(1) <= STATE_SV(5);
DISPL2_SV(2) <= STATE_SV(6);
DISPL2_SV(3) <= STATE_SV(7);
--Folgezustand anzeigen
DISPL1_n_SV(0) <= STATE_n_SV(0);
DISPL1_n_SV(1) <= STATE_n_SV(1);
DISPL1_n_SV(2) <= STATE_n_SV(2);
DISPL1_n_SV(3) <= STATE_n_SV(3);
DISPL2_n_SV(0) <= STATE_n_SV(4);
DISPL2_n_SV(1) <= STATE_n_SV(5);
DISPL2_n_SV(2) <= STATE_n_SV(6);
DISPL2_n_SV(3) <= STATE_n_SV(7);
end process;
SWITCH_VALUES_PROC: process (CHOSE_VALUE) --Schaltet zw. langen und kurzem Zaehler um
begin
if (CHOSE_VALUE = '0')
then
--normale Werte
CNTS30 <= long_CNTS30;
CNTT01 <= long_CNTT01;
CNTT02 <= long_CNTT02;
CNTT03 <= long_CNTT03;
CNTT04 <= long_CNTT04;
CNTT05 <= long_CNTT05;
CNTT06 <= long_CNTT06;
CNTT07 <= long_CNTT07;
CNTT08 <= long_CNTT08;
CNTT09 <= long_CNTT09;
CNTT10 <= long_CNTT10;
CNTT11 <= long_CNTT11;
CNTT12 <= long_CNTT12;
CNTT13 <= long_CNTT13;
else
--kurze Werte
CNTS30 <= short_CNTS30;
CNTT01 <= short_CNTT01;
CNTT02 <= short_CNTT02;
CNTT03 <= short_CNTT03;
CNTT04 <= short_CNTT04;
CNTT05 <= short_CNTT05;
CNTT06 <= short_CNTT06;
CNTT07 <= short_CNTT07;
CNTT08 <= short_CNTT08;
CNTT09 <= short_CNTT09;
CNTT10 <= short_CNTT10;
CNTT11 <= short_CNTT11;
CNTT12 <= short_CNTT12;
CNTT13 <= short_CNTT13;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_TELEGRAM_FILTER/CTRL_TELEGRAM_FILTER_VHDL.vhd | 8 | 10937 | -- CTRL_TELEGRAM_FILTER
-- Voreingestellten Profibus Telegramtyp ermitteln und Bytes ausgeben, alternativ alle Bytes durchlassen
-- Ersteller: Martin Harndt
-- Erstellt: 22.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 22.01.2013
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_TELEGRAM_FILTER_VHDL is
Port (BYTE_IN : in std_logic_vector (7 downto 0); --Eingangsvariable, Byte, 8bit
FILTER_ON : in std_logic; --Eingangsvariable, Filter einschalten
FILTER_T : in std_logic_vector (2 downto 0); --Eingangsvariable, Telegramfilter einstellen, 3bit
FILTER_BYTE_OUT : out std_logic_vector (7 downto 0);--Ausgangsvariable, gefilterte Telegramme
SEND_OUT : out std_logic; --Ausgangsvariable, Byte senden
T_CMPLT: out std_logic; --Ausgangsvariable, Telegramm komplett
DISPL_COUNT : in std_logic; --Eingangsvariable, Folgeszustand oder Bytezaehler anzeigen
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_TELEGRAM_FILTER_VHDL;
architecture Behavioral of CTRL_TELEGRAM_FILTER_VHDL is
type TYPE_STATE is
(ST_FI_00, --Zustaende TELEGRAM_CHECK
ST_FI_01,
ST_FI_02,
ST_FI_03,
ST_FI_04,
ST_FI_05,
ST_FI_06,
ST_FI_07,
ST_FI_08,
ST_FI_09,
ST_FI_10,
ST_FI_11,
ST_FI_12,
ST_FI_13,
ST_FI_14,
ST_FI_15);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal COUNT : std_logic_vector (7 downto 0); -- Vektor, Zaehler, 8bit
signal n_COUNT : std_logic_vector (7 downto 0); -- Vektor, Zaehler, 8bit, neuer Wert
signal COUNT_M : std_logic_vector (7 downto 0); -- Vektor, Zaehler, 8bit, Ausgang Master
signal STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal not_CLK : std_logic; --negierte Taktvariable
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_FI_00;
COUNT_M <= x"00";
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_FI_00;
COUNT <= x"00";
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
TELEGRAM_FILTER_PROC:process (FILTER_ON, FILTER_T, BYTE_IN, SV, COUNT) --Telegramme Filtern und ausgeben
begin
case SV is
when ST_FI_00 =>
if (FILTER_ON = '1')
then
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_01;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when ST_FI_01 =>
if (FILTER_T = "000")
then
--FI01
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
else
--FI01
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_02;
end if;
when ST_FI_02 =>
if (FILTER_T = "001")
then
--FI01
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_03;
else
--FI01
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_05;
end if;
when ST_FI_03 =>
if (BYTE_IN = x"10")
then
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_04;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when ST_FI_04 =>
if (COUNT = x"06")
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '1';
SEND_OUT <= '1';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
else
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_04;
end if;
when ST_FI_05 =>
if (FILTER_T= "010")
then
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_06;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_08;
end if;
when ST_FI_06 =>
if (BYTE_IN = x"68")
then
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_07;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when ST_FI_07 =>
if (COUNT = x"F9" OR BYTE_IN = x"16")
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '1';
SEND_OUT <= '1';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
else
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_07;
end if;
when ST_FI_08 =>
if (FILTER_T= "011")
then
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_09;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_11;
end if;
when ST_FI_09 =>
if (BYTE_IN = x"A2")
then
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_10;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when ST_FI_10 =>
if (COUNT = x"0E")
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '1';
SEND_OUT <= '1';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
else
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_10;
end if;
when ST_FI_11 =>
if (FILTER_T= "100")
then
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_12;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_14;
end if;
when ST_FI_12 =>
if (BYTE_IN = x"DC")
then
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_13;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when ST_FI_13 =>
if (COUNT = x"03")
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '1';
SEND_OUT <= '1';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
else
--FI02
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '0';
SEND_OUT <= '1';
n_COUNT <= COUNT+1;
n_SV <= ST_FI_13;
end if;
when ST_FI_14 =>
if (FILTER_T= "101")
then
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_15;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when ST_FI_15 =>
if (BYTE_IN = x"E5")
then
--FI03
FILTER_BYTE_OUT <= BYTE_IN;
T_CMPLT <= '1';
SEND_OUT <= '1';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
else
--FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end if;
when others =>
-- FI00
FILTER_BYTE_OUT <= x"00";
T_CMPLT <= '0';
SEND_OUT <= '0';
n_COUNT <= x"00";
n_SV <= ST_FI_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, STATE_SV, STATE_n_SV, COUNT) -- Zustandsanzeige
begin
STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
--anktuellen Zustand anzeigen
DISPL1_SV(0) <= STATE_SV(0); --Bit0
DISPL1_SV(1) <= STATE_SV(1); --Bit1
DISPL1_SV(2) <= STATE_SV(2); --Bit2
DISPL1_SV(3) <= STATE_SV(3); --Bit3
DISPL2_SV(0) <= STATE_SV(4); --usw.
DISPL2_SV(1) <= STATE_SV(5);
DISPL2_SV(2) <= STATE_SV(6);
DISPL2_SV(3) <= STATE_SV(7);
if (DISPL_COUNT ='0') --Original
then --Folgezustand anzeigen
DISPL1_n_SV(0) <= STATE_n_SV(0);
DISPL1_n_SV(1) <= STATE_n_SV(1);
DISPL1_n_SV(2) <= STATE_n_SV(2);
DISPL1_n_SV(3) <= STATE_n_SV(3);
DISPL2_n_SV(0) <= STATE_n_SV(4);
DISPL2_n_SV(1) <= STATE_n_SV(5);
DISPL2_n_SV(2) <= STATE_n_SV(6);
DISPL2_n_SV(3) <= STATE_n_SV(7);
else --Telegrammzaehler anzeigen
DISPL1_n_SV(0) <= COUNT(0);
DISPL1_n_SV(1) <= COUNT(1);
DISPL1_n_SV(2) <= COUNT(2);
DISPL1_n_SV(3) <= COUNT(3);
DISPL2_n_SV(0) <= COUNT(4);
DISPL2_n_SV(1) <= COUNT(5);
DISPL2_n_SV(2) <= COUNT(6);
DISPL2_n_SV(3) <= COUNT(7);
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/PROFIBUS_MONITOR/CTRL_BIT_REGISTER.vhd | 4 | 17316 | -- CTRL_BIT_REGISTER
-- Einlesen der einzelnen Werte für bestimmte Bits, berechung der Parität und Ausgabe als Byte
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 08.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 25.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
-- Optimierungen aus: http://www.lothar-miller.de/s9y/categories/37-FSM
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_BIT_REGISTER is
Port (EN_BIT_i : in std_logic_vector (8 downto 0); --Eingangsvariable, Einschalten des Bitregisters i
BIT_VALUE : in std_logic; -- Eingangsvariable, Wert des aktuellen Bits
BYTE_OUT : out std_logic_vector (7 downto 0); --Ausgangsvariable, Byte, 8bit, Vektor
PARITY_OK : out std_logic; --Ausgangsvariable, Parität i.O.
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_BIT_REGISTER;
architecture Behavioral of CTRL_BIT_REGISTER is
type TYPE_STATE_BR_BIT0 is
(ST_BR_EN_BIT0_0, --Zustaende BIT_REGISTER BIT0
ST_BR_EN_BIT0_1);
type TYPE_STATE_BR_BIT1 is
(ST_BR_EN_BIT1_0, --Zustaende BIT_REGISTER BIT1
ST_BR_EN_BIT1_1);
type TYPE_STATE_BR_BIT2 is
(ST_BR_EN_BIT2_0, --Zustaende BIT_REGISTER BIT2
ST_BR_EN_BIT2_1);
type TYPE_STATE_BR_BIT3 is
(ST_BR_EN_BIT3_0, --Zustaende BIT_REGISTER BIT3
ST_BR_EN_BIT3_1);
type TYPE_STATE_BR_BIT4 is
(ST_BR_EN_BIT4_0, --Zustaende BIT_REGISTER BIT4
ST_BR_EN_BIT4_1);
type TYPE_STATE_BR_BIT5 is
(ST_BR_EN_BIT5_0, --Zustaende BIT_REGISTER BIT5
ST_BR_EN_BIT5_1);
type TYPE_STATE_BR_BIT6 is
(ST_BR_EN_BIT6_0, --Zustaende BIT_REGISTER BIT6
ST_BR_EN_BIT6_1);
type TYPE_STATE_BR_BIT7 is
(ST_BR_EN_BIT7_0, --Zustaende BIT_REGISTER BIT7
ST_BR_EN_BIT7_1);
type TYPE_STATE_BR_BIT8 is
(ST_BR_EN_BIT8_0, --Zustaende BIT_REGISTER BIT8
ST_BR_EN_BIT8_1);
signal SV_BR_BIT0 : TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0
signal n_SV_BR_BIT0: TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0, neuer Wert
signal SV_BR_BIT0_M: TYPE_STATE_BR_BIT0 := ST_BR_EN_BIT0_0; --Zustandsvariable BIT_REGSITER BIT0, Ausgang Master
signal SV_BR_BIT1 : TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1
signal n_SV_BR_BIT1: TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1, neuer Wert
signal SV_BR_BIT1_M: TYPE_STATE_BR_BIT1 := ST_BR_EN_BIT1_0; --Zustandsvariable BIT_REGSITER BIT1, Ausgang Master
signal SV_BR_BIT2 : TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2
signal n_SV_BR_BIT2: TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2, neuer Wert
signal SV_BR_BIT2_M: TYPE_STATE_BR_BIT2 := ST_BR_EN_BIT2_0; --Zustandsvariable BIT_REGSITER BIT2, Ausgang Master
signal SV_BR_BIT3 : TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3
signal n_SV_BR_BIT3: TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3, neuer Wert
signal SV_BR_BIT3_M: TYPE_STATE_BR_BIT3 := ST_BR_EN_BIT3_0; --Zustandsvariable BIT_REGSITER BIT3, Ausgang Master
signal SV_BR_BIT4 : TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4
signal n_SV_BR_BIT4: TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4, neuer Wert
signal SV_BR_BIT4_M: TYPE_STATE_BR_BIT4 := ST_BR_EN_BIT4_0; --Zustandsvariable BIT_REGSITER BIT4, Ausgang Master
signal SV_BR_BIT5 : TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5
signal n_SV_BR_BIT5: TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5, neuer Wert
signal SV_BR_BIT5_M: TYPE_STATE_BR_BIT5 := ST_BR_EN_BIT5_0; --Zustandsvariable BIT_REGSITER BIT5, Ausgang Master
signal SV_BR_BIT6 : TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6
signal n_SV_BR_BIT6: TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6, neuer Wert
signal SV_BR_BIT6_M: TYPE_STATE_BR_BIT6 := ST_BR_EN_BIT6_0; --Zustandsvariable BIT_REGSITER BIT6, Ausgang Master
signal SV_BR_BIT7 : TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7
signal n_SV_BR_BIT7: TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7, neuer Wert
signal SV_BR_BIT7_M: TYPE_STATE_BR_BIT7 := ST_BR_EN_BIT7_0; --Zustandsvariable BIT_REGSITER BIT7, Ausgang Master
signal SV_BR_BIT8 : TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8
signal n_SV_BR_BIT8: TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8, neuer Wert
signal SV_BR_BIT8_M: TYPE_STATE_BR_BIT8 := ST_BR_EN_BIT8_0; --Zustandsvariable BIT_REGSITER BIT8, Ausgang Master
signal BYTE_VEC : std_logic_vector (8 downto 0) := b"000000000"; -- Vektor, BIT_REGSITER, vor Auswertung der Checksume
--signal not_CLK : std_logic; --negierte Taktvariable
--signal TMP00 : std_logic; --temporärer Zwischenwert, Paritätsprüfung
--signal TMP01 : std_logic;
--signal TMP02 : std_logic;
--signal TMP03 : std_logic;
--signal TMP10 : std_logic;
--signal TMP11 : std_logic;
--signal TMP20 : std_logic;
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV_BR_BIT0, n_SV_BR_BIT1, n_SV_BR_BIT2, n_SV_BR_BIT3, n_SV_BR_BIT4, n_SV_BR_BIT5, n_SV_BR_BIT6, n_SV_BR_BIT7, n_SV_BR_BIT8, CLK) --Master
begin
if (RESET ='1')
then SV_BR_BIT0_M <= ST_BR_EN_BIT0_0;
SV_BR_BIT1_M <= ST_BR_EN_BIT1_0;
SV_BR_BIT2_M <= ST_BR_EN_BIT2_0;
SV_BR_BIT3_M <= ST_BR_EN_BIT3_0;
SV_BR_BIT4_M <= ST_BR_EN_BIT4_0;
SV_BR_BIT5_M <= ST_BR_EN_BIT5_0;
SV_BR_BIT6_M <= ST_BR_EN_BIT6_0;
SV_BR_BIT7_M <= ST_BR_EN_BIT7_0;
SV_BR_BIT8_M <= ST_BR_EN_BIT8_0;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_BR_BIT0_M <= n_SV_BR_BIT0;
SV_BR_BIT1_M <= n_SV_BR_BIT1;
SV_BR_BIT2_M <= n_SV_BR_BIT2;
SV_BR_BIT3_M <= n_SV_BR_BIT3;
SV_BR_BIT4_M <= n_SV_BR_BIT4;
SV_BR_BIT5_M <= n_SV_BR_BIT5;
SV_BR_BIT6_M <= n_SV_BR_BIT6;
SV_BR_BIT7_M <= n_SV_BR_BIT7;
SV_BR_BIT8_M <= n_SV_BR_BIT8;
else
SV_BR_BIT0_M <= SV_BR_BIT0_M;
SV_BR_BIT1_M <= SV_BR_BIT1_M;
SV_BR_BIT2_M <= SV_BR_BIT2_M;
SV_BR_BIT3_M <= SV_BR_BIT3_M;
SV_BR_BIT4_M <= SV_BR_BIT4_M;
SV_BR_BIT5_M <= SV_BR_BIT5_M;
SV_BR_BIT6_M <= SV_BR_BIT6_M;
SV_BR_BIT7_M <= SV_BR_BIT7_M;
SV_BR_BIT8_M <= SV_BR_BIT8_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_BR_BIT0_M, SV_BR_BIT1_M, SV_BR_BIT2_M, SV_BR_BIT3_M, SV_BR_BIT4_M, SV_BR_BIT5_M, SV_BR_BIT6_M, SV_BR_BIT7_M, SV_BR_BIT8_M, CLK) --Slave
begin
if (RESET = '1')
then SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
else
if falling_edge(CLK)
then
SV_BR_BIT0 <= SV_BR_BIT0_M;
SV_BR_BIT1 <= SV_BR_BIT1_M;
SV_BR_BIT2 <= SV_BR_BIT2_M;
SV_BR_BIT3 <= SV_BR_BIT3_M;
SV_BR_BIT4 <= SV_BR_BIT4_M;
SV_BR_BIT5 <= SV_BR_BIT5_M;
SV_BR_BIT6 <= SV_BR_BIT6_M;
SV_BR_BIT7 <= SV_BR_BIT7_M;
SV_BR_BIT8 <= SV_BR_BIT8_M;
end if;
end if;
end process;
BIT_REGISTER_EN_BIT_0_PROC:process (SV_BR_BIT0, n_SV_BR_BIT0, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit0
begin
case SV_BR_BIT0 is
when ST_BR_EN_BIT0_0 =>
BYTE_OUT(0)<='0';
BYTE_VEC(0)<='0';
if (EN_BIT_i(0) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_EN_BIT0_1
then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
when ST_BR_EN_BIT0_1 =>
-- EN_BIT_0_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(0) = 1
BYTE_OUT(0)<='1';
BYTE_VEC(0)<='1';
if (EN_BIT_i(0) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end case;
end process;
BIT_REGISTER_EN_BIT_1_PROC:process (SV_BR_BIT1, n_SV_BR_BIT1, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1
begin
case SV_BR_BIT1 is
when ST_BR_EN_BIT1_0 =>
BYTE_OUT(1)<='0';
BYTE_VEC(1)<='0';
if (EN_BIT_i(1) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT1_1
then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
when ST_BR_EN_BIT1_1 =>
-- EN_BIT_1_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(1) = 1
BYTE_OUT(1)<='1';
BYTE_VEC(1)<='1';
if (EN_BIT_i(1) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end case;
end process;
BIT_REGISTER_EN_BIT_2_PROC:process (SV_BR_BIT2, n_SV_BR_BIT2, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1
begin
case SV_BR_BIT2 is
when ST_BR_EN_BIT2_0 =>
BYTE_OUT(2)<='0';
BYTE_VEC(2)<='0';
if (EN_BIT_i(2) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT2_1
then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
when ST_BR_EN_BIT2_1 =>
-- EN_BIT_2_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(2) = 1
BYTE_OUT(2)<='1';
BYTE_VEC(2)<='1';
if (EN_BIT_i(2) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end case;
end process;
BIT_REGISTER_EN_BIT_3_PROC:process (SV_BR_BIT3, n_SV_BR_BIT3, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1
begin
case SV_BR_BIT3 is
when ST_BR_EN_BIT3_0 =>
BYTE_OUT(3)<='0';
BYTE_VEC(3)<='0';
if (EN_BIT_i(3) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT3_1
then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
when ST_BR_EN_BIT3_1 =>
-- EN_BIT_3_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(3) = 1
BYTE_OUT(3)<='1';
BYTE_VEC(3)<='1';
if (EN_BIT_i(3) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end case;
end process;
BIT_REGISTER_EN_BIT_4_PROC:process (SV_BR_BIT4, n_SV_BR_BIT4, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1
begin
case SV_BR_BIT4 is
when ST_BR_EN_BIT4_0 =>
BYTE_OUT(4)<='0';
BYTE_VEC(4)<='0';
if (EN_BIT_i(4) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT4_1
then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
when ST_BR_EN_BIT4_1 =>
-- EN_BIT_4 = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(4) = 1
BYTE_OUT(4)<='1';
BYTE_VEC(4)<='1';
if (EN_BIT_i(4) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end case;
end process;
BIT_REGISTER_EN_BIT_5_PROC:process (SV_BR_BIT5, n_SV_BR_BIT5, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit1
begin
case SV_BR_BIT5 is
when ST_BR_EN_BIT5_0 =>
BYTE_OUT(5)<='0';
BYTE_VEC(5)<='0';
if (EN_BIT_i(5) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT5_1
then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
when ST_BR_EN_BIT5_1 =>
-- EN_BIT_5_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(5) = 1
BYTE_OUT(5)<='1';
BYTE_VEC(5)<='1';
if (EN_BIT_i(5) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end case;
end process;
BIT_REGISTER_EN_BIT_6_PROC:process (SV_BR_BIT6, n_SV_BR_BIT6, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit6
begin
case SV_BR_BIT6 is
when ST_BR_EN_BIT6_0 =>
BYTE_OUT(6)<='0';
BYTE_VEC(6)<='0';
if (EN_BIT_i(6) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT6_1
then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
when ST_BR_EN_BIT6_1 =>
-- EN_BIT_6 = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(6) = 1
BYTE_OUT(6)<='1';
BYTE_VEC(6)<='1';
if (EN_BIT_i(6) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end case;
end process;
BIT_REGISTER_EN_BIT_7_PROC:process (SV_BR_BIT7, n_SV_BR_BIT7, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit7
begin
case SV_BR_BIT7 is
when ST_BR_EN_BIT7_0 =>
BYTE_OUT(7)<='0';
BYTE_VEC(7)<='0';
if (EN_BIT_i(7) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT7_1
then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
when ST_BR_EN_BIT7_1 =>
-- EN_BIT_7_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(7) = 1
BYTE_OUT(7)<='1';
BYTE_VEC(7)<='1';
if (EN_BIT_i(7) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end case;
end process;
BIT_REGISTER_EN_BIT_8_PROC:process (SV_BR_BIT8, n_SV_BR_BIT8, BIT_VALUE, EN_BIT_i) --BIT_REGISTER Bit8
begin
case SV_BR_BIT8 is
when ST_BR_EN_BIT8_0 =>
BYTE_VEC(8)<='0';
if (EN_BIT_i(8) = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT8_1
then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
when ST_BR_EN_BIT8_1 =>
-- EN_BIT_8_S = 1 und BIT_VALUE = 1 dann setze BYTE_OUT(8) = 1
BYTE_VEC(8)<='1';
if (EN_BIT_i(8) = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end case;
end process;
PARITY_CHECK_PROC: process (BYTE_VEC) --Paritätsprüfung (Mit VARIABLEN := , STATT SIGNALEN <=)
variable TMP00, TMP01, TMP02, TMP03, TMP10, TMP11, TMP20 : std_logic;
begin
TMP00 := BYTE_VEC(0) xor BYTE_VEC(1);
TMP01 := BYTE_VEC(2) xor BYTE_VEC(3);
TMP02 := BYTE_VEC(4) xor BYTE_VEC(5);
TMP03 := BYTE_VEC(6) xor BYTE_VEC(7);
TMP10 := TMP00 xor TMP01;
TMP11 := TMP02 xor TMP03;
TMP20 := TMP10 xor TMP11;
if (TMP20 = BYTE_VEC(8))
then PARITY_OK <= '1'; -- Parität korrekt
else PARITY_OK <= '0'; -- Parität fehlerhaft
end if;
end process;
--BYTE_OUT_PORC: process (BYTE_VEC) --BYTEausgabe
-- begin
-- BYTE_OUT(0) <= BYTE_VEC(0);
-- BYTE_OUT(1) <= BYTE_VEC(1);
-- BYTE_OUT(2) <= BYTE_VEC(2);
-- BYTE_OUT(3) <= BYTE_VEC(3);
-- BYTE_OUT(4) <= BYTE_VEC(4);
-- BYTE_OUT(5) <= BYTE_VEC(5);
-- BYTE_OUT(6) <= BYTE_VEC(6);
-- BYTE_OUT(7) <= BYTE_VEC(7);
--end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/PROFIBUS_MONITOR/CTRL_RS232_TX_VHDL.vhd | 4 | 9084 | -- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
--Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
--constant CNT01 : std_logic_vector := x"1458"; --16 Bit
--constant CNT02 : std_logic_vector := x"2C98"; --usw.
--constant CNT03 : std_logic_vector := x"3D08";
--constant CNT04 : std_logic_vector := x"5160";
--constant CNT05 : std_logic_vector := x"65B8";
--constant CNT06 : std_logic_vector := x"7A10";
--constant CNT07 : std_logic_vector := x"8E68";
--constant CNT08 : std_logic_vector := x"A2C0";
--constant CNT09 : std_logic_vector := x"B718";
--constant CNT10 : std_logic_vector := x"CB70";
--Konstanten, lang 19200 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
constant CNT01 : std_logic_vector := x"0A2C"; --16 Bit
constant CNT02 : std_logic_vector := x"1458"; --usw.
constant CNT03 : std_logic_vector := x"1E84";
constant CNT04 : std_logic_vector := x"28B0";
constant CNT05 : std_logic_vector := x"32DC";
constant CNT06 : std_logic_vector := x"3D09";
constant CNT07 : std_logic_vector := x"4735";
constant CNT08 : std_logic_vector := x"5161";
constant CNT09 : std_logic_vector := x"5B8D";
constant CNT10 : std_logic_vector := x"65B9";
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
end Behavioral;
| gpl-2.0 |
freecores/gamepads | gcpad/rtl/vhdl/gcpad_full-c.vhd | 1 | 683 | -------------------------------------------------------------------------------
--
-- GCpad controller core
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- $Id: gcpad_full-c.vhd,v 1.1 2004-10-10 17:25:08 arniml Exp $
--
-------------------------------------------------------------------------------
configuration gcpad_full_struct_c0 of gcpad_full is
for struct
for ctrl_b : gcpad_ctrl
use configuration work.gcpad_ctrl_rtl_c0;
end for;
for tx_b : gcpad_tx
use configuration work.gcpad_tx_rtl_c0;
end for;
for rx_b : gcpad_rx
use configuration work.gcpad_rx_rtl_c0;
end for;
end for;
end gcpad_full_struct_c0;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_14_12_2012/TEST2_SRAM_25MHZ_255_BYTE/SRAM_25MHZ_255_BYTE.vhd | 4 | 10382 | -- SRAM_25MHZ_255_BYTE
-- beschreibt/liest den SRAM des Spartan 3
-- Ersteller: Martin Harndt
-- Erstellt: 30.11.2012
-- Bearbeiter: mharndt
-- Geaendert: 07.12.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SRAM_25MHZ_255_BYTE is
Port ( GO : in std_logic;
COUNT_ADR_OUT : out std_logic_vector(18 downto 0); --Ausgabe Adresse, 19 Byte
COUNT_DAT_OUT : out std_logic_vector(15 downto 0); --Ausgabe gespeicherte Daten, 16 Byte
WE : out std_logic; -- Write Enable
OE : out std_logic; -- Output Enable
CE1 : out std_logic; --Chip Enable
UB1 : out std_logic; --Upper Byte Enable
LB1 : out std_logic; --Lower Byte Enable
STOP : out std_logic; -- zum Anzeigen von STOP
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE : in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end SRAM_25MHZ_255_BYTE;
architecture Behavioral of SRAM_25MHZ_255_BYTE is
type TYPE_STATE is
(ST_RAM_00, --Zustaende
ST_RAM_01,
ST_RAM_02,
ST_RAM_03,
ST_RAM_04,
ST_RAM_05);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal GO_S : std_logic; --Eingangsvariable
--Zwischengespeichert im Eingangsregister
signal COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, Vektor, 19 bit
signal n_COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, neuer Wert, Vektor, 19 bit
signal COUNT_ADR_M : std_logic_vector(18 downto 0); --Adresszaehler, Ausgang Master, Vektor, 19 bit
signal COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, Vektor, 15 bit
signal n_COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, neuer Wert, Vektor, 15 bit
signal COUNT_DAT_M : std_logic_vector(15 downto 0); --Datenzaehler, Ausgang Master, Vektor, 15 bit
signal DISPL_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal DISPL_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraiable, Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (GO, GO_S, not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then GO_S <= GO;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_RAM_00;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_ADR_M <= n_COUNT_ADR;
COUNT_DAT_M <= n_COUNT_DAT;
else SV_M <= SV_M;
COUNT_ADR_M <= COUNT_ADR_M;
COUNT_DAT_M <= COUNT_DAT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_RAM_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT_ADR <= COUNT_ADR_M;
COUNT_DAT <= COUNT_DAT_M;
end if;
end if;
end process;
IL_OL_PROC: process (GO_S, SV, COUNT_ADR, COUNT_DAT)
begin
UB1 <= '0'; --Upper Byte Ein (0=Ein 1=Aus)
LB1 <= '0'; --Lower Byte Ein (0=Ein 1=Aus)
case SV is
when ST_RAM_00 =>
if (GO_S = '1')
then
-- RAM01
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '1'; --Aus (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_01; -- Zustandsuebgergang
else
--RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_00; -- GO = '0'
end if;
when ST_RAM_01 =>
-- RAM02
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '0'; --Ein
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_02; -- Zustandsuebgergang
when ST_RAM_02 =>
if (COUNT_ADR = b"1111111111111111")
then
-- RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_03; -- COUNT_ADR < FF
else
--RAM03
n_COUNT_ADR <= COUNT_ADR+1; -- Adress Zaehler inkrementieren
n_COUNT_DAT <= COUNT_DAT-1; -- Daten Zaehler dekrementieren
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_04; -- COUNT_ADR = FF
end if;
when ST_RAM_03 =>
if (GO_S = '0')
then
-- RAM06
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- GO_S ='0'
else
--RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_03; -- GO_S ='1'
end if;
when ST_RAM_04 =>
-- RAM04
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_01; -- Zustandsübergang
when ST_RAM_05 =>
if (GO_S = '0')
then
-- RAM08
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- GO_S ='0'
else
--RAM07
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
n_SV <= ST_RAM_00; -- GO_S ='1'
end if;
when others =>
-- RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '1'; --Aus
STOP <= '0'; --Aus
n_SV <= ST_RAM_00;
end case;
end process;
ADR_DAT_OUT_PROC: process (n_COUNT_ADR, n_COUNT_DAT) --Ausgabe Adresse und Daten
begin
--Adressen
COUNT_ADR_OUT(0) <= n_COUNT_ADR(0);
COUNT_ADR_OUT(1) <= n_COUNT_ADR(1);
COUNT_ADR_OUT(2) <= n_COUNT_ADR(2);
COUNT_ADR_OUT(3) <= n_COUNT_ADR(3);
COUNT_ADR_OUT(4) <= n_COUNT_ADR(4);
COUNT_ADR_OUT(5) <= n_COUNT_ADR(5);
COUNT_ADR_OUT(6) <= n_COUNT_ADR(6);
COUNT_ADR_OUT(7) <= n_COUNT_ADR(7);
COUNT_ADR_OUT(8) <= n_COUNT_ADR(8);
COUNT_ADR_OUT(9) <= n_COUNT_ADR(9);
COUNT_ADR_OUT(10) <= n_COUNT_ADR(10);
COUNT_ADR_OUT(11) <= n_COUNT_ADR(11);
COUNT_ADR_OUT(12) <= n_COUNT_ADR(12);
COUNT_ADR_OUT(13) <= n_COUNT_ADR(13);
COUNT_ADR_OUT(14) <= n_COUNT_ADR(14);
COUNT_ADR_OUT(15) <= n_COUNT_ADR(15);
COUNT_ADR_OUT(16) <= n_COUNT_ADR(16);
COUNT_ADR_OUT(17) <= n_COUNT_ADR(17);
COUNT_ADR_OUT(18) <= n_COUNT_ADR(18);
--Daten
COUNT_DAT_OUT(0) <= n_COUNT_DAT(0);
COUNT_DAT_OUT(1) <= n_COUNT_DAT(1);
COUNT_DAT_OUT(2) <= n_COUNT_DAT(2);
COUNT_DAT_OUT(3) <= n_COUNT_DAT(3);
COUNT_DAT_OUT(4) <= n_COUNT_DAT(4);
COUNT_DAT_OUT(5) <= n_COUNT_DAT(5);
COUNT_DAT_OUT(6) <= n_COUNT_DAT(6);
COUNT_DAT_OUT(7) <= n_COUNT_DAT(7);
COUNT_DAT_OUT(8) <= n_COUNT_DAT(8);
COUNT_DAT_OUT(9) <= n_COUNT_DAT(9);
COUNT_DAT_OUT(10) <= n_COUNT_DAT(10);
COUNT_DAT_OUT(11) <= n_COUNT_DAT(11);
COUNT_DAT_OUT(12) <= n_COUNT_DAT(12);
COUNT_DAT_OUT(13) <= n_COUNT_DAT(13);
COUNT_DAT_OUT(14) <= n_COUNT_DAT(14);
COUNT_DAT_OUT(15) <= n_COUNT_DAT(15);
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_STATE_SV, DISPL_STATE_n_SV) -- Zustandsanzeige
begin
DISPL_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
DISPL_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= DISPL_STATE_SV(0); --Bit0
DISPL1_SV(1) <= DISPL_STATE_SV(1); --Bit1
DISPL1_SV(2) <= DISPL_STATE_SV(2); --Bit2
DISPL1_SV(3) <= DISPL_STATE_SV(3); --Bit3
DISPL2_SV(0) <= DISPL_STATE_SV(4); --usw.
DISPL2_SV(1) <= DISPL_STATE_SV(5);
DISPL2_SV(2) <= DISPL_STATE_SV(6);
DISPL2_SV(3) <= DISPL_STATE_SV(7);
--Folgezustand anzeigen
DISPL1_n_SV(0) <= DISPL_STATE_n_SV(0);
DISPL1_n_SV(1) <= DISPL_STATE_n_SV(1);
DISPL1_n_SV(2) <= DISPL_STATE_n_SV(2);
DISPL1_n_SV(3) <= DISPL_STATE_n_SV(3);
DISPL2_n_SV(0) <= DISPL_STATE_n_SV(4);
DISPL2_n_SV(1) <= DISPL_STATE_n_SV(5);
DISPL2_n_SV(2) <= DISPL_STATE_n_SV(6);
DISPL2_n_SV(3) <= DISPL_STATE_n_SV(7);
end process;
end Behavioral;
| gpl-2.0 |
vmiklos/ctags-svn | Test/bug2374109.vhd | 98 | 196 | function Pow2( N, Exp : integer ) return mylib.myinteger is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/TEST_CTRL_RS232_TX/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/TEST_CTRL_TELEGRAM_CHECK/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_CRLF/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/TEST_CTRL_TELEGRAM_CHECK/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/TEST_CTRL_BIT_REGISTER/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_14_12_2012/TEST2_SRAM_25MHZ_255_BYTE/NIB4_7SEG_SRC.vhd | 38 | 4182 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity NIB4_7SEG_SRC is
Port ( NIB0 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 0
NIB1 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 1
NIB2 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 2
NIB3 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 3
CLK_DISPL : in std_logic; -- Umschaltfrequenz empfohlen: 1 kHz
ZI0 : out std_logic; -- 1: Ziffer 0 soll leuchten
ZI1 : out std_logic; -- 1: Ziffer 1 soll leuchten
ZI2 : out std_logic; -- 1: Ziffer 2 soll leuchten
ZI3 : out std_logic; -- 1: Ziffer 3 soll leuchten
BA : out std_logic; -- 0: Segment A soll leuchten
BB : out std_logic; -- 0: Segment B soll leuchten
BC : out std_logic; -- 0: Segment C soll leuchten
BD : out std_logic; -- 0: Segment D soll leuchten
BE : out std_logic; -- 0: Segment E soll leuchten
BF : out std_logic; -- 0: Segment F soll leuchten
BG : out std_logic); -- 0: Segment G soll leuchten
end NIB4_7SEG_SRC;
architecture Behavioral of NIB4_7SEG_SRC is
signal COUNTER : std_logic_vector(1 downto 0);
signal NIB_ANZ : std_logic_vector(3 downto 0);
begin
process(CLK_DISPL, NIB3, NIB2, NIB1, NIB0, NIB_ANZ, COUNTER)
begin
If (CLK_DISPL'event and CLK_DISPL = '1')
then COUNTER <= COUNTER +1;
end if;
case COUNTER is
when "00" => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
when "01" => ZI0 <= '0';
ZI1 <= '1';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB1;
when "10" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB2;
when "11" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '1';
NIB_ANZ <= NIB3;
when others => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
end case;
case NIB_ANZ is
when "0000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --0
when "0001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --1
when "0010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --2
when "0011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --3
when "0100" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --4
when "0101" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --5
when "0110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --6
when "0111" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --7
when "1000" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --8
when "1001" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --9
when "1010" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --A
when "1011" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '1'; --B
when "1100" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '1'; --C
when "1101" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '1'; --D
when "1110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '0'; --E
when "1111" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '0'; --F
when others => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '1';
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_19_12_2012/PROFI_MON_25MHZ_CTRL_SRAM/NIB4_7SEG_SRC.vhd | 38 | 4182 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity NIB4_7SEG_SRC is
Port ( NIB0 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 0
NIB1 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 1
NIB2 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 2
NIB3 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 3
CLK_DISPL : in std_logic; -- Umschaltfrequenz empfohlen: 1 kHz
ZI0 : out std_logic; -- 1: Ziffer 0 soll leuchten
ZI1 : out std_logic; -- 1: Ziffer 1 soll leuchten
ZI2 : out std_logic; -- 1: Ziffer 2 soll leuchten
ZI3 : out std_logic; -- 1: Ziffer 3 soll leuchten
BA : out std_logic; -- 0: Segment A soll leuchten
BB : out std_logic; -- 0: Segment B soll leuchten
BC : out std_logic; -- 0: Segment C soll leuchten
BD : out std_logic; -- 0: Segment D soll leuchten
BE : out std_logic; -- 0: Segment E soll leuchten
BF : out std_logic; -- 0: Segment F soll leuchten
BG : out std_logic); -- 0: Segment G soll leuchten
end NIB4_7SEG_SRC;
architecture Behavioral of NIB4_7SEG_SRC is
signal COUNTER : std_logic_vector(1 downto 0);
signal NIB_ANZ : std_logic_vector(3 downto 0);
begin
process(CLK_DISPL, NIB3, NIB2, NIB1, NIB0, NIB_ANZ, COUNTER)
begin
If (CLK_DISPL'event and CLK_DISPL = '1')
then COUNTER <= COUNTER +1;
end if;
case COUNTER is
when "00" => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
when "01" => ZI0 <= '0';
ZI1 <= '1';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB1;
when "10" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB2;
when "11" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '1';
NIB_ANZ <= NIB3;
when others => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
end case;
case NIB_ANZ is
when "0000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --0
when "0001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --1
when "0010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --2
when "0011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --3
when "0100" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --4
when "0101" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --5
when "0110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --6
when "0111" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --7
when "1000" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --8
when "1001" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --9
when "1010" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --A
when "1011" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '1'; --B
when "1100" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '1'; --C
when "1101" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '1'; --D
when "1110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '0'; --E
when "1111" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '0'; --F
when others => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '1';
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_14_12_2012/TEST_CTRL_9P6_50MHZ_SCH/NIB4_7SEG_SRC.vhd | 38 | 4182 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity NIB4_7SEG_SRC is
Port ( NIB0 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 0
NIB1 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 1
NIB2 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 2
NIB3 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 3
CLK_DISPL : in std_logic; -- Umschaltfrequenz empfohlen: 1 kHz
ZI0 : out std_logic; -- 1: Ziffer 0 soll leuchten
ZI1 : out std_logic; -- 1: Ziffer 1 soll leuchten
ZI2 : out std_logic; -- 1: Ziffer 2 soll leuchten
ZI3 : out std_logic; -- 1: Ziffer 3 soll leuchten
BA : out std_logic; -- 0: Segment A soll leuchten
BB : out std_logic; -- 0: Segment B soll leuchten
BC : out std_logic; -- 0: Segment C soll leuchten
BD : out std_logic; -- 0: Segment D soll leuchten
BE : out std_logic; -- 0: Segment E soll leuchten
BF : out std_logic; -- 0: Segment F soll leuchten
BG : out std_logic); -- 0: Segment G soll leuchten
end NIB4_7SEG_SRC;
architecture Behavioral of NIB4_7SEG_SRC is
signal COUNTER : std_logic_vector(1 downto 0);
signal NIB_ANZ : std_logic_vector(3 downto 0);
begin
process(CLK_DISPL, NIB3, NIB2, NIB1, NIB0, NIB_ANZ, COUNTER)
begin
If (CLK_DISPL'event and CLK_DISPL = '1')
then COUNTER <= COUNTER +1;
end if;
case COUNTER is
when "00" => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
when "01" => ZI0 <= '0';
ZI1 <= '1';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB1;
when "10" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB2;
when "11" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '1';
NIB_ANZ <= NIB3;
when others => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
end case;
case NIB_ANZ is
when "0000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --0
when "0001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --1
when "0010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --2
when "0011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --3
when "0100" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --4
when "0101" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --5
when "0110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --6
when "0111" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --7
when "1000" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --8
when "1001" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --9
when "1010" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --A
when "1011" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '1'; --B
when "1100" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '1'; --C
when "1101" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '1'; --D
when "1110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '0'; --E
when "1111" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '0'; --F
when others => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '1';
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_TELEGRAM_FILTER/NIB4_7SEG_SRC.vhd | 38 | 4182 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity NIB4_7SEG_SRC is
Port ( NIB0 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 0
NIB1 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 1
NIB2 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 2
NIB3 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 3
CLK_DISPL : in std_logic; -- Umschaltfrequenz empfohlen: 1 kHz
ZI0 : out std_logic; -- 1: Ziffer 0 soll leuchten
ZI1 : out std_logic; -- 1: Ziffer 1 soll leuchten
ZI2 : out std_logic; -- 1: Ziffer 2 soll leuchten
ZI3 : out std_logic; -- 1: Ziffer 3 soll leuchten
BA : out std_logic; -- 0: Segment A soll leuchten
BB : out std_logic; -- 0: Segment B soll leuchten
BC : out std_logic; -- 0: Segment C soll leuchten
BD : out std_logic; -- 0: Segment D soll leuchten
BE : out std_logic; -- 0: Segment E soll leuchten
BF : out std_logic; -- 0: Segment F soll leuchten
BG : out std_logic); -- 0: Segment G soll leuchten
end NIB4_7SEG_SRC;
architecture Behavioral of NIB4_7SEG_SRC is
signal COUNTER : std_logic_vector(1 downto 0);
signal NIB_ANZ : std_logic_vector(3 downto 0);
begin
process(CLK_DISPL, NIB3, NIB2, NIB1, NIB0, NIB_ANZ, COUNTER)
begin
If (CLK_DISPL'event and CLK_DISPL = '1')
then COUNTER <= COUNTER +1;
end if;
case COUNTER is
when "00" => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
when "01" => ZI0 <= '0';
ZI1 <= '1';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB1;
when "10" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB2;
when "11" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '1';
NIB_ANZ <= NIB3;
when others => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
end case;
case NIB_ANZ is
when "0000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --0
when "0001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --1
when "0010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --2
when "0011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --3
when "0100" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --4
when "0101" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --5
when "0110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --6
when "0111" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --7
when "1000" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --8
when "1001" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --9
when "1010" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --A
when "1011" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '1'; --B
when "1100" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '1'; --C
when "1101" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '1'; --D
when "1110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '0'; --E
when "1111" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '0'; --F
when others => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '1';
end case;
end process;
end Behavioral;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_nandg_bit.vhd | 4 | 278 | library IEEE;
use IEEE.numeric_bit.all;
entity nand_gate is
port (
a_i : in bit; -- inputs
b_i : in bit;
c_o : out bit -- output
);
end entity nand_gate;
architecture rtl of nand_gate is
begin
c_o <= a_i nand b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_record_elab.vhd | 3 | 2069 | -- Copyright (c) 2014 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Tests initialization of records with aggregate expressions.
-- (based on the vhdl_struct_array test)
library ieee;
use ieee.std_logic_1164.all;
entity vhdl_record_elab is
port (
i_low0: in std_logic_vector (3 downto 0);
i_high0: in std_logic_vector (3 downto 0);
i_low1: in std_logic_vector (3 downto 0);
i_high1: in std_logic_vector (3 downto 0);
o_low0: out std_logic_vector (3 downto 0);
o_high0: out std_logic_vector (3 downto 0);
o_low1: out std_logic_vector (3 downto 0);
o_high1: out std_logic_vector (3 downto 0)
);
end vhdl_record_elab;
architecture test of vhdl_record_elab is
type word is record
high: std_logic_vector (3 downto 0);
low: std_logic_vector (3 downto 0);
end record;
type dword is array (1 downto 0) of word;
signal my_dword : dword;
signal dword_a : dword;
begin
-- inputs
my_dword(0) <= (low => i_low0, high => i_high0);
-- test if you can assign values in any order
my_dword(1) <= (high => i_high1, low => i_low1);
dword_a <= (0 => (low => "0110", high => "1001"),
1 => (high => "1100", low => "0011"));
-- outputs
o_low0 <= my_dword(0).low;
o_high0 <= my_dword(0).high;
o_low1 <= my_dword(1).low;
o_high1 <= my_dword(1).high;
end test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_reduce.vhd | 3 | 1273 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for or_reduce/and_reduce functions.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;
entity vhdl_reduce is
port(inp : in std_logic_vector(4 downto 0);
and_reduced : out std_logic;
or_reduced : out std_logic);
end vhdl_reduce;
architecture test of vhdl_reduce is
begin
process(inp)
begin
or_reduced <= or_reduce(inp);
and_reduced <= and_reduce(inp);
end process;
end test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_rand23_bit.vhd | 4 | 277 | library ieee;
use ieee.numeric_bit.all;
entity rand23 is
port (
a_i : in bit_vector (0 to 22);
b_i : in bit_vector (0 to 22);
c_o : out bit_vector (0 to 22)
);
end entity rand23;
architecture rtl of rand23 is
begin
c_o <= a_i and b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_udiv23_stdlogic.vhd | 4 | 310 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity udiv23 is
port (
a_i : in unsigned (22 downto 0);
b_i : in unsigned (22 downto 0);
c_o : out unsigned (22 downto 0)
);
end entity udiv23;
architecture rtl of udiv23 is
begin
c_o <= a_i / b_i;
end architecture rtl;
| gpl-2.0 |
99yen/vhdl-snake | gengraphic.vhd | 1 | 1595 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity GENGRAPHIC is
port (
VGA_X, VGA_Y : in std_logic_vector(9 downto 0);
TITLE : in std_logic;
SCORE : in std_logic_vector(7 downto 0);
VISIBLE : in std_logic;
MAP_X, MAP_Y : out std_logic_vector(5 downto 0);
R_OUT, G_OUT, B_OUT : out std_logic_vector(3 downto 0)
);
end GENGRAPHIC;
architecture RTL of GENGRAPHIC is
component XY2MAP
port (
VGA_X, VGA_Y : in std_logic_vector(9 downto 0);
GRID_X, GRID_Y : out std_logic_vector(5 downto 0);
BOX_X, BOX_Y : out std_logic_vector(3 downto 0)
);
end component;
signal BOX_X, BOX_Y : std_logic_vector(3 downto 0);
signal GRID_X, GRID_Y : std_logic_vector(5 downto 0);
signal R_ENABLE, G_ENABLE, B_ENABLE, BOX_ENABLE : std_logic;
signal FRAME : std_logic;
begin
U1: XY2MAP port map(VGA_X => VGA_X, VGA_Y => VGA_Y, GRID_X => GRID_X, GRID_Y => GRID_Y, BOX_X => BOX_X, BOX_Y => BOX_Y);
-- outer frame
FRAME <= '1' when (VGA_Y = 30 or VGA_Y = 448 or VGA_X = 110 or VGA_X = 528) else '0';
-- box
MAP_X <= GRID_X - 7;
MAP_Y <= GRID_Y - 2;
BOX_ENABLE <= '0' when (BOX_X = "1111" or BOX_Y = "1111") else '1';
R_ENABLE <= '1' when ((BOX_ENABLE = '1' and VISIBLE = '1') or FRAME = '1') else '0';
G_ENABLE <= '1' when ((BOX_ENABLE = '1' and VISIBLE = '1') or FRAME = '1') else '0';
B_ENABLE <= '1' when ((BOX_ENABLE = '1' and VISIBLE = '1') or FRAME = '1') else '0';
R_OUT <= "1111" when R_ENABLE = '1' else "0000";
G_OUT <= "1111" when G_ENABLE = '1' else "0000";
B_OUT <= "1111" when B_ENABLE = '1' else "0000";
end RTL;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_labeled_assign.vhd | 3 | 1380 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for labeled assignment statements
library ieee;
use ieee.std_logic_1164.all;
entity labeled_assign is
port (input : in std_logic_vector(7 downto 0);
output : out std_Logic_vector(7 downto 0));
end entity;
architecture test of labeled_assign is
signal test_rx : std_logic_vector (7 downto 0);
begin
first_label: test_rx <= x"aa";
process(input)
variable tmp : std_logic_vector(7 downto 0);
begin
second_label: tmp := input;
third_label: output <= tmp xor x"cc";
end process;
end architecture;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_string.vhd | 2 | 1324 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test string escaping in VHDL.
library ieee;
use ieee.std_logic_1164.all;
entity vhdl_string is
port (start : in std_logic);
end entity vhdl_string;
architecture test of vhdl_string is
begin
process (start)
variable test_str : string(1 to 4) := "VHDL";
begin
report "";
report """";
report "test";
report test_str;
report ("brackets test");
report ((("multiple brackets test")));
report """quotation "" marks "" test""";
end process;
end architecture test;
| gpl-2.0 |
jocover/hackrf | firmware/cpld/sgpio_if/top.vhd | 2 | 5614 | --
-- Copyright 2012 Jared Boone
-- Copyright 2013 Benjamin Vernoux
--
-- This file is part of HackRF.
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; see the file COPYING. If not, write to
-- the Free Software Foundation, Inc., 51 Franklin Street,
-- Boston, MA 02110-1301, USA.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity top is
Port(
HOST_DATA : inout std_logic_vector(7 downto 0);
HOST_CAPTURE : out std_logic;
HOST_SYNC_EN : in std_logic;
HOST_SYNC_CMD : out std_logic;
HOST_SYNC : in std_logic;
HOST_DISABLE : in std_logic;
HOST_DIRECTION : in std_logic;
HOST_Q_INVERT : in std_logic;
DA : in std_logic_vector(7 downto 0);
DD : out std_logic_vector(9 downto 0);
CODEC_CLK : in std_logic;
CODEC_X2_CLK : in std_logic
);
end top;
architecture Behavioral of top is
signal codec_clk_i : std_logic;
signal adc_data_i : std_logic_vector(7 downto 0);
signal dac_data_o : std_logic_vector(9 downto 0);
signal host_clk_i : std_logic;
type transfer_direction is (from_adc, to_dac);
signal transfer_direction_i : transfer_direction;
signal host_data_enable_i : std_logic;
signal host_data_capture_o : std_logic;
signal host_sync_enable : std_logic := '0';
signal host_sync_o : std_logic := '0';
signal host_sync_i : std_logic := '0';
signal host_sync_latched : std_logic := '0';
signal data_from_host_i : std_logic_vector(7 downto 0);
signal data_to_host_o : std_logic_vector(7 downto 0);
signal q_invert : std_logic;
signal rx_q_invert_mask : std_logic_vector(7 downto 0);
signal tx_q_invert_mask : std_logic_vector(7 downto 0);
begin
------------------------------------------------
-- Codec interface
adc_data_i <= DA(7 downto 0);
DD(9 downto 0) <= dac_data_o;
------------------------------------------------
-- Clocks
codec_clk_i <= CODEC_CLK;
BUFG_host : BUFG
port map (
O => host_clk_i,
I => CODEC_X2_CLK
);
------------------------------------------------
-- SGPIO interface
HOST_DATA <= data_to_host_o when transfer_direction_i = from_adc
else (others => 'Z');
data_from_host_i <= HOST_DATA;
HOST_CAPTURE <= host_data_capture_o;
host_sync_enable <= HOST_SYNC_EN;
host_sync_i <= HOST_SYNC;
HOST_SYNC_CMD <= host_sync_o;
host_data_enable_i <= not HOST_DISABLE;
transfer_direction_i <= to_dac when HOST_DIRECTION = '1'
else from_adc;
------------------------------------------------
q_invert <= HOST_Q_INVERT;
rx_q_invert_mask <= X"80" when q_invert = '1' else X"7f";
tx_q_invert_mask <= X"7F" when q_invert = '1' else X"80";
process(host_clk_i)
begin
if rising_edge(host_clk_i) then
if codec_clk_i = '1' then
-- I: non-inverted between MAX2837 and MAX5864
data_to_host_o <= adc_data_i xor X"80";
else
-- Q: inverted between MAX2837 and MAX5864
data_to_host_o <= adc_data_i xor rx_q_invert_mask;
end if;
end if;
end process;
process(host_clk_i)
begin
if rising_edge(host_clk_i) then
if transfer_direction_i = to_dac then
if codec_clk_i = '1' then
dac_data_o <= (data_from_host_i xor tx_q_invert_mask) & tx_q_invert_mask(0) & tx_q_invert_mask(0);
else
dac_data_o <= (data_from_host_i xor X"80") & "00";
end if;
else
dac_data_o <= (dac_data_o'high => '0', others => '1');
end if;
end if;
end process;
process (host_data_enable_i, host_sync_i)
begin
host_sync_o <= host_data_enable_i;
if host_data_enable_i = '1' then
if rising_edge(host_sync_i) then
host_sync_latched <= host_sync_i;
end if;
else
host_sync_latched <= '0';
end if;
end process;
process(host_clk_i)
begin
if rising_edge(host_clk_i) then
if transfer_direction_i = to_dac then
if codec_clk_i = '1' then
host_data_capture_o <= host_data_enable_i and (host_sync_latched or not host_sync_enable);
end if;
else
if codec_clk_i = '0' then
host_data_capture_o <= host_data_enable_i and (host_sync_latched or not host_sync_enable);
end if;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
S0obi/SY23 | programmable_clock_divider/programmable_clock_divider.vhdl | 1 | 1095 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity programmable_clock_divider is
GENERIC (
Nbits: integer := 8;
Nmax: integer := 128
);
Port ( clk : in STD_LOGIC;
clkdiv: in STD_LOGIC_VECTOR(Nbits-1 downto 0);
reset: in STD_LOGIC;
clk_out : out STD_LOGIC);
end programmable_clock_divider;
architecture behavior of programmable_clock_divider is
signal cpt : STD_LOGIC_VECTOR (Nbits-1 downto 0);
signal tmp: STD_LOGIC;
begin
clk_out <= tmp;
count: process(reset, clk)
begin
if reset = '1' then
tmp <= '0';
cpt <= (others => '0');
elsif rising_edge(clk) then
if cpt = clkdiv then
tmp <= NOT(tmp);
cpt <= (others => '0');
else
cpt <= cpt + 1;
end if;
end if;
end process count;
--remainder: process(cpt,clkdiv)
--begin
-- if cpt = clkdiv then
-- clk_out <= '1';
-- cpt <= (others => '0');
-- else
-- clk_out <= '0';
-- end if;
--end process remainder;
end behavior;
| gpl-2.0 |
daniw/ecs | vhdl/sw12/mcu1/bus.vhd | 1 | 4205 | -------------------------------------------------------------------------------
-- Entity: ram
-- Author: Waj
-- Date : 12-May-14
-------------------------------------------------------------------------------
-- Description:
-- Data/address/control bus for simple von-Neumann MCU.
-- The bus master (CPU) can read/write in every cycle. The bus slaves are
-- assumed to have registerd read data output with an address-in to data-out
-- latency of 1 cc. The read data muxing from bus slaves to the bus master is
-- done combinationally. Thus, at the bus master interface, there results a
-- read data latency of 1 cc.
-------------------------------------------------------------------------------
-- Note on code portability:
-------------------------------------------------------------------------------
-- The address decoding logic as implemented in process P_dec below, shows how
-- to write portable code by means of a user-defined enumaration type which is
-- used as the index range for a constant array, see mcu_pkg. This allows to
-- leave the local code (in process P_dec) unchanged when the number and/or
-- base addresses of the bus slaves in the system change. Such changes then
-- need only to be made in the global definition package.
-- To generate such portable code for the rest of the functionality (e.g. for
-- the read data mux) would require to organize all data input vectors in a
-- signal array first. This would destroy the portability of the code, since it
-- requires manual code adaption when design parameter change.
-------------------------------------------------------------------------------
-- Total # of FFs: 2
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mcu_pkg.all;
entity buss is
port(rst : in std_logic;
clk : in std_logic;
-- CPU bus signals
cpu_in : in t_cpu2bus;
cpu_out : out t_bus2cpu;
-- ROM bus signals
rom_in : in t_ros2bus;
rom_out : out t_bus2ros;
-- RAM bus signals
ram_in : in t_rws2bus;
ram_out : out t_bus2rws;
-- GPIO bus signals
gpio_in : in t_rws2bus;
gpio_out : out t_bus2rws;
-- LCD bus signals
lcd_in : in t_rws2bus;
lcd_out : out t_bus2rws
);
end buss;
architecture rtl of buss is
signal slave : std_logic_vector(AWH - 1 downto 0);
signal slave_reg : std_logic_vector(AWH - 1 downto 0);
begin
-----------------------------------------------------------------------------
-- address decoding
-----------------------------------------------------------------------------
rom_out.addr <= cpu_in.addr(AWL-1 downto 0);
ram_out.addr <= cpu_in.addr(AWL-1 downto 0);
gpio_out.addr <= cpu_in.addr(AWL-1 downto 0);
lcd_out.addr <= cpu_in.addr(AWL-1 downto 0);
slave <= cpu_in.addr(AW-1 downto AWL);
------------------------------------------------------------------------------
-- write transfer logic
-----------------------------------------------------------------------------
ram_out.data <= cpu_in.data;
gpio_out.data <= cpu_in.data;
lcd_out.data <= cpu_in.data;
ram_out.we <= cpu_in.r_w when slave_reg = "01" else '0';
gpio_out.we <= cpu_in.r_w when slave_reg = "10" else '0';
lcd_out.we <= cpu_in.r_w when slave_reg = "11" else '0';
-----------------------------------------------------------------------------
-- read transfer logic
-----------------------------------------------------------------------------
with slave_reg select
cpu_out.data <=
rom_in.data when "00",
ram_in.data when "01",
gpio_in.data when "10",
lcd_in.data when "11",
(others => '0') when others;
-----------------------------------------------------------------------------
-- FF to buffer slave
-----------------------------------------------------------------------------
P_slave_reg: process(rst, clk) begin
if (rst = '1') then
slave_reg <= "00";
elsif (rising_edge(clk)) then
slave_reg <= slave;
end if;
end process;
end rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_rtoi.vhd | 3 | 1181 | -- Copyright (c) 2014 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test real to integer conversion
library ieee;
use ieee.numeric_std.all;
entity vhdl_rtoi is
end;
architecture test of vhdl_rtoi is
signal a, b, c, d : integer;
begin
-- test rounding
a <= integer(2.3); -- should be 2
b <= integer(3.7); -- should be 4
c <= integer(4.5); -- should be 5
d <= integer(8.1 * 2.1); -- ==17.01, should be 17
end test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_range_pkg.vhd | 3 | 1087 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package vhdl_range_pkg is
subtype integer_asc is integer range 0 to 7;
subtype integer_desc is integer range 8 downto 1;
end vhdl_range_pkg;
package body vhdl_range_pkg is
end vhdl_range_pkg;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_smul23_bit.vhd | 4 | 275 | library ieee;
use ieee.numeric_bit.all;
entity smul23 is
port (
a_i : in signed (22 downto 0);
b_i : in signed (22 downto 0);
c_o : out signed (45 downto 0)
);
end entity smul23;
architecture rtl of smul23 is
begin
c_o <= a_i * b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_nand23_bit.vhd | 4 | 290 | library ieee;
use ieee.numeric_bit.all;
entity nand23 is
port (
a_i : in bit_vector (22 downto 0);
b_i : in bit_vector (22 downto 0);
c_o : out bit_vector (22 downto 0)
);
end entity nand23;
architecture rtl of nand23 is
begin
c_o <= a_i nand b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_notg_bit.vhd | 4 | 249 | library IEEE;
use IEEE.numeric_bit.all;
entity not_gate is
port (
a_i : in bit; -- inputs
c_o : out bit -- output
);
end entity not_gate;
architecture rtl of not_gate is
begin
c_o <= not a_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_time_pkg.vhd | 3 | 1087 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for time related expressions.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package time_pkg is
constant time_const : time := 200 ns;
subtype time_subtype is time range 0 fs to 1 ms;
end time_pkg;
package body time_pkg is
end time_pkg;
| gpl-2.0 |
steveicarus/ivtest | ivltests/br943_944.vhd | 3 | 532 | library ieee;
use ieee.std_logic_1164.all;
entity e is
port (
clk : in std_logic;
rst : in std_logic;
q : out std_logic);
end e;
architecture a of e is
type t is (one, zero);
signal r : t;
begin
q <= '1' when r = one else '0';
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
r <= zero;
else
case r is
when zero => r <= one;
when others => r <= zero;
end case;
end if;
end if;
end process;
end a;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_div/fpga_sim/xpsLibraryPath_asic/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_binomial_gen.vhd | 17 | 2919 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_binomial_gen is
generic (
width : integer := 32);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end faultify_binomial_gen;
architecture behav of faultify_binomial_gen is
signal prob_srl : std_logic_vector(width-1 downto 0);
signal prsn_srl : std_logic_vector(63 downto 0);
signal prsn_srl_in : std_logic;
signal prob_srl_in : std_logic;
type TapPointArray is array (3 downto 0) of integer;
constant Tap : TapPointArray := (63, 62, 60, 59);
signal par_fdbk : std_logic;
signal cnt : integer range 0 to width;
signal prsn_out, prob_out, done : std_logic;
begin -- behav
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
--prob_srl <= (others => '0');
--prsn_srl <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
if shift_en = '1' then
prob_srl <= prob_srl_in & prob_srl(prob_srl'high downto 1);
prsn_srl <= prsn_srl(prsn_srl'high-1 downto 0) & prsn_srl_in;
end if;
end if;
end process;
prsn_srl_in <= seed_in when seed_in_en = '1' else par_fdbk;
prob_srl_in <= prob_in when prob_in_en = '1' else prob_srl(prob_srl'low);
par_fdbk <= prsn_srl(Tap(0)) xor prsn_srl(Tap(1)) xor prsn_srl(Tap(2)) xor prsn_srl(Tap(3));
prob_out <= prob_srl(prob_srl'low);
prsn_out <= prsn_srl(prsn_srl'high);
prob_out_c <= prob_out;
seed_out_c <= prsn_out;
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
cnt <= 0;
data_out <= '0';
elsif clk'event and clk = '1' then -- rising clock edge
if shift_en = '1' then
cnt <= cnt + 1;
if cnt < width and done = '0' then
if (prsn_out = '0') and (prob_out = '1') then
data_out <= '1';
done <= '1';
--data_out_valid <= '1';
elsif prsn_out = '1' and prob_out = '0' then
data_out <= '0';
done <= '1';
--data_out_valid <= '1';
else
done <= '0';
--data_out <= '0';
--data_out_valid <= '0';
end if;
end if;
if cnt = width -1 then
done <= '0';
cnt <= 0;
--data_out_valid <= '0';
end if;
--if done = '1' then
--data_out_valid <= '0';
--end if;
end if;
end if;
end process;
end;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/DCT4/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_binomial_gen.vhd | 17 | 2919 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_binomial_gen is
generic (
width : integer := 32);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end faultify_binomial_gen;
architecture behav of faultify_binomial_gen is
signal prob_srl : std_logic_vector(width-1 downto 0);
signal prsn_srl : std_logic_vector(63 downto 0);
signal prsn_srl_in : std_logic;
signal prob_srl_in : std_logic;
type TapPointArray is array (3 downto 0) of integer;
constant Tap : TapPointArray := (63, 62, 60, 59);
signal par_fdbk : std_logic;
signal cnt : integer range 0 to width;
signal prsn_out, prob_out, done : std_logic;
begin -- behav
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
--prob_srl <= (others => '0');
--prsn_srl <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
if shift_en = '1' then
prob_srl <= prob_srl_in & prob_srl(prob_srl'high downto 1);
prsn_srl <= prsn_srl(prsn_srl'high-1 downto 0) & prsn_srl_in;
end if;
end if;
end process;
prsn_srl_in <= seed_in when seed_in_en = '1' else par_fdbk;
prob_srl_in <= prob_in when prob_in_en = '1' else prob_srl(prob_srl'low);
par_fdbk <= prsn_srl(Tap(0)) xor prsn_srl(Tap(1)) xor prsn_srl(Tap(2)) xor prsn_srl(Tap(3));
prob_out <= prob_srl(prob_srl'low);
prsn_out <= prsn_srl(prsn_srl'high);
prob_out_c <= prob_out;
seed_out_c <= prsn_out;
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
cnt <= 0;
data_out <= '0';
elsif clk'event and clk = '1' then -- rising clock edge
if shift_en = '1' then
cnt <= cnt + 1;
if cnt < width and done = '0' then
if (prsn_out = '0') and (prob_out = '1') then
data_out <= '1';
done <= '1';
--data_out_valid <= '1';
elsif prsn_out = '1' and prob_out = '0' then
data_out <= '0';
done <= '1';
--data_out_valid <= '1';
else
done <= '0';
--data_out <= '0';
--data_out_valid <= '0';
end if;
end if;
if cnt = width -1 then
done <= '0';
cnt <= 0;
--data_out_valid <= '0';
end if;
--if done = '1' then
--data_out_valid <= '0';
--end if;
end if;
end if;
end process;
end;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/viterbi/fpga_sim/xpsLibraryPath_viterbi/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_mul/fpga_sim/xpsLibraryPath_asic/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/viterbi/fpga_sim/xpsLibraryPath_viterbi_200_399/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/QR/fpga_sim/xpsLibraryPath_asic_0_199/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr_pkg.vhd | 17 | 18262 | ----------------------------------------------------------------------------
---- Create Date: 14:30:08 07/28/2010 ----
---- Design Name: lfsr_pkg ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- This is the package file used in the lfsr_randgen project.The ----
---- package contain the function for XORing bits from various tap ----
---- locations depending on the generic parameter(width of lfsr ) ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package lfsr_pkg is
function xor_gates( random : std_logic_vector) return std_logic;
end lfsr_pkg;
--Package body starts from here.
package body lfsr_pkg is
--function for XORing from tap values.
function xor_gates( random : std_logic_vector ) return std_logic is
variable xor_out : std_logic:='0';
variable rand : std_logic_vector(random'length-1 downto 0):=random;
begin
if(rand'length = 3) then --3
xor_out := rand(2) xor rand(1);
elsif(rand'length = 2) then --2
xor_out := rand(1) xor rand(0);
elsif(rand'length = 4) then --4
xor_out := rand(3) xor rand(2);
elsif(rand'length = 5) then --5
xor_out := rand(4) xor rand(2);
elsif(rand'length = 6) then --6
xor_out := rand(5) xor rand(4);
elsif(rand'length = 7) then --7
xor_out := rand(6) xor rand(5);
elsif(rand'length = 8) then --8
xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3);
elsif(rand'length = 9) then --9
xor_out := rand(8) xor rand(4);
elsif(rand'length = 10)then --10
xor_out := rand(9) xor rand(6);
elsif(rand'length =11) then --11
xor_out := rand(10) xor rand(8);
elsif(rand'length = 12) then --12
xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 13) then --13
xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0);
elsif(rand'length = 14) then --14
xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0);
elsif(rand'length = 15) then --15
xor_out := rand(14) xor rand(13);
elsif(rand'length = 16) then --16
xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3);
elsif(rand'length = 17) then --17
xor_out := rand(16) xor rand(13);
elsif(rand'length = 18) then --18
xor_out := rand(17) xor rand(10);
elsif(rand'length = 19) then --19
xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 20) then --20
xor_out := rand(19) xor rand(16);
elsif(rand'length = 21) then --21
xor_out := rand(20) xor rand(18);
elsif(rand'length = 22) then --22
xor_out := rand(21) xor rand(20);
elsif(rand'length = 23) then --23
xor_out := rand(22) xor rand(17);
elsif(rand'length = 24) then --24
xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16);
elsif(rand'length = 25) then --25
xor_out := rand(24) xor rand(21);
elsif(rand'length = 26) then --26
xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 27) then --27
xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0);
elsif(rand'length = 28) then --28
xor_out := rand(27) xor rand(24);
elsif(rand'length = 29) then --29
xor_out := rand(28) xor rand(26);
elsif(rand'length = 30) then --30
xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 31) then --31
xor_out := rand(30) xor rand(27);
elsif(rand'length = 32) then --32
xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0);
elsif(rand'length = 33) then --33
xor_out := rand(32) xor rand(19);
elsif(rand'length = 34) then --34
xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0);
elsif(rand'length = 35) then --35
xor_out := rand(34) xor rand(32);
elsif(rand'length = 36) then --36
xor_out := rand(35) xor rand(24);
elsif(rand'length = 37) then --37
xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0);
elsif(rand'length = 38) then --38
xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0);
elsif(rand'length = 39) then --39
xor_out := rand(38) xor rand(34);
elsif(rand'length = 40) then --40
xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18);
elsif(rand'length = 41) then --41
xor_out := rand(40) xor rand(37);
elsif(rand'length = 42) then --42
xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18);
elsif(rand'length = 43) then --43
xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36);
elsif(rand'length = 44) then --44
xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16);
elsif(rand'length = 45) then --45
xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40);
elsif(rand'length = 46) then --46
xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24);
elsif(rand'length = 47) then --47
xor_out := rand(46) xor rand(41);
elsif(rand'length = 48) then --48
xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19);
elsif(rand'length = 49) then --49
xor_out := rand(48) xor rand(39);
elsif(rand'length = 50) then --50
xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22);
elsif(rand'length = 51) then --51
xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34);
elsif(rand'length = 52) then --52
xor_out := rand(51) xor rand(48);
elsif(rand'length = 53) then --53
xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36);
elsif(rand'length = 54) then --54
xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16);
elsif(rand'length = 55) then --55
xor_out := rand(54) xor rand(30);
elsif(rand'length = 56) then --56
xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33);
elsif(rand'length = 57) then --57
xor_out := rand(56) xor rand(49);
elsif(rand'length = 58) then --58
xor_out := rand(57) xor rand(38);
elsif(rand'length = 59) then --59
xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36);
elsif(rand'length = 60) then --60
xor_out := rand(59) xor rand(58);
elsif(rand'length = 61) then --61
xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44);
elsif(rand'length = 62) then --62
xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4);
elsif(rand'length = 63) then --63
xor_out := rand(62) xor rand(61);
elsif(rand'length = 64) then --64
xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59);
elsif(rand'length = 65) then --65
xor_out := rand(64) xor rand(46);
elsif(rand'length = 66) then --66
xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55);
elsif(rand'length = 67) then --67
xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56);
elsif(rand'length = 68) then --68
xor_out := rand(67) xor rand(58);
elsif(rand'length = 69) then --69
xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39);
elsif(rand'length = 70) then --70
xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53);
elsif(rand'length = 71) then --71
xor_out := rand(70) xor rand(64);
elsif(rand'length = 72) then --72
xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18);
elsif(rand'length = 73) then --73
xor_out := rand(72) xor rand(47);
elsif(rand'length = 74) then --74
xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57);
elsif(rand'length = 75) then --75
xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63);
elsif(rand'length = 76) then --76
xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39);
elsif(rand'length = 77) then --77
xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45);
elsif(rand'length = 78) then --78
xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57);
elsif(rand'length = 79) then --79
xor_out := rand(78) xor rand(69);
elsif(rand'length = 80) then --80
xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41);
elsif(rand'length = 81) then --81
xor_out := rand(80) xor rand(76);
elsif(rand'length = 82) then --82
xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43);
elsif(rand'length = 83) then --83
xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36);
elsif(rand'length = 84) then --84
xor_out := rand(83) xor rand(70);
elsif(rand'length = 85) then --85
xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56);
elsif(rand'length = 86) then --86
xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72);
elsif(rand'length = 87) then --87
xor_out := rand(86) xor rand(73);
elsif(rand'length = 88) then --88
xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15);
elsif(rand'length = 89) then --89
xor_out := rand(88) xor rand(50);
elsif(rand'length = 90) then --90
xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70);
elsif(rand'length = 91) then --91
xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6);
elsif(rand'length = 92) then --92
xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78);
elsif(rand'length = 93) then --93
xor_out := rand(92) xor rand(90);
elsif(rand'length = 94) then --94
xor_out := rand(93) xor rand(72);
elsif(rand'length = 95) then --95
xor_out := rand(94) xor rand(83);
elsif(rand'length = 96) then --96
xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46);
elsif(rand'length = 97) then --97
xor_out := rand(96) xor rand(90);
elsif(rand'length = 98) then --98
xor_out := rand(97) xor rand(86);
elsif(rand'length = 99) then --99
xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51);
elsif(rand'length = 100) then --100
xor_out := rand(99) xor rand(62);
elsif(rand'length = 101) then --101
xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93);
elsif(rand'length = 102) then --102
xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34);
elsif(rand'length = 103) then --103
xor_out := rand(102) xor rand(93);
elsif(rand'length = 104) then --104
xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92);
elsif(rand'length = 105) then --105
xor_out := rand(104) xor rand(88);
elsif(rand'length = 106) then --106
xor_out := rand(105) xor rand(90);
elsif(rand'length = 107) then --107
xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41);
elsif(rand'length = 108) then --108
xor_out := rand(107) xor rand(76);
elsif(rand'length = 109) then --109
xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101);
elsif(rand'length = 110)then --110
xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96);
elsif(rand'length = 111) then --111
xor_out := rand(110) xor rand(100);
elsif(rand'length = 112) then --112
xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66);
elsif(rand'length = 113) then --113
xor_out := rand(112) xor rand(103);
elsif(rand'length = 114) then --114
xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31);
elsif(rand'length = 115) then --115
xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99);
elsif(rand'length = 116) then --116
xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44);
elsif(rand'length = 117) then --117
xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96);
elsif(rand'length = 118) then --118
xor_out := rand(117) xor rand(84);
elsif(rand'length = 119) then --119
xor_out := rand(118) xor rand(110);
elsif(rand'length = 120) then --120
xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1);
elsif(rand'length = 121) then --121
xor_out := rand(120) xor rand(102);
elsif(rand'length = 122) then --122
xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61);
elsif(rand'length = 123) then --123
xor_out := rand(122) xor rand(120);
elsif(rand'length = 124) then --124
xor_out := rand(123) xor rand(86);
elsif(rand'length = 125) then --125
xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16);
elsif(rand'length = 126) then --126
xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88);
elsif(rand'length = 127) then --127
xor_out := rand(126) xor rand(125);
elsif(rand'length = 128) then --128
xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98);
elsif(rand'length = 129) then --129
xor_out := rand(128) xor rand(123);
elsif(rand'length = 130) then --130
xor_out := rand(129) xor rand(126);
elsif(rand'length = 131) then --131
xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82);
elsif(rand'length = 132) then --132
xor_out := rand(131) xor rand(102);
elsif(rand'length = 133) then --133
xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80);
elsif(rand'length = 134) then --134
xor_out := rand(133) xor rand(76);
elsif(rand'length = 135) then --135
xor_out := rand(134) xor rand(123);
elsif(rand'length = 136) then --136
xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9);
elsif(rand'length = 137) then --137
xor_out := rand(136) xor rand(115);
elsif(rand'length = 138) then --138
xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129);
elsif(rand'length = 139) then --139
xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130);
elsif(rand'length = 140) then --140
xor_out := rand(139) xor rand(110);
elsif(rand'length = 141) then --141
xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108);
elsif(rand'length = 142) then --142
xor_out := rand(141) xor rand(120);
elsif(rand'length = 143) then --143
xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121);
elsif(rand'length = 144) then --144
xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73);
elsif(rand'length = 145) then --145
xor_out := rand(144) xor rand(92);
elsif(rand'length = 146) then --146
xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85);
elsif(rand'length = 147) then --147
xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108);
elsif(rand'length = 148) then --148
xor_out := rand(147) xor rand(120);
elsif(rand'length = 149) then --149
xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38);
elsif(rand'length = 150) then --150
xor_out := rand(149) xor rand(96);
elsif(rand'length = 151) then --151
xor_out := rand(150) xor rand(147);
elsif(rand'length = 152) then --152
xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85);
elsif(rand'length = 153) then --153
xor_out := rand(152) xor rand(151);
elsif(rand'length = 154) then --154
xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24);
elsif(rand'length = 155) then --155
xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122);
elsif(rand'length = 156) then --156
xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39);
elsif(rand'length = 157) then --157
xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129);
elsif(rand'length = 158) then --158
xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130);
elsif(rand'length = 159) then --159
xor_out := rand(158) xor rand(127);
elsif(rand'length = 160) then --160
xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140);
elsif(rand'length = 161) then --161
xor_out := rand(160) xor rand(142);
elsif(rand'length = 162) then --162
xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73);
elsif(rand'length = 163) then --163
xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102);
elsif(rand'length = 164) then --164
xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149);
elsif(rand'length = 165) then --165
xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133);
elsif(rand'length = 166) then --166
xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126);
elsif(rand'length = 167) then --167
xor_out := rand(166) xor rand(160);
elsif(rand'length = 168) then --168
xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150);
end if;
return xor_out;
end xor_gates;
--END function for XORing using tap values.
end lfsr_pkg;
--End of the package.
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_div/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr_pkg.vhd | 17 | 18262 | ----------------------------------------------------------------------------
---- Create Date: 14:30:08 07/28/2010 ----
---- Design Name: lfsr_pkg ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- This is the package file used in the lfsr_randgen project.The ----
---- package contain the function for XORing bits from various tap ----
---- locations depending on the generic parameter(width of lfsr ) ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package lfsr_pkg is
function xor_gates( random : std_logic_vector) return std_logic;
end lfsr_pkg;
--Package body starts from here.
package body lfsr_pkg is
--function for XORing from tap values.
function xor_gates( random : std_logic_vector ) return std_logic is
variable xor_out : std_logic:='0';
variable rand : std_logic_vector(random'length-1 downto 0):=random;
begin
if(rand'length = 3) then --3
xor_out := rand(2) xor rand(1);
elsif(rand'length = 2) then --2
xor_out := rand(1) xor rand(0);
elsif(rand'length = 4) then --4
xor_out := rand(3) xor rand(2);
elsif(rand'length = 5) then --5
xor_out := rand(4) xor rand(2);
elsif(rand'length = 6) then --6
xor_out := rand(5) xor rand(4);
elsif(rand'length = 7) then --7
xor_out := rand(6) xor rand(5);
elsif(rand'length = 8) then --8
xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3);
elsif(rand'length = 9) then --9
xor_out := rand(8) xor rand(4);
elsif(rand'length = 10)then --10
xor_out := rand(9) xor rand(6);
elsif(rand'length =11) then --11
xor_out := rand(10) xor rand(8);
elsif(rand'length = 12) then --12
xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 13) then --13
xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0);
elsif(rand'length = 14) then --14
xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0);
elsif(rand'length = 15) then --15
xor_out := rand(14) xor rand(13);
elsif(rand'length = 16) then --16
xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3);
elsif(rand'length = 17) then --17
xor_out := rand(16) xor rand(13);
elsif(rand'length = 18) then --18
xor_out := rand(17) xor rand(10);
elsif(rand'length = 19) then --19
xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 20) then --20
xor_out := rand(19) xor rand(16);
elsif(rand'length = 21) then --21
xor_out := rand(20) xor rand(18);
elsif(rand'length = 22) then --22
xor_out := rand(21) xor rand(20);
elsif(rand'length = 23) then --23
xor_out := rand(22) xor rand(17);
elsif(rand'length = 24) then --24
xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16);
elsif(rand'length = 25) then --25
xor_out := rand(24) xor rand(21);
elsif(rand'length = 26) then --26
xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 27) then --27
xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0);
elsif(rand'length = 28) then --28
xor_out := rand(27) xor rand(24);
elsif(rand'length = 29) then --29
xor_out := rand(28) xor rand(26);
elsif(rand'length = 30) then --30
xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 31) then --31
xor_out := rand(30) xor rand(27);
elsif(rand'length = 32) then --32
xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0);
elsif(rand'length = 33) then --33
xor_out := rand(32) xor rand(19);
elsif(rand'length = 34) then --34
xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0);
elsif(rand'length = 35) then --35
xor_out := rand(34) xor rand(32);
elsif(rand'length = 36) then --36
xor_out := rand(35) xor rand(24);
elsif(rand'length = 37) then --37
xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0);
elsif(rand'length = 38) then --38
xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0);
elsif(rand'length = 39) then --39
xor_out := rand(38) xor rand(34);
elsif(rand'length = 40) then --40
xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18);
elsif(rand'length = 41) then --41
xor_out := rand(40) xor rand(37);
elsif(rand'length = 42) then --42
xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18);
elsif(rand'length = 43) then --43
xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36);
elsif(rand'length = 44) then --44
xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16);
elsif(rand'length = 45) then --45
xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40);
elsif(rand'length = 46) then --46
xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24);
elsif(rand'length = 47) then --47
xor_out := rand(46) xor rand(41);
elsif(rand'length = 48) then --48
xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19);
elsif(rand'length = 49) then --49
xor_out := rand(48) xor rand(39);
elsif(rand'length = 50) then --50
xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22);
elsif(rand'length = 51) then --51
xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34);
elsif(rand'length = 52) then --52
xor_out := rand(51) xor rand(48);
elsif(rand'length = 53) then --53
xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36);
elsif(rand'length = 54) then --54
xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16);
elsif(rand'length = 55) then --55
xor_out := rand(54) xor rand(30);
elsif(rand'length = 56) then --56
xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33);
elsif(rand'length = 57) then --57
xor_out := rand(56) xor rand(49);
elsif(rand'length = 58) then --58
xor_out := rand(57) xor rand(38);
elsif(rand'length = 59) then --59
xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36);
elsif(rand'length = 60) then --60
xor_out := rand(59) xor rand(58);
elsif(rand'length = 61) then --61
xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44);
elsif(rand'length = 62) then --62
xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4);
elsif(rand'length = 63) then --63
xor_out := rand(62) xor rand(61);
elsif(rand'length = 64) then --64
xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59);
elsif(rand'length = 65) then --65
xor_out := rand(64) xor rand(46);
elsif(rand'length = 66) then --66
xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55);
elsif(rand'length = 67) then --67
xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56);
elsif(rand'length = 68) then --68
xor_out := rand(67) xor rand(58);
elsif(rand'length = 69) then --69
xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39);
elsif(rand'length = 70) then --70
xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53);
elsif(rand'length = 71) then --71
xor_out := rand(70) xor rand(64);
elsif(rand'length = 72) then --72
xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18);
elsif(rand'length = 73) then --73
xor_out := rand(72) xor rand(47);
elsif(rand'length = 74) then --74
xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57);
elsif(rand'length = 75) then --75
xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63);
elsif(rand'length = 76) then --76
xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39);
elsif(rand'length = 77) then --77
xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45);
elsif(rand'length = 78) then --78
xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57);
elsif(rand'length = 79) then --79
xor_out := rand(78) xor rand(69);
elsif(rand'length = 80) then --80
xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41);
elsif(rand'length = 81) then --81
xor_out := rand(80) xor rand(76);
elsif(rand'length = 82) then --82
xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43);
elsif(rand'length = 83) then --83
xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36);
elsif(rand'length = 84) then --84
xor_out := rand(83) xor rand(70);
elsif(rand'length = 85) then --85
xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56);
elsif(rand'length = 86) then --86
xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72);
elsif(rand'length = 87) then --87
xor_out := rand(86) xor rand(73);
elsif(rand'length = 88) then --88
xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15);
elsif(rand'length = 89) then --89
xor_out := rand(88) xor rand(50);
elsif(rand'length = 90) then --90
xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70);
elsif(rand'length = 91) then --91
xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6);
elsif(rand'length = 92) then --92
xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78);
elsif(rand'length = 93) then --93
xor_out := rand(92) xor rand(90);
elsif(rand'length = 94) then --94
xor_out := rand(93) xor rand(72);
elsif(rand'length = 95) then --95
xor_out := rand(94) xor rand(83);
elsif(rand'length = 96) then --96
xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46);
elsif(rand'length = 97) then --97
xor_out := rand(96) xor rand(90);
elsif(rand'length = 98) then --98
xor_out := rand(97) xor rand(86);
elsif(rand'length = 99) then --99
xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51);
elsif(rand'length = 100) then --100
xor_out := rand(99) xor rand(62);
elsif(rand'length = 101) then --101
xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93);
elsif(rand'length = 102) then --102
xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34);
elsif(rand'length = 103) then --103
xor_out := rand(102) xor rand(93);
elsif(rand'length = 104) then --104
xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92);
elsif(rand'length = 105) then --105
xor_out := rand(104) xor rand(88);
elsif(rand'length = 106) then --106
xor_out := rand(105) xor rand(90);
elsif(rand'length = 107) then --107
xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41);
elsif(rand'length = 108) then --108
xor_out := rand(107) xor rand(76);
elsif(rand'length = 109) then --109
xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101);
elsif(rand'length = 110)then --110
xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96);
elsif(rand'length = 111) then --111
xor_out := rand(110) xor rand(100);
elsif(rand'length = 112) then --112
xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66);
elsif(rand'length = 113) then --113
xor_out := rand(112) xor rand(103);
elsif(rand'length = 114) then --114
xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31);
elsif(rand'length = 115) then --115
xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99);
elsif(rand'length = 116) then --116
xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44);
elsif(rand'length = 117) then --117
xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96);
elsif(rand'length = 118) then --118
xor_out := rand(117) xor rand(84);
elsif(rand'length = 119) then --119
xor_out := rand(118) xor rand(110);
elsif(rand'length = 120) then --120
xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1);
elsif(rand'length = 121) then --121
xor_out := rand(120) xor rand(102);
elsif(rand'length = 122) then --122
xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61);
elsif(rand'length = 123) then --123
xor_out := rand(122) xor rand(120);
elsif(rand'length = 124) then --124
xor_out := rand(123) xor rand(86);
elsif(rand'length = 125) then --125
xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16);
elsif(rand'length = 126) then --126
xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88);
elsif(rand'length = 127) then --127
xor_out := rand(126) xor rand(125);
elsif(rand'length = 128) then --128
xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98);
elsif(rand'length = 129) then --129
xor_out := rand(128) xor rand(123);
elsif(rand'length = 130) then --130
xor_out := rand(129) xor rand(126);
elsif(rand'length = 131) then --131
xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82);
elsif(rand'length = 132) then --132
xor_out := rand(131) xor rand(102);
elsif(rand'length = 133) then --133
xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80);
elsif(rand'length = 134) then --134
xor_out := rand(133) xor rand(76);
elsif(rand'length = 135) then --135
xor_out := rand(134) xor rand(123);
elsif(rand'length = 136) then --136
xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9);
elsif(rand'length = 137) then --137
xor_out := rand(136) xor rand(115);
elsif(rand'length = 138) then --138
xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129);
elsif(rand'length = 139) then --139
xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130);
elsif(rand'length = 140) then --140
xor_out := rand(139) xor rand(110);
elsif(rand'length = 141) then --141
xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108);
elsif(rand'length = 142) then --142
xor_out := rand(141) xor rand(120);
elsif(rand'length = 143) then --143
xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121);
elsif(rand'length = 144) then --144
xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73);
elsif(rand'length = 145) then --145
xor_out := rand(144) xor rand(92);
elsif(rand'length = 146) then --146
xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85);
elsif(rand'length = 147) then --147
xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108);
elsif(rand'length = 148) then --148
xor_out := rand(147) xor rand(120);
elsif(rand'length = 149) then --149
xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38);
elsif(rand'length = 150) then --150
xor_out := rand(149) xor rand(96);
elsif(rand'length = 151) then --151
xor_out := rand(150) xor rand(147);
elsif(rand'length = 152) then --152
xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85);
elsif(rand'length = 153) then --153
xor_out := rand(152) xor rand(151);
elsif(rand'length = 154) then --154
xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24);
elsif(rand'length = 155) then --155
xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122);
elsif(rand'length = 156) then --156
xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39);
elsif(rand'length = 157) then --157
xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129);
elsif(rand'length = 158) then --158
xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130);
elsif(rand'length = 159) then --159
xor_out := rand(158) xor rand(127);
elsif(rand'length = 160) then --160
xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140);
elsif(rand'length = 161) then --161
xor_out := rand(160) xor rand(142);
elsif(rand'length = 162) then --162
xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73);
elsif(rand'length = 163) then --163
xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102);
elsif(rand'length = 164) then --164
xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149);
elsif(rand'length = 165) then --165
xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133);
elsif(rand'length = 166) then --166
xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126);
elsif(rand'length = 167) then --167
xor_out := rand(166) xor rand(160);
elsif(rand'length = 168) then --168
xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150);
end if;
return xor_out;
end xor_gates;
--END function for XORing using tap values.
end lfsr_pkg;
--End of the package.
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/QR/fpga_sim/xpsLibraryPath_asic_400_599/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/viterbi_stimuli.vhd | 3 | 2753 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.qr_pack.all;
entity viterbi_stimuli is
port (
clk : in std_logic;
rst_n : in std_logic;
s_axis_input_tvalid : out std_logic;
s_axis_input_tdata : out std_logic_vector(31 downto 0);
s_axis_input_tlast : out std_logic;
s_axis_input_tready : in std_logic;
m_axis_output_tvalid : in std_logic;
m_axis_output_tdata : in std_logic;
m_axis_output_tlast : in std_logic;
m_axis_output_tready : out std_logic;
s_axis_ctrl_tvalid : out std_logic;
s_axis_ctrl_tdata : out std_logic_vector(31 downto 0);
s_axis_ctrl_tlast : out std_logic;
s_axis_ctrl_tready : in std_logic
);
end viterbi_stimuli;
architecture behav of viterbi_stimuli is
type fsm_type is (IDLE, START_STATE, );
signal state : fsm_type := IDLE;
signal rand_out_1, rand_out_2 : std_logic_vector(32-1 downto 0);
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
begin -- behav
lfsr_1 : lfsr
generic map (
width => 32,
seed => 242)
port map (
clk => clk,
rand_out => rand_out_1);
lfsr_2 : lfsr
generic map (
width => 32,
seed => 324)
port map (
clk => clk,
rand_out => rand_out_2);
in_A_r <= rand_out_1(3 downto 0);
in_A_i <= rand_out_2(3 downto 0);
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
state <= IDLE;
m_axis_ctrl_tlast <= '0';
m_axis_ctrl_tvalid <= '0';
m_axis_ctrl_tdata <= (others => '0');
m_axis_input_tlast <= '0';
m_axis_input_tvalid <= '0';
m_axis_input_tdata <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
case state is
when IDLE =>
if ready = '1' then
state <= START_STATE;
end if;
when START_STATE =>
start <= '1';
state <= D0;
when D0 =>
start <= '0';
state <= D1;
when D1 =>
state <= D2;
when D2 =>
state <= D3;
when D3 =>
state <= WAIT_FOR_VALID;
when WAIT_FOR_VALID =>
if ready = '1' then
state <= REQUEST_OUTPUT;
end if;
when REQUEST_OUTPUT =>
request_out <= '1';
state <= WAIT_FOR_READY;
when WAIT_FOR_READY =>
request_out <= '0';
if ready = '1' then
state <= START_STATE;
end if;
end case;
end if;
end process;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/QR/fpga_sim/xpsLibraryPath_asic_0_199/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/viterbi_stimuli.vhd | 3 | 2753 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.qr_pack.all;
entity viterbi_stimuli is
port (
clk : in std_logic;
rst_n : in std_logic;
s_axis_input_tvalid : out std_logic;
s_axis_input_tdata : out std_logic_vector(31 downto 0);
s_axis_input_tlast : out std_logic;
s_axis_input_tready : in std_logic;
m_axis_output_tvalid : in std_logic;
m_axis_output_tdata : in std_logic;
m_axis_output_tlast : in std_logic;
m_axis_output_tready : out std_logic;
s_axis_ctrl_tvalid : out std_logic;
s_axis_ctrl_tdata : out std_logic_vector(31 downto 0);
s_axis_ctrl_tlast : out std_logic;
s_axis_ctrl_tready : in std_logic
);
end viterbi_stimuli;
architecture behav of viterbi_stimuli is
type fsm_type is (IDLE, START_STATE, );
signal state : fsm_type := IDLE;
signal rand_out_1, rand_out_2 : std_logic_vector(32-1 downto 0);
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
begin -- behav
lfsr_1 : lfsr
generic map (
width => 32,
seed => 242)
port map (
clk => clk,
rand_out => rand_out_1);
lfsr_2 : lfsr
generic map (
width => 32,
seed => 324)
port map (
clk => clk,
rand_out => rand_out_2);
in_A_r <= rand_out_1(3 downto 0);
in_A_i <= rand_out_2(3 downto 0);
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
state <= IDLE;
m_axis_ctrl_tlast <= '0';
m_axis_ctrl_tvalid <= '0';
m_axis_ctrl_tdata <= (others => '0');
m_axis_input_tlast <= '0';
m_axis_input_tvalid <= '0';
m_axis_input_tdata <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
case state is
when IDLE =>
if ready = '1' then
state <= START_STATE;
end if;
when START_STATE =>
start <= '1';
state <= D0;
when D0 =>
start <= '0';
state <= D1;
when D1 =>
state <= D2;
when D2 =>
state <= D3;
when D3 =>
state <= WAIT_FOR_VALID;
when WAIT_FOR_VALID =>
if ready = '1' then
state <= REQUEST_OUTPUT;
end if;
when REQUEST_OUTPUT =>
request_out <= '1';
state <= WAIT_FOR_READY;
when WAIT_FOR_READY =>
request_out <= '0';
if ready = '1' then
state <= START_STATE;
end if;
end case;
end if;
end process;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_mul/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/user_logic.vhd | 1 | 29243 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Fri May 16 15:25:24 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_REG -- Number of software accessible registers
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Resetn -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_NUM_REG : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
faultify_clk_fast : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Resetn : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
component faultify_top
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
aclk : in std_logic;
arst_n : in std_logic;
clk : in std_logic;
clk_x32 : in std_logic;
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0));
end component;
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal register_write_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal register_read_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal register_write_address : std_logic_vector(C_NUM_REG-1 downto 0);
signal register_read_address : std_logic_vector(C_NUM_REG-1 downto 0);
signal slv_reg_write_sel : std_logic_vector(31 downto 0);
signal slv_reg_read_sel : std_logic_vector(31 downto 0);
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
signal faultify_read_valid : std_logic;
signal faultify_read_address_valid : std_logic;
signal faultify_read_address : std_logic_vector(31 downto 0);
signal faultify_write_valid : std_logic;
signal counter, divide : integer := 0;
signal faultify_clk_slow_i : std_logic;
begin
slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0);
slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31);
slv_read_ack <= faultify_read_valid;
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process(Bus2IP_Clk) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Resetn = '0' then
register_write_data <= (others => '0');
register_write_address <= (others => '0');
faultify_write_valid <= '0';
else
faultify_write_valid <= slv_write_ack;
case slv_reg_write_sel is
when "10000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(0, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "01000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(1, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00100000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(2, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00010000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(3, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00001000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(4, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000100000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(5, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000010000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(6, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000001000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(7, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000100000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(8, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000010000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(9, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000001000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(10, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000100000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(11, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000010000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(12, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000001000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(13, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000100000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(14, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000010000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(15, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000001000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(16, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000100000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(17, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(18, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(19, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(20, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(21, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(22, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(23, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(24, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(25, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(26, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(27, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(28, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(29, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(30, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(31, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process(slv_reg_read_sel, faultify_read_valid) is
begin
faultify_read_address_valid <= '1';
case slv_reg_read_sel is
when "10000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(0, 32));
when "01000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(1, 32));
when "00100000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(2, 32));
when "00010000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(3, 32));
when "00001000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(4, 32));
when "00000100000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(5, 32));
when "00000010000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(6, 32));
when "00000001000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(7, 32));
when "00000000100000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(8, 32));
when "00000000010000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(9, 32));
when "00000000001000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(10, 32));
when "00000000000100000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(11, 32));
when "00000000000010000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(12, 32));
when "00000000000001000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(13, 32));
when "00000000000000100000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(14, 32));
when "00000000000000010000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(15, 32));
when "00000000000000001000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(16, 32));
when "00000000000000000100000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(17, 32));
when "00000000000000000010000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(18, 32));
when "00000000000000000001000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(19, 32));
when "00000000000000000000100000000000" => faultify_read_address <= std_logic_vector(to_unsigned(20, 32));
when "00000000000000000000010000000000" => faultify_read_address <= std_logic_vector(to_unsigned(21, 32));
when "00000000000000000000001000000000" => faultify_read_address <= std_logic_vector(to_unsigned(22, 32));
when "00000000000000000000000100000000" => faultify_read_address <= std_logic_vector(to_unsigned(23, 32));
when "00000000000000000000000010000000" => faultify_read_address <= std_logic_vector(to_unsigned(24, 32));
when "00000000000000000000000001000000" => faultify_read_address <= std_logic_vector(to_unsigned(25, 32));
when "00000000000000000000000000100000" => faultify_read_address <= std_logic_vector(to_unsigned(26, 32));
when "00000000000000000000000000010000" => faultify_read_address <= std_logic_vector(to_unsigned(27, 32));
when "00000000000000000000000000001000" => faultify_read_address <= std_logic_vector(to_unsigned(28, 32));
when "00000000000000000000000000000100" => faultify_read_address <= std_logic_vector(to_unsigned(29, 32));
when "00000000000000000000000000000010" => faultify_read_address <= std_logic_vector(to_unsigned(30, 32));
when "00000000000000000000000000000001" => faultify_read_address <= std_logic_vector(to_unsigned(31, 32));
when others => faultify_read_address <= (others => '0');
faultify_read_address_valid <= '0';
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= register_read_data when faultify_read_valid = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
-----------------------------------------------------------------------------
-- clock divider 32 -> 1
-----------------------------------------------------------------------------
divide <= 32;
process(Bus2IP_Clk, Bus2IP_Resetn)
begin
if Bus2IP_Resetn = '0' then
counter <= 0;
faultify_clk_slow_i <= '0';
elsif(rising_edge(Bus2IP_Clk)) then
if(counter < divide/2-1) then
counter <= counter + 1;
faultify_clk_slow_i <= '0';
elsif(counter < divide-1) then
counter <= counter + 1;
faultify_clk_slow_i <= '1';
else
faultify_clk_slow_i <= '0';
counter <= 0;
end if;
end if;
end process;
faultify_top_1 : faultify_top
generic map (
numInj => 142,
numIn => 70,
numOut => 41)
port map (
aclk => Bus2IP_Clk,
arst_n => Bus2IP_Resetn,
clk => faultify_clk_slow_i,
clk_x32 => Bus2IP_Clk,
awvalid => faultify_write_valid,
awaddr => register_write_address,
wvalid => faultify_write_valid,
wdata => register_write_data,
arvalid => faultify_read_address_valid,
araddr => faultify_read_address,
rvalid => faultify_read_valid,
rdata => register_read_data);
end IMP;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_div/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_top.vhd | 2 | 21012 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_top is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
aclk : in std_logic; -- interface clock
arst_n : in std_logic; -- interface reset
clk : in std_logic; -- simulation clock (slow)
clk_x32 : in std_logic; -- prng clock (fast)
-- Write channel
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
-- Read channel
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0)
);
attribute syn_hier : string;
attribute syn_hier of faultify_top : entity is "hard";
end faultify_top;
architecture behav of faultify_top is
component flag_cdc
port (
clkA : in std_logic;
clkB : in std_logic;
FlagIn_clkA : in std_logic;
FlagOut_clkB : out std_logic;
rst_n : in std_logic);
end component;
component faultify_simulator
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end component;
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0);
signal errorSum : vector;
signal errorSumReg : vector;
signal errorSumReg_cdc_0 : vector;
signal errorSumReg_cdc_1 : vector;
signal errorVec : std_logic_vector(numOut-1 downto 0);
signal cnt : integer;
signal cnt_cdc_0 : integer;
signal cnt_cdc_1 : integer;
-- Asymmetric ram larger than 36 bit not supported in synplify I-2013
--type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0);
--signal seed_ram : seed_ram_matr;
-- workaround 2 32-bit rams
type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal seed_ram_low : seed_ram_matr;
signal seed_ram_high : seed_ram_matr;
--subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0);
--type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t;
--signal seed_ram : seed_ram_matr_memory_t;
type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal prob_ram : prob_ram_matr;
type reg_type is record
control : std_logic_vector(31 downto 0);
status : std_logic_vector(31 downto 0);
pe_location : std_logic_vector(31 downto 0);
pe_seed_low : std_logic_vector(31 downto 0);
pe_seed_high : std_logic_vector(31 downto 0);
pe_probability : std_logic_vector(31 downto 0);
output : std_logic_vector(31 downto 0);
ovalid : std_logic;
simtime : std_logic_vector(31 downto 0);
sel_soe : std_logic_vector(31 downto 0);
adr_soe : std_logic_vector(31 downto 0);
awaddr : std_logic_vector(31 downto 0);
test : std_logic_vector(31 downto 0);
circreset : std_logic_vector(31 downto 0);
cnt_tmp : std_logic_vector(31 downto 0);
sumoferrors : vector;
end record;
signal busy_loading : std_logic;
signal busy_simulating : std_logic;
signal busy_loading_reg : std_logic_vector(1 downto 0);
signal busy_simulating_reg : std_logic_vector(1 downto 0);
signal sim_done : std_logic;
signal r : reg_type;
type load_fsm_states is (IDLE, LOADSEED, LOADPROB);
signal l_state : load_fsm_states;
type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION);
signal s_state : sim_states;
signal testvector : std_logic_vector(numIn-1 downto 0);
signal resultvector_o : std_logic_vector(numOut-1 downto 0);
signal resultvector_f : std_logic_vector(numOut-1 downto 0);
signal seed_in_en : std_logic;
signal seed_in : std_logic;
signal prob_in_en : std_logic;
signal prob_in : std_logic;
signal shift_en : std_logic;
signal shift_en_l : std_logic;
signal shift_en_s : std_logic;
signal load_seed_prob : std_logic;
signal start_simulation : std_logic;
signal start_free_simulation : std_logic;
signal stop_simulation : std_logic;
signal circ_ce, circ_rst, circ_rst_sim : std_logic;
signal tvec : std_logic_vector(127 downto 0);
signal test : std_logic_vector(31 downto 0);
signal rst_cdc, rst_cdc_n : std_logic;
type tb_state_defs is (IDLE, DATA, WAITING);
signal tb_state : tb_state_defs;
begin -- behav
-----------------------------------------------------------------------------
-- PRNG shifting
-----------------------------------------------------------------------------
shift_en <= shift_en_l or shift_en_s;
-----------------------------------------------------------------------------
-- Testvector
-----------------------------------------------------------------------------
--testvector <= (others => '0');
lfsr_1 : lfsr
generic map (
width => 128,
seed => 3498327)
port map (
clk => clk,
rand_out => tvec);
testvector(63 downto 0) <= tvec(63 downto 0);
testvector(66 downto 64) <= "011";
testvector(68 downto 67) <= "00";
--testvector(69) <= tvec(64);
process (clk, circ_rst_sim) is
begin -- process
if circ_rst_sim = '1' then -- asynchronous reset (active low)
testvector(69) <= '0';
tb_state <= IDLE;
elsif clk'event and clk = '1' then -- rising clock edge
case tb_state is
when IDLE =>
tb_state <= DATA;
testvector(69) <= '1';
when DATA =>
tb_state <= WAITING;
testvector(69) <= '0';
when WAITING =>
if resultvector_o(32) = '1' then
tb_state <= DATA;
testvector(69) <= '1';
end if;
end case;
end if;
end process;
-----------------------------------------------------------------------------
-- Simulator
-----------------------------------------------------------------------------
circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0';
faultify_simulator_1 : faultify_simulator
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
clk => clk_x32,
clk_m => clk,
circ_ce => circ_ce,
circ_rst => circ_rst,
test => test,
testvector => testvector,
resultvector_o => resultvector_o,
resultvector_f => resultvector_f,
seed_in_en => seed_in_en,
seed_in => seed_in,
prob_in_en => prob_in_en,
prob_in => prob_in,
shift_en => shift_en,
rst_n => arst_n);
-------------------------------------------------------------------------------
-- One Process Flow
-------------------------------------------------------------------------------
register_process : process (aclk, arst_n)
variable write_addr : std_logic_vector(31 downto 0);
begin -- process register_process
if arst_n = '0' then -- asynchronous reset (active low)
r.control <= (others => '0');
r.status <= (others => '0');
r.pe_probability <= (others => '0');
r.pe_seed_high <= (others => '0');
r.pe_seed_low <= (others => '0');
r.pe_location <= (others => '0');
r.ovalid <= '0';
r.simtime <= (others => '0');
r.sel_soe <= (others => '0');
r.adr_soe <= (others => '0');
r.sumoferrors <= (others => (others => '0'));
r.output <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
r.control <= (others => '0');
if awvalid = '1' then
r.awaddr <= awaddr;
write_addr := awaddr;
end if;
if wvalid = '1' then
if write_addr = x"00000000" then
r.control <= wdata;
elsif write_addr = x"00000001" then
r.pe_location <= wdata;
elsif write_addr = x"00000002" then
r.pe_seed_low <= wdata;
elsif write_addr = x"00000003" then
r.pe_seed_high <= wdata;
elsif write_addr = x"00000004" then
r.pe_probability <= wdata;
elsif write_addr = x"00000005" then
r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32));
r.adr_soe <= wdata;
elsif write_addr = x"00000007" then
r.simtime <= wdata;
elsif write_addr = x"00000009" then
r.circreset <= wdata;
end if;
end if;
if arvalid = '1' then
if araddr = x"0000000F" then
r.output <= r.status;
elsif araddr = x"00000001" then
r.output <= r.pe_location;
elsif araddr = x"00000002" then
r.output <= r.pe_seed_low;
elsif araddr = x"00000003" then
r.output <= r.pe_seed_high;
elsif araddr = x"00000004" then
r.output <= r.pe_probability;
elsif araddr = x"00000006" then
r.output <= r.sel_soe;
elsif araddr = x"00000008" then
r.output <= r.test;
elsif araddr = x"0000000A" then
r.output <= r.cnt_tmp;
end if;
r.ovalid <= '1';
else
r.ovalid <= '0';
end if;
if busy_loading_reg(1) = '1' then
r.status(0) <= '1';
else
r.status(0) <= '0';
end if;
if busy_simulating_reg(1) = '1' then
r.status(1) <= '1';
else
r.status(1) <= '0';
end if;
r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe)));
rdata <= r.output;
rvalid <= r.ovalid;
r.sumoferrors <= errorSumReg_cdc_1;
r.test <= errorSum(0);
end if;
end process register_process;
-----------------------------------------------------------------------------
-- simple clock domain crossing
-----------------------------------------------------------------------------
process (aclk, arst_n)
begin -- process
if arst_n = '0' then -- asynchronous reset (active low)
busy_simulating_reg <= (others => '0');
busy_loading_reg <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
busy_simulating_reg(0) <= busy_simulating;
busy_loading_reg(0) <= busy_loading;
busy_simulating_reg(1) <= busy_simulating_reg(0);
busy_loading_reg(1) <= busy_loading_reg(0);
cnt_cdc_0 <= cnt;
cnt_cdc_1 <= cnt_cdc_0;
errorSumReg_cdc_0 <= errorSumReg;
errorSumReg_cdc_1 <= errorSumReg_cdc_0;
end if;
end process;
-------------------------------------------------------------------------------
-- Store seeed/prob
-------------------------------------------------------------------------------
store_seed : process (aclk, arst_n)
begin -- process store_seed
if arst_n = '0' then -- asynchronous reset (active low)
elsif aclk'event and aclk = '1' then -- rising clock edge
if r.control(0) = '1' then
-- Synplify bug workaround
--seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low;
seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low;
seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high;
prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability;
end if;
end if;
end process store_seed;
-----------------------------------------------------------------------------
-- Seed/prob loading FSM
-----------------------------------------------------------------------------
--flag_cdc_1 : flag_cdc
-- port map (
-- clkA => aclk,
-- clkB => clk_x32,
-- FlagIn_clkA => r.control(1),
-- FlagOut_clkB => load_seed_prob,
-- rst_n => arst_n);
load_seed_prob <= r.control(1);
seed_prob_loading : process (clk_x32, arst_n)
variable cnt_seed : integer range 0 to 64;
variable cnt_inj : integer range 0 to numInj;
variable cnt_prob : integer range 0 to 32;
begin -- process seed_prob_loading
if arst_n = '0' then -- asynchronous reset (active low)
l_state <= IDLE;
seed_in <= '0';
seed_in_en <= '0';
prob_in <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
busy_loading <= '0';
elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge
case l_state is
when IDLE =>
cnt_seed := 0;
cnt_inj := 0;
cnt_prob := 0;
busy_loading <= '0';
seed_in_en <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
if load_seed_prob = '1' then
busy_loading <= '1';
l_state <= LOADSEED;
end if;
when LOADSEED =>
if cnt_seed < 64 then
shift_en_l <= '1';
seed_in_en <= '1';
-- not working in synplify I-2013
--seed_in <= seed_ram(cnt_inj)(cnt_seed);
--
if cnt_seed < 32 then
seed_in <= seed_ram_low(cnt_inj)(cnt_seed);
else
seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32);
end if;
cnt_seed := cnt_seed + 1;
end if;
if cnt_seed = 64 then
cnt_seed := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= LOADPROB;
--seed_in_en <= '0';
cnt_inj := 0;
end if;
when LOADPROB =>
seed_in_en <= '0';
if cnt_prob < 32 then
prob_in_en <= '1';
prob_in <= prob_ram(cnt_inj)(cnt_prob);
cnt_prob := cnt_prob + 1;
end if;
if cnt_prob = 32 then
cnt_prob := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= IDLE;
cnt_inj := 0;
--prob_in_en <= '0';
end if;
end case;
end if;
end process seed_prob_loading;
-----------------------------------------------------------------------------
-- Simulation FSM
-----------------------------------------------------------------------------
flag_cdc_2 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(2),
FlagOut_clkB => start_simulation,
rst_n => arst_n);
flag_cdc_3 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(3),
FlagOut_clkB => start_free_simulation,
rst_n => arst_n);
flag_cdc_4 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(4),
FlagOut_clkB => stop_simulation,
rst_n => arst_n);
rst_cdc_5 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => not arst_n,
FlagOut_clkB => rst_cdc,
rst_n => '1');
rst_cdc_n <= not rst_cdc;
process (clk, rst_cdc_n)
variable simtime : integer;
variable cnt_delay : integer range 0 to 9;
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if rst_cdc_n = '0' then -- asynchronous reset (active low)
s_state <= IDLE;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
busy_simulating <= '0';
sim_done <= '0';
errorSumReg <= (others => (others => '0'));
else
case s_state is
when IDLE =>
sim_done <= '0';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
errorVec <= (others => '0');
--errorSum <= errorSum;
errorSum <= (others => (others => '0'));
--cnt <= 0;
busy_simulating <= '0';
cnt_delay := 0;
if start_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
simtime := to_integer(unsigned(r.simtime));
s_state <= DELAY_Z;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
if start_free_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
s_state <= FREE_SIMULATION;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
when DELAY_z =>
cnt_delay := cnt_delay + 1;
if cnt_delay = 9 then
s_state <= DELAY;
end if;
when DELAY =>
s_state <= SIMULATION;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
when SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if cnt = simtime-1 then
s_state <= DELAY2;
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when DELAY2 =>
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
s_state <= DELAY3;
when DELAY3 =>
s_state <= DELAY4;
errorSumReg <= errorSum;
errorSum <= (others => (others => '0'));
when DELAY4 =>
s_state <= IDLE;
sim_done <= '1';
when FREE_SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if stop_simulation = '1' then
s_state <= IDLE;
sim_done <= '1';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when others =>
s_state <= IDLE;
end case;
end if;
end if;
end process;
end behav;
| gpl-2.0 |
b4n/geany | tests/ctags/test.vhd | 91 | 192381 | package body badger is
end package body;
package body badger2 is
end package body badger2;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity accumulator is port (
a: in std_logic_vector(3 downto 0);
clk, reset: in std_logic;
accum: out std_logic_vector(3 downto 0)
);
end accumulator;
architecture simple of accumulator is
signal accumL: unsigned(3 downto 0);
begin
accumulate: process (clk, reset) begin
if (reset = '1') then
accumL <= "0000";
elsif (clk'event and clk= '1') then
accumL <= accumL + to_unsigned(a);
end if;
end process;
accum <= std_logic_vector(accumL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity adder is port (
a,b : in std_logic_vector (15 downto 0);
sum: out std_logic_vector (15 downto 0)
);
end adder;
architecture dataflow of adder is
begin
sum <= a + b;
end dataflow;
library IEEE;
use IEEE.std_logic_1164.all;
entity pAdderAttr is
generic(n : integer := 8);
port (a : in std_logic_vector(n - 1 downto 0);
b : in std_logic_vector(n - 1 downto 0);
cin : in std_logic;
sum : out std_logic_vector(n - 1 downto 0);
cout : out std_logic);
end pAdderAttr;
architecture loopDemo of pAdderAttr is
begin
process(a, b, cin)
variable carry: std_logic_vector(sum'length downto 0);
variable localSum: std_logic_vector(sum'high downto 0);
begin
carry(0) := cin;
for i in sum'reverse_range loop
localSum(i) := (a(i) xor b(i)) xor carry(i);
carry(i + 1) := (a(i) and b(i)) or (carry(i) and (a(i) or b(i)));
end loop;
sum <= localSum;
cout <= carry(carry'high - 1);
end process;
end loopDemo;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adder is port (
a,b: in unsigned(3 downto 0);
sum: out unsigned(3 downto 0)
);
end adder;
architecture simple of adder is
begin
sum <= a + b;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity AND2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end AND2;
architecture rtl of AND2 is
begin
y <= '1' when i1 = '1' and i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity asyncLoad is port (
loadVal, d: in std_logic_vector(3 downto 0);
clk, load: in std_logic;
q: out std_logic_vector(3 downto 0)
);
end asyncLoad;
architecture rtl of asyncLoad is
begin
process (clk, load, loadVal) begin
if (load = '1') then
q <= loadVal;
elsif (clk'event and clk = '1' ) then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity BidirBuf is port (
OE: in std_logic;
input: in std_logic_vector;
output: out std_logic_vector
);
end BidirBuf;
architecture behavioral of BidirBuf is
begin
bidirBuf: process (OE, input) begin
if (OE = '1') then
output <= input;
else
output <= (others => 'Z');
end if;
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity BidirCnt is port (
OE: in std_logic;
CntEnable: in std_logic;
LdCnt: in std_logic;
Clk: in std_logic;
Rst: in std_logic;
Cnt: inout std_logic_vector(3 downto 0)
);
end BidirCnt;
architecture behavioral of BidirCnt is
component LoadCnt port (
CntEn: in std_logic;
LdCnt: in std_logic;
LdData: in std_logic_vector(3 downto 0);
Clk: in std_logic;
Rst: in std_logic;
CntVal: out std_logic_vector(3 downto 0)
);
end component;
component BidirBuf port (
OE: in std_logic;
input: in std_logic_vector;
output: inout std_logic_vector
);
end component;
signal CntVal: std_logic_vector(3 downto 0);
signal LoadVal: std_logic_vector(3 downto 0);
begin
u1: loadcnt port map (CntEn => CntEnable,
LdCnt => LdCnt,
LdData => LoadVal,
Clk => Clk,
Rst => Rst,
CntVal => CntVal
);
u2: bidirbuf port map (OE => oe,
input => CntVal,
output => Cnt
);
LoadVal <= Cnt;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity BIDIR is port (
ip: in std_logic;
oe: in std_logic;
op_fb: out std_logic;
op: inout std_logic
);
end BIDIR;
architecture rtl of BIDIR is
begin
op <= ip when oe = '1' else 'Z';
op_fb <= op;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity bidirbuffer is port (
input: in std_logic;
enable: in std_logic;
feedback: out std_logic;
output: inout std_logic
);
end bidirbuffer;
architecture structural of bidirbuffer is
begin
u1: bidir port map (ip => input,
oe => enable,
op_fb => feedback,
op => output
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity clkGen is port (
clk: in std_logic;
reset: in std_logic;
ClkDiv2, ClkDiv4,
ClkDiv6,ClkDiv8: out std_logic
);
end clkGen;
architecture behav of clkGen is
subtype numClks is std_logic_vector(1 to 4);
subtype numPatterns is integer range 0 to 11;
type clkTableType is array (numpatterns'low to numPatterns'high) of numClks;
constant clkTable: clkTableType := clkTableType'(
-- ClkDiv8______
-- ClkDiv6_____ |
-- ClkDiv4____ ||
-- ClkDiv2 __ |||
-- ||||
"1111",
"0111",
"1011",
"0001",
"1100",
"0100",
"1010",
"0010",
"1111",
"0001",
"1001",
"0101");
signal index: numPatterns;
begin
lookupTable: process (clk, reset) begin
if reset = '1' then
index <= 0;
elsif (clk'event and clk = '1') then
if index = numPatterns'high then
index <= numPatterns'low;
else
index <= index + 1;
end if;
end if;
end process;
(ClkDiv2,ClkDiv4,ClkDiv6,ClkDiv8) <= clkTable(index);
end behav;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
enable: in std_logic;
reset: in std_logic;
count: buffer unsigned(3 downto 0)
);
end counter;
architecture simple of counter is
begin
increment: process (clk, reset) begin
if reset = '1' then
count <= "0000";
elsif(clk'event and clk = '1') then
if enable = '1' then
count <= count + 1;
else
count <= count;
end if;
end if;
end process;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use work.scaleable.all;
entity count8 is port (
clk: in std_logic;
rst: in std_logic;
count: out std_logic_vector(7 downto 0)
);
end count8;
architecture structural of count8 is
begin
u1: scaleUpCnt port map (clk => clk,
reset => rst,
cnt => count
);
end structural;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(0 to 9)
);
end counter;
architecture simple of counter is
signal countL: unsigned(0 to 9);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= to_unsigned(3,10);
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(9 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(9 downto 0);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= to_unsigned(0,10);
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
load: in std_logic;
enable: in std_logic;
data: in std_logic_vector(3 downto 0);
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "0000";
elsif(clk'event and clk = '1') then
if (load = '1') then
countL <= to_unsigned(data);
elsif (enable = '1') then
countL <= countL + 1;
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
load: in std_logic;
data: in std_logic_vector(3 downto 0);
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "0000";
elsif(clk'event and clk = '1') then
if (load = '1') then
countL <= to_unsigned(data);
else
countL <= countL + 1;
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Cnt4Term is port (
clk: in std_logic;
Cnt: out std_logic_vector(3 downto 0);
TermCnt: out std_logic
);
end Cnt4Term;
architecture behavioral of Cnt4Term is
signal CntL: unsigned(3 downto 0);
begin
increment: process begin
wait until clk = '1';
CntL <= CntL + 1;
end process;
Cnt <= to_stdlogicvector(CntL);
TermCnt <= '1' when CntL = "1111" else '0';
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity Counter is port (
clock: in std_logic;
Count: out std_logic_vector(3 downto 0)
);
end Counter;
architecture structural of Counter is
component Cnt4Term port (
clk: in std_logic;
Cnt: out std_logic_vector(3 downto 0);
TermCnt: out std_logic);
end component;
begin
u1: Cnt4Term port map (clk => clock,
Cnt => Count,
TermCnt => open
);
end structural;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk) begin
if(clk'event and clk = '1') then
if (reset = '1') then
countL <= "0000";
else
countL <= countL + 1;
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity convertArith is port (
truncate: out unsigned(3 downto 0);
extend: out unsigned(15 downto 0);
direction: out unsigned(0 to 7)
);
end convertArith;
architecture simple of convertArith is
constant Const: unsigned(7 downto 0) := "00111010";
begin
truncate <= resize(Const, truncate'length);
extend <= resize(Const, extend'length);
direction <= resize(Const, direction'length);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture concurrent of FEWGATES is
constant THREE: std_logic_vector(1 downto 0) := "11";
begin
y <= '1' when (a & b = THREE) or (c & d /= THREE) else '0';
end concurrent;
-- incorporates Errata 12.1
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity typeConvert is port (
a: out unsigned(7 downto 0)
);
end typeConvert;
architecture simple of typeConvert is
constant Const: natural := 43;
begin
a <= To_unsigned(Const,8);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk) begin
if (clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(0 to 3)
);
end counter;
architecture simple of counter is
signal countL: unsigned(0 to 3);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= "1001";
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "0000";
elsif(clk'event and clk = '1') then
countL <= countL + "001";
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= "1001";
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "1001";
elsif(clk'event and clk = '1') then
countL <= countL + "0001";
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use work.decProcs.all;
entity decoder is port (
decIn: in std_logic_vector(1 downto 0);
decOut: out std_logic_vector(3 downto 0)
);
end decoder;
architecture simple of decoder is
begin
DEC2x4(decIn,decOut);
end simple;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
decOut_n: out std_logic_vector(5 downto 0)
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
alias sio_dec_n: std_logic is decOut_n(5);
alias rst_ctrl_rd_n: std_logic is decOut_n(4);
alias atc_stat_rd_n: std_logic is decOut_n(3);
alias mgmt_stat_rd_n: std_logic is decOut_n(2);
alias io_int_stat_rd_n: std_logic is decOut_n(1);
alias int_ctrl_rd_n: std_logic is decOut_n(0);
alias upper: std_logic_vector(2 downto 0) is dev_adr(19 downto 17);
alias CtrlBits: std_logic_vector(16 downto 0) is dev_adr(16 downto 0);
begin
decoder: process (upper, CtrlBits)
begin
-- Set defaults for outputs - for synthesis reasons.
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
case upper is
when SuperIoRange =>
sio_dec_n <= '0';
when CtrlRegRange =>
case CtrlBits is
when IntCtrlReg =>
int_ctrl_rd_n <= '0';
when IoIntStatReg =>
io_int_stat_rd_n <= '0';
when RstCtrlReg =>
rst_ctrl_rd_n <= '0';
when AtcStatusReg =>
atc_stat_rd_n <= '0';
when MgmtStatusReg =>
mgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
end process decoder;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
decoder: process (dev_adr)
begin
-- Set defaults for outputs
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
case dev_adr(19 downto 17) is
when SuperIoRange =>
sio_dec_n <= '0';
when CtrlRegRange =>
case dev_adr(16 downto 0) is
when IntCtrlReg =>
int_ctrl_rd_n <= '0';
when IoIntStatReg =>
io_int_stat_rd_n <= '0';
when RstCtrlReg =>
rst_ctrl_rd_n <= '0';
when AtcStatusReg =>
atc_stat_rd_n <= '0';
when MgmtStatusReg =>
mgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
end process decoder;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n:out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
sio_dec_n <= '0' when dev_adr (19 downto 17) = SuperIORange else '1';
int_ctrl_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = IntCtrlReg) else '1';
io_int_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = IoIntStatReg) else '1';
rst_ctrl_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = RstCtrlReg) else '1';
atc_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = AtcStatusReg) else '1';
mgmt_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = MgmtStatusReg) else '1';
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
cs0_n: in std_logic;
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
decoder: process (dev_adr, cs0_n)
begin
-- Set defaults for outputs - for synthesis reasons.
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
if (cs0_n = '0') then
case dev_adr(19 downto 17) is
when SuperIoRange =>
sio_dec_n <= '0';
when CtrlRegRange =>
case dev_adr(16 downto 0) is
when IntCtrlReg =>
int_ctrl_rd_n <= '0';
when IoIntStatReg =>
io_int_stat_rd_n <= '0';
when RstCtrlReg =>
rst_ctrl_rd_n <= '0';
when AtcStatusReg =>
atc_stat_rd_n <= '0';
when MgmtStatusReg =>
mgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
else
null;
end if;
end process decoder;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
cs0_n: in std_logic;
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
signal Lsio_dec_n: std_logic;
signal Lrst_ctrl_rd_n: std_logic;
signal Latc_stat_rd_n: std_logic;
signal Lmgmt_stat_rd_n: std_logic;
signal Lio_int_stat_rd_n: std_logic;
signal Lint_ctrl_rd_n: std_logic;
begin
decoder: process (dev_adr)
begin
-- Set defaults for outputs - for synthesis reasons.
Lsio_dec_n <= '1';
Lint_ctrl_rd_n <= '1';
Lio_int_stat_rd_n <= '1';
Lrst_ctrl_rd_n <= '1';
Latc_stat_rd_n <= '1';
Lmgmt_stat_rd_n <= '1';
case dev_adr(19 downto 17) is
when SuperIoRange =>
Lsio_dec_n <= '0';
when CtrlRegRange =>
case dev_adr(16 downto 0) is
when IntCtrlReg =>
Lint_ctrl_rd_n <= '0';
when IoIntStatReg =>
Lio_int_stat_rd_n <= '0';
when RstCtrlReg =>
Lrst_ctrl_rd_n <= '0';
when AtcStatusReg =>
Latc_stat_rd_n <= '0';
when MgmtStatusReg =>
Lmgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
end process decoder;
qualify: process (cs0_n) begin
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
if (cs0_n = '0') then
sio_dec_n <= Lsio_dec_n;
int_ctrl_rd_n <= Lint_ctrl_rd_n;
io_int_stat_rd_n <= Lio_int_stat_rd_n;
rst_ctrl_rd_n <= Lrst_ctrl_rd_n;
atc_stat_rd_n <= Latc_stat_rd_n;
mgmt_stat_rd_n <= Lmgmt_stat_rd_n;
else
null;
end if;
end process qualify;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
decoder: process ( dev_adr)
begin
-- Set defaults for outputs - for synthesis reasons.
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
if dev_adr(19 downto 17) = SuperIOrange then
sio_dec_n <= '0';
elsif dev_adr(19 downto 17) = CtrlRegrange then
if dev_adr(16 downto 0) = IntCtrlReg then
int_ctrl_rd_n <= '0';
elsif dev_adr(16 downto 0)= IoIntStatReg then
io_int_stat_rd_n <= '0';
elsif dev_adr(16 downto 0) = RstCtrlReg then
rst_ctrl_rd_n <= '0';
elsif dev_adr(16 downto 0) = AtcStatusReg then
atc_stat_rd_n <= '0';
elsif dev_adr(16 downto 0) = MgmtStatusReg then
mgmt_stat_rd_n <= '0';
else
null;
end if;
else
null;
end if;
end process decoder;
end synthesis;
library IEEE;
use IEEE.std_logic_1164.all;
package decProcs is
procedure DEC2x4 (inputs : in std_logic_vector(1 downto 0);
decode: out std_logic_vector(3 downto 0)
);
end decProcs;
package body decProcs is
procedure DEC2x4 (inputs : in std_logic_vector(1 downto 0);
decode: out std_logic_vector(3 downto 0)
) is
begin
case inputs is
when "11" =>
decode := "1000";
when "10" =>
decode := "0100";
when "01" =>
decode := "0010";
when "00" =>
decode := "0001";
when others =>
decode := "0001";
end case;
end DEC2x4;
end decProcs;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n:out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
with dev_adr(19 downto 17) select
sio_dec_n <= '0' when SuperIORange,
'1' when others;
with dev_adr(19 downto 0) select
int_ctrl_rd_n <= '0' when CtrlRegRange & IntCtrlReg,
'1' when others;
with dev_adr(19 downto 0) select
io_int_stat_rd_n <= '0' when CtrlRegRange & IoIntStatReg,
'1' when others;
with dev_adr(19 downto 0) select
rst_ctrl_rd_n <= '0' when CtrlRegRange & RstCtrlReg,
'1' when others;
with dev_adr(19 downto 0) select
atc_stat_rd_n <= '0' when CtrlRegRange & AtcStatusReg,
'1' when others;
with dev_adr(19 downto 0) select
mgmt_stat_rd_n <= '0' when CtrlRegRange & MgmtStatusReg,
'1' when others;
end synthesis;
-- Incorporates Errata 5.1 and 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulse is port (
clk, reset: in std_logic;
loadLength,loadDelay: in std_logic;
data: in std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulse;
architecture rtl of progPulse is
signal delayCnt, pulseCnt: unsigned(7 downto 0);
signal delayCntVal, pulseCntVal: unsigned(7 downto 0);
signal startPulse, endPulse: std_logic;
begin
delayReg: process (clk, reset) begin
if reset = '1' then
delayCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
delayCntVal <= unsigned(data);
end if;
end if;
end process;
lengthReg: process (clk, reset) begin
if reset = '1' then
pulseCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadLength = '1' then -- changed loadLength to loadDelay (Errata 5.1)
pulseCntVal <= unsigned(data);
end if;
end if;
end process;
pulseDelay: process (clk, reset) begin
if (reset = '1') then
delayCnt <= "11111111";
elsif(clk'event and clk = '1') then
if (loadDelay = '1' or loadLength = '1' or endPulse = '1') then -- changed startPulse to endPulse (Errata 5.1)
delayCnt <= delayCntVal;
elsif endPulse = '1' then
delayCnt <= delayCnt - 1;
end if;
end if;
end process;
startPulse <= '1' when delayCnt = "00000000" else '0';
pulseLength: process (clk, reset) begin
if (reset = '1') then
pulseCnt <= "11111111";
elsif (clk'event and clk = '1') then
if (loadLength = '1') then
pulseCnt <= pulseCntVal;
elsif (startPulse = '1' and endPulse = '1') then
pulseCnt <= pulseCntVal;
elsif (endPulse = '1') then
pulseCnt <= pulseCnt;
else
pulseCnt <= pulseCnt - 1;
end if;
end if;
end process;
endPulse <= '1' when pulseCnt = "00000000" else '0';
pulseOutput: process (clk, reset) begin
if (reset = '1') then
pulse <= '0';
elsif (clk'event and clk = '1') then
if (startPulse = '1') then
pulse <= '1';
elsif (endPulse = '1') then
pulse <= '0';
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
arst : in std_logic;
q: out std_logic;
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if arst = '1' then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
a,b,c : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, a,b,c) begin
if ((a = '1' and b = '1') or c = '1') then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
a,b,c : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
signal localRst: std_logic;
begin
localRst <= '1' when (( a = '1' and b = '1') or c = '1') else '0';
process (clk, localRst) begin
if localRst = '1' then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
arst: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, arst) begin
if arst = '1' then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
aset : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, aset) begin
if aset = '1' then
q <= '1';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d1, d2: in std_logic;
clk: in std_logic;
arst : in std_logic;
q1, q2: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, arst) begin
if arst = '1' then
q1 <= '0';
q2 <= '1';
elsif clk'event and clk = '1' then
q1 <= d1;
q2 <= d2;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
if clk'event and clk = '1' then
if en = '1' then
q <= d;
end if;
end if;
wait on clk;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
q: out std_logic
);
end DFFE;
architecture rtl of DFFE is
begin
process begin
wait until clk = '1';
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
envector: in std_logic_vector(7 downto 0);
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if envector = "10010111" then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if en = '1' then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE_SR is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end DFFE_SR;
architecture rtl of DFFE_SR is
begin
process (clk, rst, prst) begin
if (prst = '1') then
q <= '1';
elsif (rst = '1') then
q <= '0';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity flipFlop is port (
clock, input: in std_logic;
ffOut: out std_logic
);
end flipFlop;
architecture simple of flipFlop is
procedure dff (signal clk: in std_logic;
signal d: in std_logic;
signal q: out std_logic
) is
begin
if clk'event and clk = '1' then
q <= d;
end if;
end procedure dff;
begin
dff(clock, input, ffOut);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
end: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until rising_edge(clk);
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d1, d2: in std_logic;
clk: in std_logic;
srst : in std_logic;
q1, q2: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if srst = '1' then
q1 <= '0';
q2 <= '1';
else
q1 <= d1;
q2 <= d2;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE_SR is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end DFFE_SR;
architecture rtl of DFFE_SR is
begin
process (clk, rst, prst) begin
if (rst = '1') then
q <= '0';
elsif (prst = '1') then
q <= '1';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
srst : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until clk = '1';
if srst = '1' then
q <= '0';
else
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity struct_dffe_sr is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
rst,prst: in std_logic;
q: out std_logic
);
end struct_dffe_sr;
use work.primitive.all;
architecture instance of struct_dffe_sr is
begin
ff: dffe_sr port map (
d => d,
clk => clk,
en => en,
rst => rst,
prst => prst,
q => q
);
end instance;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
srst : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if srst = '1' then
q <= '0';
else
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity struct_dffe is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end struct_dffe;
use work.primitive.all;
architecture instance of struct_dffe is
begin
ff: dffe port map (
d => d,
clk => clk,
en => en,
q => q
);
end instance;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity dffTri is
generic (size: integer := 8);
port (
data: in std_logic_vector(size - 1 downto 0);
clock: in std_logic;
ff_enable: in std_logic;
op_enable: in std_logic;
qout: out std_logic_vector(size - 1 downto 0)
);
end dffTri;
architecture parameterize of dffTri is
type tribufType is record
ip: std_logic;
oe: std_logic;
op: std_logic;
end record;
type tribufArrayType is array (integer range <>) of tribufType;
signal tri: tribufArrayType(size - 1 downto 0);
begin
g0: for i in 0 to size - 1 generate
u1: DFFE port map (data(i), tri(i).ip, ff_enable, clock);
end generate;
g1: for i in 0 to size - 1 generate
u2: TRIBUF port map (tri(i).ip, tri(i).oe, tri(i).op);
tri(i).oe <= op_enable;
qout(i) <= tri(i).op;
end generate;
end parameterize;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until clk = '1';
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic bus
);
end TRIBUF;
architecture sequential of TRIBUF is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= null;
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
entity DLATCHH is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end DLATCHH;
architecture rtl of DLATCHH is
signal qLocal: std_logic;
begin
qLocal <= d when en = '1' else qLocal;
q <= qLocal;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DLATCHH is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end DLATCHH;
architecture rtl of DLATCHH is
begin
process (en, d) begin
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity struct_dlatch is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end struct_dlatch;
use work.primitive.all;
architecture instance of struct_dlatch is
begin
latch: dlatchh port map (
d => d,
en => en,
q => q
);
end instance;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity downCounter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end downCounter;
architecture simple of downCounter is
signal countL: unsigned(3 downto 0);
signal termCnt: std_logic;
begin
decrement: process (clk, reset) begin
if (reset = '1') then
countL <= "1011"; -- Reset to 11
termCnt <= '1';
elsif(clk'event and clk = '1') then
if (termCnt = '1') then
countL <= "1011"; -- Count rolls over to 11
else
countL <= countL - 1;
end if;
if (countL = "0001") then -- Terminal count decoded 1 cycle earlier
termCnt <= '1';
else
termCnt <= '0';
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity compareDC is port (
addressBus: in std_logic_vector(31 downto 0);
addressHit: out std_logic
);
end compareDC;
architecture wontWork of compareDC is
begin
compare: process(addressBus) begin
if (addressBus = "011110101011--------------------") then
addressHit <= '1';
else
addressHit <= '0';
end if;
end process compare;
end wontWork;
library ieee;
use ieee.std_logic_1164.all;
entity encoder is
port (invec: in std_logic_vector(7 downto 0);
enc_out: out std_logic_vector(2 downto 0)
);
end encoder;
architecture rtl of encoder is
begin
encode: process (invec) begin
case invec is
when "00000001" =>
enc_out <= "000";
when "00000010" =>
enc_out <= "001";
when "00000100" =>
enc_out <= "010";
when "00001000" =>
enc_out <= "011";
when "00010000" =>
enc_out <= "100";
when "00100000" =>
enc_out <= "101";
when "01000000" =>
enc_out <= "110";
when "10000000" =>
enc_out <= "111";
when others =>
enc_out <= "000";
end case;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity encoder is
port (invec:in std_logic_vector(7 downto 0);
enc_out:out std_logic_vector(2 downto 0)
);
end encoder;
architecture rtl of encoder is
begin
process (invec)
begin
if invec(7) = '1' then
enc_out <= "111";
elsif invec(6) = '1' then
enc_out <= "110";
elsif invec(5) = '1' then
enc_out <= "101";
elsif invec(4) = '1' then
enc_out <= "100";
elsif invec(3) = '1' then
enc_out <= "011";
elsif invec(2) = '1' then
enc_out <= "010";
elsif invec(1) = '1' then
enc_out <= "001";
elsif invec(0) = '1' then
enc_out <= "000";
else
enc_out <= "000";
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity encoder is
port (invec: in std_logic_vector(7 downto 0);
enc_out: out std_logic_vector(2 downto 0)
);
end encoder;
architecture rtl of encoder is
begin
enc_out <= "111" when invec(7) = '1' else
"110" when invec(6) = '1' else
"101" when invec(5) = '1' else
"100" when invec(4) = '1' else
"011" when invec(3) = '1' else
"010" when invec(2) = '1' else
"001" when invec(1) = '1' else
"000" when invec(0) = '1' else
"000";
end rtl;
-- includes Errata 5.2
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; -- errata 5.2
entity compare is port (
ina: in std_logic_vector (3 downto 0);
inb: in std_logic_vector (2 downto 0);
equal: out std_logic
);
end compare;
architecture simple of compare is
begin
equalProc: process (ina, inb) begin
if (ina = inb ) then
equal <= '1';
else
equal <= '0';
end if;
end process;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
entity LogicFcn is port (
A: in std_logic;
B: in std_logic;
C: in std_logic;
Y: out std_logic
);
end LogicFcn;
architecture behavioral of LogicFcn is
begin
fcn: process (A,B,C) begin
if (A = '0' and B = '0') then
Y <= '1';
elsif C = '1' then
Y <= '1';
else
Y <= '0';
end if;
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity LogicFcn is port (
A: in std_logic;
B: in std_logic;
C: in std_logic;
Y: out std_logic
);
end LogicFcn;
architecture dataflow of LogicFcn is
begin
Y <= '1' when (A = '0' AND B = '0') OR
(C = '1')
else '0';
end dataflow;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity LogicFcn is port (
A: in std_logic;
B: in std_logic;
C: in std_logic;
Y: out std_logic
);
end LogicFcn;
architecture structural of LogicFcn is
signal notA, notB, andSignal: std_logic;
begin
i1: inverter port map (i => A,
o => notA);
i2: inverter port map (i => B,
o => notB);
a1: and2 port map (i1 => notA,
i2 => notB,
y => andSignal);
o1: or2 port map (i1 => andSignal,
i2 => C,
y => Y);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity SimDFF is port (
D, Clk: in std_logic;
Q: out std_logic
);
end SimDff;
architecture SimModel of SimDFF is
constant tCQ: time := 8 ns;
constant tS: time := 4 ns;
constant tH: time := 3 ns;
begin
reg: process (Clk, D) begin
-- Assign output tCQ after rising clock edge
if (Clk'event and Clk = '1') then
Q <= D after tCQ;
end if;
-- Check setup time
if (Clk'event and Clk = '1') then
assert (D'last_event >= tS)
report "Setup time violation"
severity Warning;
end if;
-- Check hold time
if (D'event and Clk'stable and Clk = '1') then
assert (D'last_event - Clk'last_event > tH)
report "Hold Time Violation"
severity Warning;
end if;
end process;
end simModel;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
wait until clk = '1';
q <= d;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until clk = '1';
q <= d;
wait on clk;
end process;
end rtl;
configuration SimpleGatesCfg of FEWGATES is
for structural
for all: AND2
use entity work.and2(rtl);
end for;
for u3: inverter
use entity work.inverter(rtl);
end for;
for u4: or2
use entity work.or2(rtl);
end for;
end for;
end SimpleGatesCfg;
configuration SimpleGatesCfg of FEWGATES is
for structural
for u1: and2
use entity work.and2(rtl);
end for;
for u2: and2
use entity work.and2(rtl);
end for;
for u3: inverter
use entity work.inverter(rtl);
end for;
for u4: or2
use entity work.or2(rtl);
end for;
end for;
end SimpleGatesCfg;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
use work.and2;
use work.or2;
use work.inverter;
architecture structural of FEWGATES is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c,
i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
use work.and2;
use work.or2;
use work.inverter;
architecture structural of FEWGATES is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
signal a_and_b, c_and_d, not_c_and_d: std_logic;
-- Configution specifications
for all: and2 use entity work.and2(rtl);
for u3: inverter use entity work.inverter(rtl);
for u4: or2 use entity work.or2(rtl);
begin
u1: and2 port map (i1 => a, i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c, i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
use work.GatesPkg.all;
architecture structural of FEWGATES is
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c,
i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture concurrent of FEWGATES is
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
a_and_b <= '1' when a = '1' and b = '1' else '0';
c_and_d <= '1' when c = '1' and d = '1' else '0';
not_c_and_d <= not c_and_d;
y <= '1' when a_and_b = '1' or not_c_and_d = '1' else '0';
end concurrent;
library IEEE;
use IEEE.std_logic_1164.all;
package GatesPkg is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
end GatesPkg;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture structural of FEWGATES is
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 =>c,
i2 => d,
y => c_and_d
);
u3: inverter port map (a => c_and_d,
y => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity AND2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end AND2;
architecture rtl of AND2 is
begin
y <= '1' when i1 = '1' and i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity OR2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end OR2;
architecture rtl of OR2 is
begin
y <= '1' when i1 = '1' or i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity INVERTER is port (
i: in std_logic;
o: out std_logic
);
end INVERTER;
architecture rtl of INVERTER is
begin
o <= not i;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture structural of FEWGATES is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c,
i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.simPrimitives.all;
entity simHierarchy is port (
A, B, Clk: in std_logic;
Y: out std_logic
);
end simHierarchy;
architecture hierarchical of simHierarchy is
signal ADly, BDly, OrGateDly, ClkDly: std_logic;
signal OrGate, FlopOut: std_logic;
begin
ADly <= transport A after 2 ns;
BDly <= transport B after 2 ns;
OrGateDly <= transport OrGate after 1.5 ns;
ClkDly <= transport Clk after 1 ns;
u1: OR2 generic map (tPD => 10 ns)
port map ( I1 => ADly,
I2 => BDly,
Y => OrGate
);
u2: simDFF generic map ( tS => 4 ns,
tH => 3 ns,
tCQ => 8 ns
)
port map ( D => OrGateDly,
Clk => ClkDly,
Q => FlopOut
);
Y <= transport FlopOut after 2 ns;
end hierarchical;
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity INVERTER is port (
i: in std_logic;
o: out std_logic
);
end INVERTER;
architecture rtl of INVERTER is
begin
o <= not i;
end rtl;
--------------------------------------------------------------------------------
--| File name : $RCSfile: io1164.vhd $
--| Library : SUPPORT
--| Revision : $Revision: 1.1 $
--| Author(s) : Vantage Analysis Systems, Inc; Des Young
--| Integration : Des Young
--| Creation : Nov 1995
--| Status : $State: Exp $
--|
--| Purpose : IO routines for std_logic_1164.
--| Assumptions : Numbers use radixed character set with no prefix.
--| Limitations : Does not read VHDL pound-radixed numbers.
--| Known Errors: none
--|
--| Description:
--| This is a modified library. The source is basically that donated by
--| Vantage to libutil. Des Young removed std_ulogic_vector support (to
--| conform to synthesizable libraries), and added read_oct/hex to integer.
--|
--| =======================================================================
--| Copyright (c) 1992-1994 Vantage Analysis Systems, Inc., all rights
--| reserved. This package is provided by Vantage Analysis Systems.
--| The package may not be sold without the express written consent of
--| Vantage Analysis Systems, Inc.
--|
--| The VHDL for this package may be copied and/or distributed as long as
--| this copyright notice is retained in the source and any modifications
--| are clearly marked in the History: list.
--|
--| Title : IO1164 package VHDL source
--| Package Name: somelib.IO1164
--| File Name : io1164.vhdl
--| Author(s) : dbb
--| Purpose : * Overloads procedures READ and WRITE for STD_LOGIC types
--| in manner consistent with TEXTIO package.
--| * Provides procedures to read and write logic values as
--| binary, octal, or hexadecimal values ('X' as appropriate).
--| These should be particularly useful for models
--| to read in stimulus as 0/1/x or octal or hex.
--| Subprograms :
--| Notes :
--| History : 1. Donated to libutil by Dave Bernstein 15 Jun 94
--| 2. Removed all std_ulogic_vector support, Des Young, 14 Nov 95
--| (This is because that type is not supported for synthesis).
--| 3. Added read_oct/hex to integer, Des Young, 20 Nov 95
--|
--| =======================================================================
--| Extra routines by Des Young, [email protected]. 1995. GNU copyright.
--| =======================================================================
--|
--------------------------------------------------------------------------------
library ieee;
package io1164 is
--$ !VANTAGE_METACOMMENTS_ON
--$ !VANTAGE_DNA_ON
-- import std_logic package
use ieee.std_logic_1164.all;
-- import textio package
use std.textio.all;
--
-- the READ and WRITE procedures act similarly to the procedures in the
-- STD.TEXTIO package. for each type, there are two read procedures and
-- one write procedure for converting between character and internal
-- representations of values. each value is represented as the string of
-- characters that you would use in VHDL code. (remember that apostrophes
-- and quotation marks are not used.) input is case-insensitive. output
-- is in upper case. see the following LRM sections for more information:
--
-- 2.3 - Subprogram Overloading
-- 3.3 - Access Types (STD.TEXTIO.LINE is an access type)
-- 7.3.6 - Allocators (allocators create access values)
-- 14.3 - Package TEXTIO
--
-- Note that the procedures for std_ulogic will match calls with the value
-- parameter of type std_logic.
--
-- declare READ procedures to overload like in TEXTIO
--
procedure read(l: inout line; value: out std_ulogic ; good: out boolean);
procedure read(l: inout line; value: out std_ulogic );
procedure read(l: inout line; value: out std_logic_vector ; good: out boolean);
procedure read(l: inout line; value: out std_logic_vector );
--
-- declare WRITE procedures to overload like in TEXTIO
--
procedure write(l : inout line ;
value : in std_ulogic ;
justified: in side := right;
field : in width := 0 );
procedure write(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 );
--
-- declare procedures to convert between logic values and octal
-- or hexadecimal ('X' where appropriate).
--
-- octal / std_logic_vector
procedure read_oct (l : inout line ;
value : out std_logic_vector ;
good : out boolean );
procedure read_oct (l : inout line ;
value : out std_logic_vector );
procedure write_oct(l : inout line ;
value : in std_logic_vector ;
justified : in side := right;
field : in width := 0 );
-- hexadecimal / std_logic_vector
procedure read_hex (l : inout line ;
value : out std_logic_vector ;
good : out boolean );
procedure read_hex (l : inout line ;
value : out std_logic_vector );
procedure write_hex(l : inout line ;
value : in std_logic_vector ;
justified : in side := right;
field : in width := 0 );
-- read a number into an integer
procedure read_oct(l : inout line;
value : out integer;
good : out boolean);
procedure read_oct(l : inout line;
value : out integer);
procedure read_hex(l : inout line;
value : out integer;
good : out boolean);
procedure read_hex(l : inout line;
value : out integer);
end io1164;
--------------------------------------------------------------------------------
--| Copyright (c) 1992-1994 Vantage Analysis Systems, Inc., all rights reserved
--| This package is provided by Vantage Analysis Systems.
--| The package may not be sold without the express written consent of
--| Vantage Analysis Systems, Inc.
--|
--| The VHDL for this package may be copied and/or distributed as long as
--| this copyright notice is retained in the source and any modifications
--| are clearly marked in the History: list.
--|
--| Title : IO1164 package body VHDL source
--| Package Name: VANTAGE_LOGIC.IO1164
--| File Name : io1164.vhdl
--| Author(s) : dbb
--| Purpose : source for IO1164 package body
--| Subprograms :
--| Notes : see package declaration
--| History : see package declaration
--------------------------------------------------------------------------------
package body io1164 is
--$ !VANTAGE_METACOMMENTS_ON
--$ !VANTAGE_DNA_ON
-- define lowercase conversion of characters for canonical comparison
type char2char_t is array (character'low to character'high) of character;
constant lowcase: char2char_t := (
nul, soh, stx, etx, eot, enq, ack, bel,
bs, ht, lf, vt, ff, cr, so, si,
dle, dc1, dc2, dc3, dc4, nak, syn, etb,
can, em, sub, esc, fsp, gsp, rsp, usp,
' ', '!', '"', '#', '$', '%', '&', ''',
'(', ')', '*', '+', ',', '-', '.', '/',
'0', '1', '2', '3', '4', '5', '6', '7',
'8', '9', ':', ';', '<', '=', '>', '?',
'@', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
'x', 'y', 'z', '[', '\', ']', '^', '_',
'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
'x', 'y', 'z', '{', '|', '}', '~', del);
-- define conversions between various types
-- logic -> character
type f_logic_to_character_t is
array (std_ulogic'low to std_ulogic'high) of character;
constant f_logic_to_character : f_logic_to_character_t :=
(
'U' => 'U',
'X' => 'X',
'0' => '0',
'1' => '1',
'Z' => 'Z',
'W' => 'W',
'L' => 'L',
'H' => 'H',
'-' => '-'
);
-- character, integer, logic
constant x_charcode : integer := -1;
constant maxoct_charcode: integer := 7;
constant maxhex_charcode: integer := 15;
constant bad_charcode : integer := integer'left;
type digit2int_t is
array ( character'low to character'high ) of integer;
constant octdigit2int: digit2int_t := (
'0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4,
'5' => 5, '6' => 6, '7' => 7,
'X' | 'x' => x_charcode, others => bad_charcode );
constant hexdigit2int: digit2int_t := (
'0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4,
'5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9,
'A' | 'a' => 10, 'B' | 'b' => 11, 'C' | 'c' => 12,
'D' | 'd' => 13, 'E' | 'e' => 14, 'F' | 'f' => 15,
'X' | 'x' => x_charcode, others => bad_charcode );
constant oct_bits_per_digit: integer := 3;
constant hex_bits_per_digit: integer := 4;
type int2octdigit_t is
array ( 0 to maxoct_charcode ) of character;
constant int2octdigit: int2octdigit_t :=
( 0 => '0', 1 => '1', 2 => '2', 3 => '3',
4 => '4', 5 => '5', 6 => '6', 7 => '7' );
type int2hexdigit_t is
array ( 0 to maxhex_charcode ) of character;
constant int2hexdigit: int2hexdigit_t :=
( 0 => '0', 1 => '1', 2 => '2', 3 => '3',
4 => '4', 5 => '5', 6 => '6', 7 => '7',
8 => '8', 9 => '9', 10 => 'A', 11 => 'B',
12 => 'C', 13 => 'D', 14 => 'E', 15 => 'F' );
type oct_logic_vector_t is
array(1 to oct_bits_per_digit) of std_ulogic;
type octint2logic_t is
array (x_charcode to maxoct_charcode) of oct_logic_vector_t;
constant octint2logic : octint2logic_t := (
( 'X', 'X', 'X' ),
( '0', '0', '0' ),
( '0', '0', '1' ),
( '0', '1', '0' ),
( '0', '1', '1' ),
( '1', '0', '0' ),
( '1', '0', '1' ),
( '1', '1', '0' ),
( '1', '1', '1' )
);
type hex_logic_vector_t is
array(1 to hex_bits_per_digit) of std_ulogic;
type hexint2logic_t is
array (x_charcode to maxhex_charcode) of hex_logic_vector_t;
constant hexint2logic : hexint2logic_t := (
( 'X', 'X', 'X', 'X' ),
( '0', '0', '0', '0' ),
( '0', '0', '0', '1' ),
( '0', '0', '1', '0' ),
( '0', '0', '1', '1' ),
( '0', '1', '0', '0' ),
( '0', '1', '0', '1' ),
( '0', '1', '1', '0' ),
( '0', '1', '1', '1' ),
( '1', '0', '0', '0' ),
( '1', '0', '0', '1' ),
( '1', '0', '1', '0' ),
( '1', '0', '1', '1' ),
( '1', '1', '0', '0' ),
( '1', '1', '0', '1' ),
( '1', '1', '1', '0' ),
( '1', '1', '1', '1' )
);
----------------------------------------------------------------------------
-- READ procedure bodies
--
-- The strategy for duplicating TEXTIO's overloading of procedures
-- with and without GOOD parameters is to put all the logic in the
-- version with the GOOD parameter and to have the version without
-- GOOD approximate a runtime error by use of an assertion.
--
----------------------------------------------------------------------------
--
-- std_ulogic
-- note: compatible with std_logic
--
procedure read( l: inout line; value: out std_ulogic; good : out boolean ) is
variable c : character; -- char read while looping
variable m : line; -- safe copy of L
variable success: boolean := false; -- readable version of GOOD
variable done : boolean := false; -- flag to say done reading chars
begin
--
-- algorithm:
--
-- if there are characters in the line
-- save a copy of the line
-- get the next character
-- if got one
-- set value
-- if all ok
-- free temp copy
-- else
-- free passed in line
-- assign copy back to line
-- set GOOD
--
-- only operate on lines that contain characters
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save a copy of string in case read fails
m := new string'( l.all );
-- grab the next character
read( l, c, success );
-- if read ok
if success then
--
-- an issue here is whether lower-case values should be accepted or not
--
-- determine the value
case c is
when 'U' | 'u' => value := 'U';
when 'X' | 'x' => value := 'X';
when '0' => value := '0';
when '1' => value := '1';
when 'Z' | 'z' => value := 'Z';
when 'W' | 'w' => value := 'W';
when 'L' | 'l' => value := 'L';
when 'H' | 'h' => value := 'H';
when '-' => value := '-';
when others => success := false;
end case;
end if;
-- free working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
end if; -- non null access, non empty string
-- set output parameter
good := success;
end read;
procedure read( l: inout line; value: out std_ulogic ) is
variable success: boolean; -- internal good flag
begin
read( l, value, success ); -- use safe version
assert success
report "IO1164.READ: Unable to read STD_ULOGIC value."
severity error;
end read;
--
-- std_logic_vector
-- note: NOT compatible with std_ulogic_vector
--
procedure read(l : inout line ;
value: out std_logic_vector;
good : out boolean ) is
variable m : line ; -- saved copy of L
variable success : boolean := true; -- readable GOOD
variable logic_value : std_logic ; -- value for one array element
variable c : character ; -- read a character
begin
--
-- algorithm:
--
-- this procedure strips off leading whitespace, and then calls the
-- READ procedure for each single logic value element in the output
-- array.
--
-- only operate on lines that contain characters
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save a copy of string in case read fails
m := new string'( l.all );
-- loop for each element in output array
for i in value'range loop
-- prohibit internal blanks
if i /= value'left then
if l.all'length = 0 then
success := false;
exit;
end if;
c := l.all(l.all'left);
if c = ' ' or c = ht then
success := false;
exit;
end if;
end if;
-- read the next logic value
read( l, logic_value, success );
-- stuff the value in if ok, else bail out
if success then
value( i ) := logic_value;
else
exit;
end if;
end loop; -- each element in output array
-- free working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
elsif ( value'length /= 0 ) then
-- string is empty but the return array has 1+ elements
success := false;
end if;
-- set output parameter
good := success;
end read;
procedure read(l: inout line; value: out std_logic_vector ) is
variable success: boolean;
begin
read( l, value, success );
assert success
report "IO1164.READ: Unable to read T_WLOGIC_VECTOR value."
severity error;
end read;
----------------------------------------------------------------------------
-- WRITE procedure bodies
----------------------------------------------------------------------------
--
-- std_ulogic
-- note: compatible with std_logic
--
procedure write(l : inout line ;
value : in std_ulogic ;
justified: in side := right;
field : in width := 0 ) is
begin
--
-- algorithm:
--
-- just write out the string associated with the enumerated
-- value.
--
case value is
when 'U' => write( l, character'('U'), justified, field );
when 'X' => write( l, character'('X'), justified, field );
when '0' => write( l, character'('0'), justified, field );
when '1' => write( l, character'('1'), justified, field );
when 'Z' => write( l, character'('Z'), justified, field );
when 'W' => write( l, character'('W'), justified, field );
when 'L' => write( l, character'('L'), justified, field );
when 'H' => write( l, character'('H'), justified, field );
when '-' => write( l, character'('-'), justified, field );
end case;
end write;
--
-- std_logic_vector
-- note: NOT compatible with std_ulogic_vector
--
procedure write(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 ) is
variable m: line; -- build up intermediate string
begin
--
-- algorithm:
--
-- for each value in array
-- add string representing value to intermediate string
-- write intermediate string to line parameter
-- free intermediate string
--
-- for each value in array
for i in value'range loop
-- add string representing value to intermediate string
write( m, value( i ) );
end loop;
-- write intermediate string to line parameter
write( l, m.all, justified, field );
-- free intermediate string
deallocate( m );
end write;
--------------------------------------------------------------------------------
----------------------------------------------------------------------------
-- procedure bodies for octal and hexadecimal read and write
----------------------------------------------------------------------------
--
-- std_logic_vector/octal
-- note: NOT compatible with std_ulogic_vector
--
procedure read_oct(l : inout line ;
value : out std_logic_vector;
good : out boolean ) is
variable m : line ; -- safe L
variable success : boolean := true; -- readable GOOD
variable logic_value : std_logic ; -- elem value
variable c : character ; -- char read
variable charcode : integer ; -- char->int
variable oct_logic_vector: oct_logic_vector_t ; -- for 1 digit
variable bitpos : integer ; -- in state vec.
begin
--
-- algorithm:
--
-- skip over leading blanks, then read a digit
-- and do a conversion into a logic value
-- for each element in array
--
-- make sure logic array is right size to read this base
success := ( ( value'length rem oct_bits_per_digit ) = 0 );
if success then
-- only operate on non-empty strings
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save old copy of string in case read fails
m := new string'( l.all );
-- pick off leading white space and get first significant char
c := ' ';
while success and ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = ht ) ) loop
read( l, c, success );
end loop;
-- turn character into integer
charcode := octdigit2int( c );
-- not doing any bits yet
bitpos := 0;
-- check for bad first character
if charcode = bad_charcode then
success := false;
else
-- loop through each value in array
oct_logic_vector := octint2logic( charcode );
for i in value'range loop
-- doing the next bit
bitpos := bitpos + 1;
-- stick the value in
value( i ) := oct_logic_vector( bitpos );
-- read the next character if we're not at array end
if ( bitpos = oct_bits_per_digit ) and ( i /= value'right ) then
read( l, c, success );
if not success then
exit;
end if;
-- turn character into integer
charcode := octdigit2int( c );
-- check for bad char
if charcode = bad_charcode then
success := false;
exit;
end if;
-- reset bit position
bitpos := 0;
-- turn character code into state array
oct_logic_vector := octint2logic( charcode );
end if;
end loop; -- each index in return array
end if; -- if bad first character
-- clean up working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
-- no characters to read for return array that isn't null slice
elsif ( value'length /= 0 ) then
success := false;
end if; -- non null access, non empty string
end if;
-- set out parameter of success
good := success;
end read_oct;
procedure read_oct(l : inout line ;
value : out std_logic_vector) is
variable success: boolean; -- internal good flag
begin
read_oct( l, value, success ); -- use safe version
assert success
report "IO1164.READ_OCT: Unable to read T_LOGIC_VECTOR value."
severity error;
end read_oct;
procedure write_oct(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 ) is
variable m : line ; -- safe copy of L
variable goodlength : boolean ; -- array is ok len for this base
variable isx : boolean ; -- an X in this digit
variable integer_value: integer ; -- accumulate integer value
variable c : character; -- character read
variable charpos : integer ; -- index string being contructed
variable bitpos : integer ; -- bit index inside digit
begin
--
-- algorithm:
--
-- make sure this array can be written in this base
-- create a string to place intermediate results
-- initialize counters and flags to beginning of string
-- for each item in array
-- note unknown, else accumulate logic into integer
-- if at this digit's last bit
-- stuff digit just computed into intermediate result
-- reset flags and counters except for charpos
-- write intermediate result into line
-- free work storage
--
-- make sure this array can be written in this base
goodlength := ( ( value'length rem oct_bits_per_digit ) = 0 );
assert goodlength
report "IO1164.WRITE_OCT: VALUE'Length is not a multiple of 3."
severity error;
if goodlength then
-- create a string to place intermediate results
m := new string(1 to ( value'length / oct_bits_per_digit ) );
-- initialize counters and flags to beginning of string
charpos := 0;
bitpos := 0;
isx := false;
integer_value := 0;
-- for each item in array
for i in value'range loop
-- note unknown, else accumulate logic into integer
case value(i) is
when '0' | 'L' =>
integer_value := integer_value * 2;
when '1' | 'H' =>
integer_value := ( integer_value * 2 ) + 1;
when others =>
isx := true;
end case;
-- see if we've done this digit's last bit
bitpos := bitpos + 1;
if bitpos = oct_bits_per_digit then
-- stuff the digit just computed into the intermediate result
charpos := charpos + 1;
if isx then
m.all(charpos) := 'X';
else
m.all(charpos) := int2octdigit( integer_value );
end if;
-- reset flags and counters except for location in string being constructed
bitpos := 0;
isx := false;
integer_value := 0;
end if;
end loop;
-- write intermediate result into line
write( l, m.all, justified, field );
-- free work storage
deallocate( m );
end if;
end write_oct;
--
-- std_logic_vector/hexadecimal
-- note: NOT compatible with std_ulogic_vector
--
procedure read_hex(l : inout line ;
value : out std_logic_vector;
good : out boolean ) is
variable m : line ; -- safe L
variable success : boolean := true; -- readable GOOD
variable logic_value : std_logic ; -- elem value
variable c : character ; -- char read
variable charcode : integer ; -- char->int
variable hex_logic_vector: hex_logic_vector_t ; -- for 1 digit
variable bitpos : integer ; -- in state vec.
begin
--
-- algorithm:
--
-- skip over leading blanks, then read a digit
-- and do a conversion into a logic value
-- for each element in array
--
-- make sure logic array is right size to read this base
success := ( ( value'length rem hex_bits_per_digit ) = 0 );
if success then
-- only operate on non-empty strings
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save old copy of string in case read fails
m := new string'( l.all );
-- pick off leading white space and get first significant char
c := ' ';
while success and ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = ht ) ) loop
read( l, c, success );
end loop;
-- turn character into integer
charcode := hexdigit2int( c );
-- not doing any bits yet
bitpos := 0;
-- check for bad first character
if charcode = bad_charcode then
success := false;
else
-- loop through each value in array
hex_logic_vector := hexint2logic( charcode );
for i in value'range loop
-- doing the next bit
bitpos := bitpos + 1;
-- stick the value in
value( i ) := hex_logic_vector( bitpos );
-- read the next character if we're not at array end
if ( bitpos = hex_bits_per_digit ) and ( i /= value'right ) then
read( l, c, success );
if not success then
exit;
end if;
-- turn character into integer
charcode := hexdigit2int( c );
-- check for bad char
if charcode = bad_charcode then
success := false;
exit;
end if;
-- reset bit position
bitpos := 0;
-- turn character code into state array
hex_logic_vector := hexint2logic( charcode );
end if;
end loop; -- each index in return array
end if; -- if bad first character
-- clean up working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
-- no characters to read for return array that isn't null slice
elsif ( value'length /= 0 ) then
success := false;
end if; -- non null access, non empty string
end if;
-- set out parameter of success
good := success;
end read_hex;
procedure read_hex(l : inout line ;
value : out std_logic_vector) is
variable success: boolean; -- internal good flag
begin
read_hex( l, value, success ); -- use safe version
assert success
report "IO1164.READ_HEX: Unable to read T_LOGIC_VECTOR value."
severity error;
end read_hex;
procedure write_hex(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 ) is
variable m : line ; -- safe copy of L
variable goodlength : boolean ; -- array is ok len for this base
variable isx : boolean ; -- an X in this digit
variable integer_value: integer ; -- accumulate integer value
variable c : character; -- character read
variable charpos : integer ; -- index string being contructed
variable bitpos : integer ; -- bit index inside digit
begin
--
-- algorithm:
--
-- make sure this array can be written in this base
-- create a string to place intermediate results
-- initialize counters and flags to beginning of string
-- for each item in array
-- note unknown, else accumulate logic into integer
-- if at this digit's last bit
-- stuff digit just computed into intermediate result
-- reset flags and counters except for charpos
-- write intermediate result into line
-- free work storage
--
-- make sure this array can be written in this base
goodlength := ( ( value'length rem hex_bits_per_digit ) = 0 );
assert goodlength
report "IO1164.WRITE_HEX: VALUE'Length is not a multiple of 4."
severity error;
if goodlength then
-- create a string to place intermediate results
m := new string(1 to ( value'length / hex_bits_per_digit ) );
-- initialize counters and flags to beginning of string
charpos := 0;
bitpos := 0;
isx := false;
integer_value := 0;
-- for each item in array
for i in value'range loop
-- note unknown, else accumulate logic into integer
case value(i) is
when '0' | 'L' =>
integer_value := integer_value * 2;
when '1' | 'H' =>
integer_value := ( integer_value * 2 ) + 1;
when others =>
isx := true;
end case;
-- see if we've done this digit's last bit
bitpos := bitpos + 1;
if bitpos = hex_bits_per_digit then
-- stuff the digit just computed into the intermediate result
charpos := charpos + 1;
if isx then
m.all(charpos) := 'X';
else
m.all(charpos) := int2hexdigit( integer_value );
end if;
-- reset flags and counters except for location in string being constructed
bitpos := 0;
isx := false;
integer_value := 0;
end if;
end loop;
-- write intermediate result into line
write( l, m.all, justified, field );
-- free work storage
deallocate( m );
end if;
end write_hex;
------------------------------------------------------------------------------
------------------------------------
-- Read octal/hex numbers to integer
------------------------------------
--
-- Read octal to integer
--
procedure read_oct(l : inout line;
value : out integer;
good : out boolean) is
variable pos : integer;
variable digit : integer;
variable result : integer := 0;
variable success : boolean := true;
variable c : character;
variable old_l : line := l;
begin
-- algorithm:
--
-- skip leading white space, read digit, convert
-- into integer
--
if (l /= NULL) then
-- set pos to start of actual number by skipping white space
pos := l'LEFT;
c := l(pos);
while ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = HT ) ) loop
pos := pos + 1;
c := l(pos);
end loop;
-- check for start of valid number
digit := octdigit2int(l(pos));
if ((digit = bad_charcode) or (digit = x_charcode)) then
good := FALSE;
return;
else
-- calculate integer value
for i in pos to l'RIGHT loop
digit := octdigit2int(l(pos));
exit when (digit = bad_charcode) or (digit = x_charcode);
result := (result * 8) + digit;
pos := pos + 1;
end loop;
value := result;
-- shrink line
if (pos > 1) then
l := new string'(old_l(pos to old_l'HIGH));
deallocate(old_l);
end if;
good := TRUE;
return;
end if;
else
good := FALSE;
end if;
end read_oct;
-- simple version
procedure read_oct(l : inout line;
value : out integer) is
variable success: boolean; -- internal good flag
begin
read_oct( l, value, success ); -- use safe version
assert success
report "IO1164.READ_OCT: Unable to read octal integer value."
severity error;
end read_oct;
--
-- Read hex to integer
--
procedure read_hex(l : inout line;
value : out integer;
good : out boolean) is
variable pos : integer;
variable digit : integer;
variable result : integer := 0;
variable success : boolean := true;
variable c : character;
variable old_l : line := l;
begin
-- algorithm:
--
-- skip leading white space, read digit, convert
-- into integer
--
if (l /= NULL) then
-- set pos to start of actual number by skipping white space
pos := l'LEFT;
c := l(pos);
while ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = HT ) ) loop
pos := pos + 1;
c := l(pos);
end loop;
-- check for start of valid number
digit := hexdigit2int(l(pos));
if ((digit = bad_charcode) or (digit = x_charcode)) then
good := FALSE;
return;
else
-- calculate integer value
for i in pos to l'RIGHT loop
digit := hexdigit2int(l(pos));
exit when (digit = bad_charcode) or (digit = x_charcode);
result := (result * 16) + digit;
pos := pos + 1;
end loop;
value := result;
-- shrink line
if (pos > 1) then
l := new string'(old_l(pos to old_l'HIGH));
deallocate(old_l);
end if;
good := TRUE;
return;
end if;
else
good := FALSE;
end if;
end read_hex;
-- simple version
procedure read_hex(l : inout line;
value : out integer) is
variable success: boolean; -- internal good flag
begin
read_hex( l, value, success ); -- use safe version
assert success
report "IO1164.READ_HEX: Unable to read hex integer value."
severity error;
end read_hex;
end io1164;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity asyncLdCnt is port (
loadVal: in std_logic_vector(3 downto 0);
clk, load: in std_logic;
q: out std_logic_vector(3 downto 0)
);
end asyncLdCnt;
architecture rtl of asyncLdCnt is
signal qLocal: unsigned(3 downto 0);
begin
process (clk, load, loadVal) begin
if (load = '1') then
qLocal <= to_unsigned(loadVal);
elsif (clk'event and clk = '1' ) then
qLocal <= qLocal + 1;
end if;
end process;
q <= to_stdlogicvector(qLocal);
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity LoadCnt is port (
CntEn: in std_logic;
LdCnt: in std_logic;
LdData: in std_logic_vector(3 downto 0);
Clk: in std_logic;
Rst: in std_logic;
CntVal: out std_logic_vector(3 downto 0)
);
end LoadCnt;
architecture behavioral of LoadCnt is
signal Cnt: std_logic_vector(3 downto 0);
begin
counter: process (Clk, Rst) begin
if Rst = '1' then
Cnt <= (others => '0');
elsif (Clk'event and Clk = '1') then
if (LdCnt = '1') then
Cnt <= LdData;
elsif (CntEn = '1') then
Cnt <= Cnt + 1;
else
Cnt <= Cnt;
end if;
end if;
end process;
CntVal <= Cnt;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
library UTILS;
use UTILS.io1164.all;
use std.textio.all;
entity loadCntTB is
end loadCntTB;
architecture testbench of loadCntTB is
component loadCnt port (
data: in std_logic_vector (7 downto 0);
load: in std_logic;
clk: in std_logic;
rst: in std_logic;
q: out std_logic_vector (7 downto 0)
);
end component;
file vectorFile: text is in "vectorfile";
type vectorType is record
data: std_logic_vector(7 downto 0);
load: std_logic;
rst: std_logic;
q: std_logic_vector(7 downto 0);
end record;
signal testVector: vectorType;
signal TestClk: std_logic := '0';
signal Qout: std_logic_vector(7 downto 0);
constant ClkPeriod: time := 100 ns;
for all: loadCnt use entity work.loadcnt(rtl);
begin
-- File reading and stimulus application
readVec: process
variable VectorLine: line;
variable VectorValid: boolean;
variable vRst: std_logic;
variable vLoad: std_logic;
variable vData: std_logic_vector(7 downto 0);
variable vQ: std_logic_vector(7 downto 0);
begin
while not endfile (vectorFile) loop
readline(vectorFile, VectorLine);
read(VectorLine, vRst, good => VectorValid);
next when not VectorValid;
read(VectorLine, vLoad);
read(VectorLine, vData);
read(VectorLine, vQ);
wait for ClkPeriod/4;
testVector.Rst <= vRst;
testVector.Load <= vLoad;
testVector.Data <= vData;
testVector.Q <= vQ;
wait for (ClkPeriod/4) * 3;
end loop;
assert false
report "Simulation complete"
severity note;
wait;
end process;
-- Free running test clock
TestClk <= not TestClk after ClkPeriod/2;
-- Instance of design being tested
u1: loadCnt port map (Data => testVector.Data,
load => testVector.Load,
clk => TestClk,
rst => testVector.Rst,
q => Qout
);
-- Process to verify outputs
verify: process (TestClk)
variable ErrorMsg: line;
begin
if (TestClk'event and TestClk = '0') then
if Qout /= testVector.Q then
write(ErrorMsg, string'("Vector failed "));
write(ErrorMsg, now);
writeline(output, ErrorMsg);
end if;
end if;
end process;
end testbench;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity loadCnt is port (
data: in std_logic_vector (7 downto 0);
load: in std_logic;
clk: in std_logic;
rst: in std_logic;
q: out std_logic_vector (7 downto 0)
);
end loadCnt;
architecture rtl of loadCnt is
signal cnt: std_logic_vector (7 downto 0);
begin
counter: process (clk, rst) begin
if (rst = '1') then
cnt <= (others => '0');
elsif (clk'event and clk = '1') then
if (load = '1') then
cnt <= data;
else
cnt <= cnt + 1;
end if;
end if;
end process;
q <= cnt;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity multiplier is port (
a,b : in std_logic_vector (15 downto 0);
product: out std_logic_vector (31 downto 0)
);
end multiplier;
architecture dataflow of multiplier is
begin
product <= a * b;
end dataflow;
library IEEE;
use IEEE.std_logic_1164.all;
entity mux is port (
A, B, Sel: in std_logic;
Y: out std_logic
);
end mux;
architecture simModel of mux is
-- Delay Constants
constant tPD_A: time := 10 ns;
constant tPD_B: time := 15 ns;
constant tPD_Sel: time := 5 ns;
begin
DelayMux: process (A, B, Sel)
variable localY: std_logic; -- Zero delay place holder for Y
begin
-- Zero delay model
case Sel is
when '0' =>
localY := A;
when others =>
localY := B;
end case;
-- Delay calculation
if (B'event) then
Y <= localY after tPD_B;
elsif (A'event) then
Y <= localY after tPD_A;
else
Y <= localY after tPD_Sel;
end if;
end process;
end simModel;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity ForceShare is port (
a,b,c,d,e,f: in std_logic_vector (7 downto 0);
result: out std_logic_vector(7 downto 0)
);
end ForceShare;
architecture behaviour of ForceShare is
begin
sum: process (a,c,b,d,e,f)
begin
if (a + b = "10011010") then
result <= c;
elsif (a + b = "01011001") then
result <= d;
elsif (a + b = "10111011") then
result <= e;
else
result <= f;
end if;
end process;
end behaviour;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF8 is port (
ip: in std_logic_vector(7 downto 0);
oe: in std_logic;
op: out std_logic_vector(7 downto 0)
);
end TRIBUF8;
architecture concurrent of TRIBUF8 is
begin
op <= ip when oe = '1' else (others => 'Z');
end concurrent;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end TRIBUF;
architecture concurrent of TRIBUF is
begin
op <= ip when oe = '1' else 'Z';
end concurrent;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF8 is port (
ip: in std_logic_vector(7 downto 0);
oe: in std_logic;
op: out std_logic_vector(7 downto 0)
);
end TRIBUF8;
architecture sequential of TRIBUF8 is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= (others => 'Z');
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in bit;
oe: in bit;
op: out bit
);
end TRIBUF;
architecture sequential of TRIBUF is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= null;
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end TRIBUF;
architecture sequential of TRIBUF is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= 'Z';
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity tribuffer is port (
input: in std_logic;
enable: in std_logic;
output: out std_logic
);
end tribuffer;
architecture structural of tribuffer is
begin
u1: tribuf port map (ip => input,
oe => enable,
op => output
);
end structural;
library ieee;
use ieee.std_logic_1164.all;
use work.primitive.all;
entity oddParityGen is
generic ( width : integer := 8 );
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityGen;
architecture scaleable of oddParityGen is
signal genXor: std_logic_vector(ad'range);
begin
genXOR(0) <= '0';
parTree: for i in 1 to ad'high generate
x1: xor2 port map (i1 => genXor(i - 1),
i2 => ad(i - 1),
y => genXor(i)
);
end generate;
oddParity <= genXor(ad'high) ;
end scaleable ;
library ieee;
use ieee.std_logic_1164.all;
entity oddParityLoop is
generic ( width : integer := 8 );
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityLoop ;
architecture scaleable of oddParityLoop is
begin
process (ad)
variable loopXor: std_logic;
begin
loopXor := '0';
for i in 0 to width -1 loop
loopXor := loopXor xor ad( i ) ;
end loop ;
oddParity <= loopXor ;
end process;
end scaleable ;
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity OR2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end OR2;
architecture rtl of OR2 is
begin
y <= '1' when i1 = '1' or i2 = '1' else '0';
end rtl;
library IEEE;
USE IEEE.std_logic_1164.all;
entity OR2 is port (
I1, I2: in std_logic;
Y: out std_logic
);
end OR2;
architecture simple of OR2 is
begin
Y <= I1 OR I2 after 10 ns;
end simple;
library IEEE;
USE IEEE.std_logic_1164.all;
package simPrimitives is
component OR2
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end component;
end simPrimitives;
library IEEE;
USE IEEE.std_logic_1164.all;
entity OR2 is
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end OR2;
architecture simple of OR2 is
begin
Y <= I1 OR I2 after tPD;
end simple;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adder is port (
a,b: in std_logic_vector(3 downto 0);
sum: out std_logic_vector(3 downto 0);
overflow: out std_logic
);
end adder;
architecture concat of adder is
signal localSum: std_logic_vector(4 downto 0);
begin
localSum <= std_logic_vector(unsigned('0' & a) + unsigned('0' & b));
sum <= localSum(3 downto 0);
overflow <= localSum(4);
end concat;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity paramDFF is
generic (size: integer := 8);
port (
data: in std_logic_vector(size - 1 downto 0);
clock: in std_logic;
reset: in std_logic;
ff_enable: in std_logic;
op_enable: in std_logic;
qout: out std_logic_vector(size - 1 downto 0)
);
end paramDFF;
architecture parameterize of paramDFF is
signal reg: std_logic_vector(size - 1 downto 0);
begin
u1: pDFFE generic map (n => size)
port map (d => data,
clk =>clock,
rst => reset,
en => ff_enable,
q => reg
);
u2: pTRIBUF generic map (n => size)
port map (ip => reg,
oe => op_enable,
op => qout
);
end paramterize;
library ieee;
use ieee.std_logic_1164.all;
use work.primitive.all;
entity oddParityGen is
generic ( width : integer := 32 );
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityGen;
architecture scaleable of oddParityGen is
signal genXor: std_logic_vector(ad'range);
signal one: std_logic := '1';
begin
parTree: for i in ad'range generate
g0: if i = 0 generate
x0: xor2 port map (i1 => one,
i2 => one,
y => genXor(0)
);
end generate;
g1: if i > 0 and i <= ad'high generate
x1: xor2 port map (i1 => genXor(i - 1),
i2 => ad(i - 1),
y => genXor(i)
);
end generate;
end generate;
oddParity <= genXor(ad'high) ;
end scaleable ;
library ieee;
use ieee.std_logic_1164.all;
use work.primitive.all;
entity oddParityGen is
generic ( width : integer := 32 ); -- (2 <= width <= 32) and a power of 2
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityGen;
architecture scaleable of oddParityGen is
signal stage0: std_logic_vector(31 downto 0);
signal stage1: std_logic_vector(15 downto 0);
signal stage2: std_logic_vector(7 downto 0);
signal stage3: std_logic_vector(3 downto 0);
signal stage4: std_logic_vector(1 downto 0);
begin
g4: for i in stage4'range generate
g41: if (ad'length > 2) generate
x4: xor2 port map (stage3(i), stage3(i + stage4'length), stage4(i));
end generate;
end generate;
g3: for i in stage3'range generate
g31: if (ad'length > 4) generate
x3: xor2 port map (stage2(i), stage2(i + stage3'length), stage3(i));
end generate;
end generate;
g2: for i in stage2'range generate
g21: if (ad'length > 8) generate
x2: xor2 port map (stage1(i), stage1(i + stage2'length), stage2(i));
end generate;
end generate;
g1: for i in stage1'range generate
g11: if (ad'length > 16) generate
x1: xor2 port map (stage0(i), stage0(i + stage1'length), stage1(i));
end generate;
end generate;
s1: for i in ad'range generate
s14: if (ad'length = 2) generate
stage4(i) <= ad(i);
end generate;
s13: if (ad'length = 4) generate
stage3(i) <= ad(i);
end generate;
s12: if (ad'length = 8) generate
stage2(i) <= ad(i);
end generate;
s11: if (ad'length = 16) generate
stage1(i) <= ad(i);
end generate;
s10: if (ad'length = 32) generate
stage0(i) <= ad(i);
end generate;
end generate;
genPar: xor2 port map (stage4(0), stage4(1), oddParity);
end scaleable ;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in unsigned(3 downto 0);
power : out unsigned(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
signal inputValInt: integer range 0 to 15;
signal powerL: integer range 0 to 65535;
begin
inputValInt <= to_integer(inputVal);
power <= to_unsigned(powerL,16);
process begin
wait until Clk = '1';
powerL <= Pow(inputValInt,4);
end process;
end behavioral;
package PowerPkg is
component Power port(
Clk : in bit;
inputVal : in bit_vector(0 to 3);
power : out bit_vector(0 to 15) );
end component;
end PowerPkg;
use work.bv_math.all;
use work.int_math.all;
use work.PowerPkg.all;
entity Power is port(
Clk : in bit;
inputVal : in bit_vector(0 to 3);
power : out bit_vector(0 to 15) );
end Power;
architecture funky of Power is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
Variable i : integer := 0;
begin
while( i < Exp ) loop
Result := Result * N;
i := i + 1;
end loop;
return( Result );
end Pow;
function RollVal( CntlVal : integer ) return integer is
begin
return( Pow( 2, CntlVal ) + 2 );
end RollVal;
begin
process
begin
wait until Clk = '1';
power <= i2bv(Rollval(bv2I(inputVal)),16);
end process;
end funky;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity priority_encoder is port
(interrupts : in std_logic_vector(7 downto 0);
priority : in std_logic_vector(2 downto 0);
result : out std_logic_vector(2 downto 0)
);
end priority_encoder;
architecture behave of priority_encoder is
begin
process (interrupts)
variable selectIn : integer;
variable LoopCount : integer;
begin
LoopCount := 1;
selectIn := to_integer(to_unsigned(priority));
while (LoopCount <= 7) and (interrupts(selectIn) /= '0') loop
if (selectIn = 0) then
selectIn := 7;
else
selectIn := selectIn - 1;
end if;
LoopCount := LoopCount + 1;
end loop;
result <= std_logic_vector(to_unsigned(selectIn,3));
end process;
end behave;
library IEEE;
use IEEE.std_logic_1164.all;
package primitive is
component DFFE port (
d: in std_logic;
q: out std_logic;
en: in std_logic;
clk: in std_logic
);
end component;
component DFFE_SR port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end component;
component DLATCHH port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end component;
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
component TRIBUF port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end component;
component BIDIR port (
ip: in std_logic;
oe: in std_logic;
op_fb: out std_logic;
op: inout std_logic
);
end component;
end package;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE is port (
d: in std_logic;
q: out std_logic;
en: in std_logic;
clk: in std_logic
);
end DFFE;
architecture rtl of DFFE is
begin
process begin
wait until clk = '1';
if (en = '1') then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE_SR is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end DFFE_SR;
architecture rtl of DFFE_SR is
begin
process (clk, rst, prst) begin
if (rst = '1') then
q <= '0';
elsif (prst = '1') then
q <= '1';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DLATCHH is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end DLATCHH;
architecture rtl of DLATCHH is
begin
process (en) begin
if (en = '1') then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity AND2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end AND2;
architecture rtl of AND2 is
begin
y <= '1' when i1 = '1' and i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity OR2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end OR2;
architecture rtl of OR2 is
begin
y <= '1' when i1 = '1' or i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity INVERTER is port (
i: in std_logic;
o: out std_logic
);
end INVERTER;
architecture rtl of INVERTER is
begin
o <= not i;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end TRIBUF;
architecture rtl of TRIBUF is
begin
op <= ip when oe = '1' else 'Z';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity BIDIR is port (
ip: in std_logic;
oe: in std_logic;
op_fb: out std_logic;
op: inout std_logic
);
end BIDIR;
architecture rtl of BIDIR is
begin
op <= ip when oe = '1' else 'Z';
op_fb <= op;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulse is port (
clk, reset: in std_logic;
loadLength,loadDelay: in std_logic;
data: in std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulse;
architecture rtl of progPulse is
signal downCnt, downCntData: unsigned(7 downto 0);
signal downCntLd, downCntEn: std_logic;
signal delayCntVal, pulseCntVal: unsigned(7 downto 0);
signal startPulse, endPulse: std_logic;
subtype fsmType is std_logic_vector(1 downto 0);
constant loadDelayCnt : fsmType := "00";
constant waitDelayEnd : fsmType := "10";
constant loadLengthCnt : fsmType := "11";
constant waitLengthEnd : fsmType := "01";
signal currState, nextState: fsmType;
begin
delayreg: process (clk, reset) begin
if reset = '1' then
delayCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
delayCntVal <= to_unsigned(data);
end if;
end if;
end process;
lengthReg: process (clk, reset) begin
if reset = '1' then
pulseCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
pulseCntVal <= to_unsigned(data);
end if;
end if;
end process;
nextStProc: process (currState, downCnt, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
outConProc: process (currState, delayCntVal, pulseCntVal) begin
case currState is
when loadDelayCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= delayCntVal;
when waitDelayEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= delayCntVal;
when loadLengthCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
when waitLengthEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= pulseCntVal;
when others =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
end case;
end process outConProc;
downCntr: process (clk,reset) begin
if (reset = '1') then
downCnt <= "00000000";
elsif (clk'event and clk = '1') then
if (downCntLd = '1') then
downCnt <= downCntData;
elsif (downCntEn = '1') then
downCnt <= downCnt - 1;
else
downCnt <= downCnt;
end if;
end if;
end process;
-- Assign pulse output
pulse <= currState(0);
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity pulseErr is port
(a: in std_logic;
b: out std_logic
);
end pulseErr;
architecture behavior of pulseErr is
signal c: std_logic;
begin
pulse: process (a,c) begin
b <= c XOR a;
c <= a;
end process;
end behavior;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulse is port (
clk, reset: in std_logic;
loadLength,loadDelay: in std_logic;
data: in std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulse;
architecture rtl of progPulse is
signal downCnt, downCntData: unsigned(7 downto 0);
signal downCntLd, downCntEn: std_logic;
signal delayCntVal, pulseCntVal: unsigned(7 downto 0);
signal startPulse, endPulse: std_logic;
type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd);
signal currState, nextState: progPulseFsmType;
begin
delayreg: process (clk, reset) begin
if reset = '1' then
delayCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
delayCntVal <= to_unsigned(data);
end if;
end if;
end process;
lengthReg: process (clk, reset) begin
if reset = '1' then
pulseCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
pulseCntVal <= to_unsigned(data);
end if;
end if;
end process;
nextStProc: process (currState, downCnt, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
outConProc: process (currState, delayCntVal, pulseCntVal) begin
case currState is
when loadDelayCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= delayCntVal;
pulse <= '0';
when waitDelayEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= delayCntVal;
pulse <= '0';
when loadLengthCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
pulse <= '1';
when waitLengthEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= pulseCntVal;
pulse <= '1';
when others =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
pulse <= '0';
end case;
end process outConProc;
downCntr: process (clk,reset) begin
if (reset = '1') then
downCnt <= "00000000";
elsif (clk'event and clk = '1') then
if (downCntLd = '1') then
downCnt <= downCntData;
elsif (downCntEn = '1') then
downCnt <= downCnt - 1;
else
downCnt <= downCnt;
end if;
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulseFsm is port (
downCnt: in std_logic_vector(7 downto 0);
delayCntVal: in std_logic_vector(7 downto 0);
lengthCntVal: in std_logic_vector(7 downto 0);
loadLength: in std_logic;
loadDelay: in std_logic;
clk: in std_logic;
reset: in std_logic;
downCntEn: out std_logic;
downCntLd: out std_logic;
downCntData: out std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulseFsm;
architecture fsm of progPulseFsm is
type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd);
type stateVec is array (3 downto 0) of std_logic;
type stateBits is array (progPulseFsmType) of stateVec;
signal loadVal: std_logic;
constant stateTable: stateBits := (
loadDelayCnt => "0010",
waitDelayEnd => "0100",
loadLengthCnt => "0011",
waitLengthEnd => "1101" );
-- ^^^^
-- ||||__ loadVal
-- |||___ downCntLd
-- ||____ downCntEn
-- |_____ pulse
signal currState, nextState: progPulseFsmType;
begin
nextStProc: process (currState, downCnt, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (to_unsigned(downCnt) = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (to_unsigned(downCnt) = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
pulse <= stateTable(currState)(3);
downCntEn <= stateTable(currState)(2);
downCntLd <= stateTable(currState)(1);
loadVal <= stateTable(currState)(0);
downCntData <= delayCntVal when loadVal = '0' else lengthCntVal;
end fsm;
-- Incorporates Errata 6.1
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulseFsm is port (
downCnt: in std_logic_vector(7 downto 0);
delayCntVal: in std_logic_vector(7 downto 0);
lengthCntVal: in std_logic_vector(7 downto 0);
loadLength: in std_logic;
loadDelay: in std_logic;
clk: in std_logic;
reset: in std_logic;
downCntEn: out std_logic;
downCntLd: out std_logic;
downtCntData: out std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulseFsm;
architecture fsm of progPulseFsm is
type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd);
signal currState, nextState: progPulseFsmType;
signal downCntL: unsigned (7 downto 0);
begin
downCntL <= to_unsigned(downCnt); -- convert downCnt to unsigned
nextStProc: process (currState, downCntL, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCntL = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCntL = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
outConProc: process (currState, delayCntVal, lengthCntVal) begin
case currState is
when loadDelayCnt =>
downCntEn <= '0';
downCntLd <= '1';
downtCntData <= delayCntVal;
pulse <= '0';
when waitDelayEnd =>
downCntEn <= '1';
downCntLd <= '0';
downtCntData <= delayCntVal;
pulse <= '0';
when loadLengthCnt =>
downCntEn <= '0';
downCntLd <= '1';
downtCntData <= lengthCntVal;
pulse <= '1';
when waitLengthEnd =>
downCntEn <= '1';
downCntLd <= '0';
downtCntData <= lengthCntVal;
pulse <= '1';
when others =>
downCntEn <= '0';
downCntLd <= '1';
downtCntData <= delayCntVal;
pulse <= '0';
end case;
end process outConProc;
end fsm;
-- Incorporates errata 5.4
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.specialFunctions.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in std_logic_vector(3 downto 0);
power : out std_logic_vector(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
begin
process begin
wait until Clk = '1';
power <= std_logic_vector(to_unsigned(Pow(to_integer(unsigned(inputVal)),4),16));
end process;
end behavioral;
-- Incorporate errata 5.4
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in std_logic_vector(3 downto 0);
power : out std_logic_vector(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
begin
process begin
wait until Clk = '1';
power <= std_logic_vector(to_unsigned(Pow(to_integer(to_unsigned(inputVal)),4),16));
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in std_logic_vector(3 downto 0);
power : out std_logic_vector(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
begin
process begin
wait until Clk = '1';
power <= conv_std_logic_vector(Pow(conv_integer(inputVal),4),16);
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity regFile is port (
clk, rst: in std_logic;
data: in std_logic_vector(31 downto 0);
regSel: in std_logic_vector(1 downto 0);
wrEnable: in std_logic;
regOut: out std_logic_vector(31 downto 0)
);
end regFile;
architecture behavioral of regFile is
subtype reg is std_logic_vector(31 downto 0);
type regArray is array (integer range <>) of reg;
signal registerFile: regArray(0 to 3);
begin
regProc: process (clk, rst)
variable i: integer;
begin
i := 0;
if rst = '1' then
while i <= registerFile'high loop
registerFile(i) <= (others => '0');
i := i + 1;
end loop;
elsif clk'event and clk = '1' then
if (wrEnable = '1') then
case regSel is
when "00" =>
registerFile(0) <= data;
when "01" =>
registerFile(1) <= data;
when "10" =>
registerFile(2) <= data;
when "11" =>
registerFile(3) <= data;
when others =>
null;
end case;
end if;
end if;
end process;
outputs: process(regSel, registerFile) begin
case regSel is
when "00" =>
regOut <= registerFile(0);
when "01" =>
regOut <= registerFile(1);
when "10" =>
regOut <= registerFile(2);
when "11" =>
regOut <= registerFile(3);
when others =>
null;
end case;
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d1,d2: in std_logic;
q1,q2: out std_logic;
clk: in std_logic;
rst : in std_logic
);
end DFF;
architecture rtl of DFF is
begin
resetLatch: process (clk, rst) begin
if rst = '1' then
q1 <= '0';
elsif clk'event and clk = '1' then
q1 <= d1;
q2 <= d2;
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity resFcnDemo is port (
a, b: in std_logic;
oeA,oeB: in std_logic;
result: out std_logic
);
end resFcnDemo;
architecture multiDriver of resFcnDemo is
begin
result <= a when oeA = '1' else 'Z';
result <= b when oeB = '1' else 'Z';
end multiDriver;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity scaleDFF is port (
data: in std_logic_vector(7 downto 0);
clock: in std_logic;
enable: in std_logic;
qout: out std_logic_vector(7 downto 0)
);
end scaleDFF;
architecture scalable of scaleDFF is
begin
u1: sDFFE port map (d => data,
clk =>clock,
en => enable,
q => qout
);
end scalable;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity sevenSegment is port (
bcdInputs: in std_logic_vector (3 downto 0);
a_n, b_n, c_n, d_n,
e_n, f_n, g_n: out std_logic
);
end sevenSegment;
architecture behavioral of sevenSegment is
signal la_n, lb_n, lc_n, ld_n, le_n, lf_n, lg_n: std_logic;
signal oe: std_logic;
begin
bcd2sevSeg: process (bcdInputs) begin
-- Assign default to "off"
la_n <= '1'; lb_n <= '1';
lc_n <= '1'; ld_n <= '1';
le_n <= '1'; lf_n <= '1';
lg_n <= '1';
case bcdInputs is
when "0000" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
le_n <= '0'; lf_n <= '0';
when "0001" => lb_n <= '0'; lc_n <= '0';
when "0010" => la_n <= '0'; lb_n <= '0';
ld_n <= '0'; le_n <= '0';
lg_n <= '0';
when "0011" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
lg_n <= '0';
when "0100" => lb_n <= '0'; lc_n <= '0';
lf_n <= '0'; lg_n <= '0';
when "0101" => la_n <= '0'; lc_n <= '0';
ld_n <= '0'; lf_n <= '0';
lg_n <= '0';
when "0110" => la_n <= '0'; lc_n <= '0';
ld_n <= '0'; le_n <= '0';
lf_n <= '0'; lg_n <= '0';
when "0111" => la_n <= '0'; lb_n <= '0';
lc_n <= '0';
when "1000" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
le_n <= '0'; lf_n <= '0';
lg_n <= '0';
when "1001" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
lf_n <= '0'; lg_n <= '0';
-- All other inputs possibilities are "don't care"
when others => la_n <= 'X'; lb_n <= 'X';
lc_n <= 'X'; ld_n <= 'X';
le_n <= 'X'; lf_n <= 'X';
lg_n <= 'X';
end case;
end process bcd2sevSeg;
-- Disable outputs for all invalid input values
oe <= '1' when (bcdInputs < 10) else '0';
a_n <= la_n when oe = '1' else 'Z';
b_n <= lb_n when oe = '1' else 'Z';
c_n <= lc_n when oe = '1' else 'Z';
d_n <= ld_n when oe = '1' else 'Z';
e_n <= le_n when oe = '1' else 'Z';
f_n <= lf_n when oe = '1' else 'Z';
g_n <= lg_n when oe = '1' else 'Z';
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
entity sevenSegmentTB is
end sevenSegmentTB;
architecture testbench of sevenSegmentTB is
component sevenSegment port (
bcdInputs: in std_logic_vector (3 downto 0);
a_n, b_n, c_n, d_n,
e_n, f_n, g_n: out std_logic
);
end component;
type vector is record
bcdStimulus: std_logic_vector(3 downto 0);
sevSegOut: std_logic_vector(6 downto 0);
end record;
constant NumVectors: integer:= 17;
constant PropDelay: time := 40 ns;
constant SimLoopDelay: time := 10 ns;
type vectorArray is array (0 to NumVectors - 1) of vector;
constant vectorTable: vectorArray := (
(bcdStimulus => "0000", sevSegOut => "0000001"),
(bcdStimulus => "0001", sevSegOut => "1001111"),
(bcdStimulus => "0010", sevSegOut => "0010010"),
(bcdStimulus => "0011", sevSegOut => "0000110"),
(bcdStimulus => "0100", sevSegOut => "1001100"),
(bcdStimulus => "0101", sevSegOut => "0100100"),
(bcdStimulus => "0110", sevSegOut => "0100000"),
(bcdStimulus => "0111", sevSegOut => "0001111"),
(bcdStimulus => "1000", sevSegOut => "0000000"),
(bcdStimulus => "1001", sevSegOut => "0000100"),
(bcdStimulus => "1010", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1011", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1100", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1101", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1110", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1111", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "0000", sevSegOut => "0110110") -- this vector fails
);
for all : sevenSegment use entity work.sevenSegment(behavioral);
signal StimInputs: std_logic_vector(3 downto 0);
signal CaptureOutputs: std_logic_vector(6 downto 0);
begin
u1: sevenSegment port map (bcdInputs => StimInputs,
a_n => CaptureOutputs(6),
b_n => CaptureOutputs(5),
c_n => CaptureOutputs(4),
d_n => CaptureOutputs(3),
e_n => CaptureOutputs(2),
f_n => CaptureOutputs(1),
g_n => CaptureOutputs(0));
LoopStim: process
variable FoundError: boolean := false;
variable TempVector: vector;
variable ErrorMsgLine: line;
begin
for i in vectorTable'range loop
TempVector := vectorTable(i);
StimInputs <= TempVector.bcdStimulus;
wait for PropDelay;
if CaptureOutputs /= TempVector.sevSegOut then
write (ErrorMsgLine, string'("Vector failed at "));
write (ErrorMsgLine, now);
writeline (output, ErrorMsgLine);
FoundError := true;
end if;
wait for SimLoopDelay;
end loop;
assert FoundError
report "No errors. All vectors passed."
severity note;
wait;
end process;
end testbench;
library ieee;
use ieee.std_logic_1164.all;
entity sevenSegment is port (
bcdInputs: in std_logic_vector (3 downto 0);
a_n, b_n, c_n, d_n,
e_n, f_n, g_n: out std_logic
);
end sevenSegment;
architecture behavioral of sevenSegment is
begin
bcd2sevSeg: process (bcdInputs) begin
-- Assign default to "off"
a_n <= '1'; b_n <= '1';
c_n <= '1'; d_n <= '1';
e_n <= '1'; f_n <= '1';
g_n <= '1';
case bcdInputs is
when "0000" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
e_n <= '0'; f_n <= '0';
when "0001" =>
b_n <= '0'; c_n <= '0';
when "0010" =>
a_n <= '0'; b_n <= '0';
d_n <= '0'; e_n <= '0';
g_n <= '0';
when "0011" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
g_n <= '0';
when "0100" =>
b_n <= '0'; c_n <= '0';
f_n <= '0'; g_n <= '0';
when "0101" =>
a_n <= '0'; c_n <= '0';
d_n <= '0'; f_n <= '0';
g_n <= '0';
when "0110" =>
a_n <= '0'; c_n <= '0';
d_n <= '0'; e_n <= '0';
f_n <= '0'; g_n <= '0';
when "0111" =>
a_n <= '0'; b_n <= '0';
c_n <= '0';
when "1000" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
e_n <= '0'; f_n <= '0';
g_n <= '0';
when "1001" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
f_n <= '0'; g_n <= '0';
when others =>
null;
end case;
end process bcd2sevSeg;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity ForceShare is port (
a,b,c,d,e,f: in std_logic_vector (7 downto 0);
result: out std_logic_vector(7 downto 0)
);
end ForceShare;
architecture behaviour of ForceShare is
begin
sum: process (a,c,b,d,e,f)
variable tempSum: std_logic_vector(7 downto 0);
begin
tempSum := a + b; -- temporary node for sum
if (tempSum = "10011010") then
result <= c;
elsif (tempSum = "01011001") then
result <= d;
elsif (tempSum = "10111011") then
result <= e;
else
result <= f;
end if;
end process;
end behaviour;
library IEEE;
use IEEE.std_logic_1164.all;
entity shifter is port (
clk, rst: in std_logic;
shiftEn,shiftIn: std_logic;
q: out std_logic_vector (15 downto 0)
);
end shifter;
architecture behav of shifter is
signal qLocal: std_logic_vector(15 downto 0);
begin
shift: process (clk, rst) begin
if (rst = '1') then
qLocal <= (others => '0');
elsif (clk'event and clk = '1') then
if (shiftEn = '1') then
qLocal <= qLocal(14 downto 0) & shiftIn;
else
qLocal <= qLocal;
end if;
end if;
q <= qLocal;
end process;
end behav;
library ieee;
use ieee.std_logic_1164.all;
entity lastAssignment is port
(a, b: in std_logic;
selA, selb: in std_logic;
result: out std_logic
);
end lastAssignment;
architecture behavioral of lastAssignment is
begin
demo: process (a,b,selA,selB) begin
if (selA = '1') then
result <= a;
else
result <= '0';
end if;
if (selB = '1') then
result <= b;
else
result <= '0';
end if;
end process demo;
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
entity signalDemo is port (
a: in std_logic;
b: out std_logic
);
end signalDemo;
architecture basic of signalDemo is
signal c: std_logic;
begin
demo: process (a) begin
c <= a;
if c = '0' then
b <= a;
else
b <= '0';
end if;
end process;
end basic;
library ieee;
use ieee.std_logic_1164.all;
entity signalDemo is port (
a: in std_logic;
b: out std_logic
);
end signalDemo;
architecture basic of signalDemo is
signal c: std_logic;
begin
demo: process (a) begin
c <= a;
if c = '1' then
b <= a;
else
b <= '0';
end if;
end process;
end basic;
library IEEE;
USE IEEE.std_logic_1164.all;
package simPrimitives is
component OR2
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end component;
component SimDFF
generic(tCQ: time := 1 ns;
tS : time := 1 ns;
tH : time := 1 ns
);
port (D, Clk: in std_logic;
Q: out std_logic
);
end component;
end simPrimitives;
library IEEE;
USE IEEE.std_logic_1164.all;
entity OR2 is
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end OR2;
architecture simple of OR2 is
begin
Y <= I1 OR I2 after tPD;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
entity SimDFF is
generic(tCQ: time := 1 ns;
tS : time := 1 ns;
tH : time := 1 ns
);
port (D, Clk: in std_logic;
Q: out std_logic
);
end SimDff;
architecture SimModel of SimDFF is
begin
reg: process (Clk, D) begin
-- Assign output tCQ after rising clock edge
if (Clk'event and Clk = '1') then
Q <= D after tCQ;
end if;
-- Check setup time
if (Clk'event and Clk = '1') then
assert (D'last_event >= tS)
report "Setup time violation"
severity Warning;
end if;
-- Check hold time
if (D'event and Clk'stable and Clk = '1') then
assert (D'last_event - Clk'last_event > tH)
report "Hold Time Violation"
severity Warning;
end if;
end process;
end simModel;
library IEEE;
use IEEE.std_logic_1164.all;
entity SRFF is port (
s,r: in std_logic;
clk: in std_logic;
q: out std_logic
);
end SRFF;
architecture rtl of SRFF is
begin
process begin
wait until rising_edge(clk);
if s = '0' and r = '1' then
q <= '0';
elsif s = '1' and r = '0' then
q <= '1';
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity SRFF is port (
s,r: in std_logic;
clk: in std_logic;
q: out std_logic
);
end SRFF;
architecture rtl of SRFF is
begin
process begin
wait until clk = '1';
if s = '0' and r = '1' then
q <= '0';
elsif s = '1' and r = '0' then
q <= '1';
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
package scaleable is
component scaleUpCnt port (
clk: in std_logic;
reset: in std_logic;
cnt: in std_logic_vector
);
end component;
end scaleable;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity scaleUpCnt is port (
clk: in std_logic;
reset: in std_logic;
cnt: out std_logic_vector
);
end scaleUpCnt;
architecture scaleable of scaleUpCnt is
signal one: std_logic := '1';
signal cntL: std_logic_vector(cnt'range);
signal andTerm: std_logic_vector(cnt'range);
begin
-- Special case is the least significant bit
lsb: tff port map (t => one,
reset => reset,
clk => clk,
q => cntL(cntL'low)
);
andTerm(0) <= cntL(cntL'low);
-- General case for all other bits
genAnd: for i in 1 to cntL'high generate
andTerm(i) <= andTerm(i - 1) and cntL(i);
end generate;
genTFF: for i in 1 to cntL'high generate
t1: tff port map (t => andTerm(i),
clk => clk,
reset => reset,
q => cntl(i)
);
end generate;
cnt <= CntL;
end scaleable;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "101";
constant Backoff: targetFsmType := "010";
constant S_Data: targetFsmType := "011";
constant Turn_Ar: targetFsmType := "110";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "011";
constant S_Data: targetFsmType := "010";
constant Turn_Ar: targetFsmType := "110";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "010";
constant S_Data: targetFsmType := "011";
constant Turn_Ar: targetFsmType := "100";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(3 downto 0);
constant Idle: targetFsmType := "0000";
constant B_Busy: targetFsmType := "0001";
constant Backoff: targetFsmType := "0011";
constant S_Data: targetFsmType := "1100";
constant Turn_Ar: targetFsmType := "1101";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "101";
constant Backoff: targetFsmType := "010";
constant S_Data: targetFsmType := "011";
constant Turn_Ar: targetFsmType := "110";
constant Dont_Care: targetFsmType := "XXX";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
nextState <= Dont_Care;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
-- Set default output assignments
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Stop_n: out std_logic; -- PCI Stop#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
type targetFsmType is (Idle, B_Busy, Backoff, S_Data, Turn_Ar);
signal currState, nextState: targetFsmType;
begin
-- Process to generate next state logic
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when Idle =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when B_Busy =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= Idle;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= Backoff;
else
nextState <= B_Busy;
end if;
when S_Data =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= Backoff;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= Turn_Ar;
else
nextState <= S_Data;
end if;
when Backoff =>
if PCI_Frame_n = '1' then
nextState <= Turn_Ar;
else
nextState <= Backoff;
end if;
when Turn_Ar =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when others =>
null;
end case;
end process nxtStProc;
-- Process to register the current state
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
-- Process to generate outputs
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
-- Assign output ports
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
-- Incorporates Errata 10.1 and 10.2
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(4 downto 0);
constant Idle: integer := 0;
constant B_Busy: integer := 1;
constant Backoff: integer := 2;
constant S_Data: integer := 3;
constant Turn_Ar: integer := 4;
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
nextState <= (others => '0');
if currState(Idle) = '1' then
if (PCI_Frame_n = '0' and Hit = '0') then
nextState(B_Busy) <= '1';
else
nextState(Idle) <= '1';
end if;
end if;
if currState(B_Busy) = '1' then
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState(Idle) <= '1';
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState(S_Data) <= '1';
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState(Backoff) <= '1';
else
nextState(B_Busy) <= '1';
end if;
end if;
if currState(S_Data) = '1' then
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and
(LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState(Backoff) <= '1';
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState(Turn_Ar) <= '1';
else
nextState(S_Data) <= '1';
end if;
end if;
if currState(Backoff) = '1' then
if PCI_Frame_n = '1' then
nextState(Turn_Ar) <= '1';
else
nextState(Backoff) <= '1';
end if;
end if;
if currState(Turn_Ar) = '1' then
if (PCI_Frame_n = '0' and Hit = '0') then
nextState(B_Busy) <= '1';
else
nextState(Idle) <= '1';
end if;
end if;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= (others => '0'); -- per Errata 10.2
currState(Idle) <= '1';
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; -- defaults per errata 10.1
OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
if (currState(S_Data) = '1') then
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
end if;
if (currState(Backoff) = '1') then
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
end if;
if (currState(Turn_Ar) = '1') then
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
end if;
if (currState(Idle) = '1' or currState(B_Busy) = '1') then
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end if;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "011";
constant S_Data: targetFsmType := "110";
constant Turn_Ar: targetFsmType := "100";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
nextState <= IDLE;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
-- Set default output assignments
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "011";
constant S_Data: targetFsmType := "110";
constant Turn_Ar: targetFsmType := "100";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when Idle =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when B_Busy =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= Idle;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= Backoff;
else
nextState <= B_Busy;
end if;
when S_Data =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and
(LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= Backoff;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= Turn_Ar;
else
nextState <= S_Data;
end if;
when Backoff =>
if PCI_Frame_n = '1' then
nextState <= Turn_Ar;
else
nextState <= Backoff;
end if;
when Turn_Ar =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library ieee;
use ieee.std_logic_1164.all;
entity test is port (
a: in std_logic;
z: out std_logic;
en: in std_logic
);
end test;
architecture simple of test is
begin
z <= a when en = '1' else 'z';
end simple;
| gpl-2.0 |
lepton-eda/lepton-eda | tools/netlist/examples/vams/vhdl/basic-vhdl/current_source_arc.vhdl | 15 | 174 | ARCHITECTURE voltage_dependend OF current_source IS
QUANTITY v ACROSS i THROUGH lt TO rt;
BEGIN
i == ISS * (exp(v/(N * VT)) - 1.0);
END ARCHITECTURE voltage_dependend;
| gpl-2.0 |
lepton-eda/lepton-eda | tools/netlist/examples/vams/vhdl/basic-vhdl/resistor_arc.vhdl | 15 | 113 | ARCHITECTURE beh OF resistor IS
QUANTITY v ACROSS i THROUGH lt to rt;
BEGIN
v == r * i;
END ARCHITECTURE beh;
| gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.