repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
asicguy/gplgpu | hdl/sim_lib/cycloneiii_atoms.vhd | 1 | 361479 | -- Copyright (C) 1991-2011 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- Quartus II 11.0 Build 157 04/27/2011
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
package cycloneiii_atom_pack is
function str_to_bin (lut_mask : string ) return std_logic_vector;
function product(list : std_logic_vector) return std_logic ;
function alt_conv_integer(arg : in std_logic_vector) return integer;
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 0 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- Declare array types for CAM_SLICE
TYPE cycloneiii_mem_data IS ARRAY (0 to 31) of STD_LOGIC_VECTOR (31 downto 0);
function int2str( value : integer ) return string;
function map_x_to_0 (value : std_logic) return std_logic;
function SelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
function int2bit (arg : boolean) return std_logic;
function int2bit (arg : integer) return std_logic;
function bin2int (s : std_logic_vector) return integer;
function bin2int (s : std_logic) return integer;
function int2bin (arg : integer; size : integer) return std_logic_vector;
function int2bin (arg : boolean; size : integer) return std_logic_vector;
function calc_sum_len( widtha : integer; widthb : integer) return integer;
end cycloneiii_atom_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body cycloneiii_atom_pack is
type masklength is array (4 downto 1) of std_logic_vector(3 downto 0);
function str_to_bin (lut_mask : string) return std_logic_vector is
variable slice : masklength := (OTHERS => "0000");
variable mask : std_logic_vector(15 downto 0);
begin
for i in 1 to lut_mask'length loop
case lut_mask(i) is
when '0' => slice(i) := "0000";
when '1' => slice(i) := "0001";
when '2' => slice(i) := "0010";
when '3' => slice(i) := "0011";
when '4' => slice(i) := "0100";
when '5' => slice(i) := "0101";
when '6' => slice(i) := "0110";
when '7' => slice(i) := "0111";
when '8' => slice(i) := "1000";
when '9' => slice(i) := "1001";
when 'a' => slice(i) := "1010";
when 'A' => slice(i) := "1010";
when 'b' => slice(i) := "1011";
when 'B' => slice(i) := "1011";
when 'c' => slice(i) := "1100";
when 'C' => slice(i) := "1100";
when 'd' => slice(i) := "1101";
when 'D' => slice(i) := "1101";
when 'e' => slice(i) := "1110";
when 'E' => slice(i) := "1110";
when others => slice(i) := "1111";
end case;
end loop;
mask := (slice(1) & slice(2) & slice(3) & slice(4));
return (mask);
end str_to_bin;
function product (list: std_logic_vector) return std_logic is
begin
for i in 0 to 31 loop
if list(i) = '0' then
return ('0');
end if;
end loop;
return ('1');
end product;
function alt_conv_integer(arg : in std_logic_vector) return integer is
variable result : integer;
begin
result := 0;
for i in arg'range loop
if arg(i) = '1' then
result := result + 2**i;
end if;
end loop;
return result;
end alt_conv_integer;
function int2str( value : integer ) return string is
variable ivalue,index : integer;
variable digit : integer;
variable line_no: string(8 downto 1) := " ";
begin
ivalue := value;
index := 1;
if (ivalue = 0) then
line_no := " 0";
end if;
while (ivalue > 0) loop
digit := ivalue MOD 10;
ivalue := ivalue/10;
case digit is
when 0 =>
line_no(index) := '0';
when 1 =>
line_no(index) := '1';
when 2 =>
line_no(index) := '2';
when 3 =>
line_no(index) := '3';
when 4 =>
line_no(index) := '4';
when 5 =>
line_no(index) := '5';
when 6 =>
line_no(index) := '6';
when 7 =>
line_no(index) := '7';
when 8 =>
line_no(index) := '8';
when 9 =>
line_no(index) := '9';
when others =>
ASSERT FALSE
REPORT "Illegal number!"
SEVERITY ERROR;
end case;
index := index + 1;
end loop;
return line_no;
end;
function map_x_to_0 (value : std_logic) return std_logic is
begin
if (Is_X (value) = TRUE) then
return '0';
else
return value;
end if;
end;
function SelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
function int2bit (arg : integer) return std_logic is
variable int_val : integer := arg;
variable result : std_logic;
begin
if (int_val = 0) then
result := '0';
else
result := '1';
end if;
return result;
end int2bit;
function int2bit (arg : boolean) return std_logic is
variable int_val : boolean := arg;
variable result : std_logic;
begin
if (int_val ) then
result := '1';
else
result := '0';
end if;
return result;
end int2bit;
function bin2int (s : std_logic_vector) return integer is
constant temp : std_logic_vector(s'high-s'low DOWNTO 0) := s;
variable result : integer := 0;
begin
for i in temp'range loop
if (temp(i) = '1') then
result := result + (2**i);
end if;
end loop;
return(result);
end bin2int;
function bin2int (s : std_logic) return integer is
constant temp : std_logic := s;
variable result : integer := 0;
begin
if (temp = '1') then
result := 1;
else
result := 0;
end if;
return(result);
end bin2int;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function int2bin (arg : boolean; size : integer) return std_logic_vector is
variable result : std_logic_vector(size-1 downto 0);
begin
if(arg)then
result := (OTHERS => '1');
else
result := (OTHERS => '0');
end if;
return result;
end int2bin;
function calc_sum_len( widtha : integer; widthb : integer) return integer is
variable result: integer;
begin
if(widtha >= widthb) then
result := widtha + 1;
else
result := widthb + 1;
end if;
return result;
end calc_sum_len;
end cycloneiii_atom_pack;
Library ieee;
use ieee.std_logic_1164.all;
Package cycloneiii_pllpack is
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer);
procedure find_m_and_n_4_manual_phase ( inclock_period : in integer;
vco_phase_shift_step : in integer;
clk0_mult: in integer; clk1_mult: in integer;
clk2_mult: in integer; clk3_mult: in integer;
clk4_mult: in integer; clk5_mult: in integer;
clk6_mult: in integer; clk7_mult: in integer;
clk8_mult: in integer; clk9_mult: in integer;
clk0_div : in integer; clk1_div : in integer;
clk2_div : in integer; clk3_div : in integer;
clk4_div : in integer; clk5_div : in integer;
clk6_div : in integer; clk7_div : in integer;
clk8_div : in integer; clk9_div : in integer;
clk0_used : in string; clk1_used : in string;
clk2_used : in string; clk3_used : in string;
clk4_used : in string; clk5_used : in string;
clk6_used : in string; clk7_used : in string;
clk8_used : in string; clk9_used : in string;
m : out integer;
n : out integer );
function gcd (X: integer; Y: integer) return integer;
function count_digit (X: integer) return integer;
function scale_num (X: integer; Y: integer) return integer;
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer) return integer;
function output_counter_value (clk_divide: integer; clk_mult : integer ;
M: integer; N: integer ) return integer;
function counter_mode (duty_cycle: integer; output_counter_value: integer) return string;
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer;
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer;
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function counter_time_delay ( clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer;
function get_phase_degree (phase_shift: integer; clk_period: integer) return integer;
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer;
function counter_ph (tap_phase: integer; m : integer; n: integer) return integer;
function ph_adjust (tap_phase: integer; ph_base : integer) return integer;
function translate_string (mode : string) return string;
function str2int (s : string) return integer;
function dqs_str2int (s : string) return integer;
end cycloneiii_pllpack;
package body cycloneiii_pllpack is
-- finds the closest integer fraction of a given pair of numerator and denominator.
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer) is
constant MAX_ITER : integer := 20;
type INT_ARRAY is array ((MAX_ITER-1) downto 0) of integer;
variable quotient_array : INT_ARRAY;
variable int_loop_iter : integer;
variable int_quot : integer;
variable m_value : integer;
variable d_value : integer;
variable old_m_value : integer;
variable swap : integer;
variable loop_iter : integer;
variable num : integer;
variable den : integer;
variable i_max_iter : integer;
begin
loop_iter := 0;
if (numerator = 0) then
num := 1;
else
num := numerator;
end if;
if (denominator = 0) then
den := 1;
else
den := denominator;
end if;
i_max_iter := max_iter;
while (loop_iter < i_max_iter) loop
int_quot := num / den;
quotient_array(loop_iter) := int_quot;
num := num - (den*int_quot);
loop_iter := loop_iter+1;
if ((num = 0) or (max_denom /= -1) or (loop_iter = i_max_iter)) then
-- calculate the numerator and denominator if there is a restriction on the
-- max denom value or if the loop is ending
m_value := 0;
d_value := 1;
-- get the rounded value at this stage for the remaining fraction
if (den /= 0) then
m_value := (2*num/den);
end if;
-- calculate the fraction numerator and denominator at this stage
for int_loop_iter in (loop_iter-1) downto 0 loop
if (m_value = 0) then
m_value := quotient_array(int_loop_iter);
d_value := 1;
else
old_m_value := m_value;
m_value := (quotient_array(int_loop_iter)*m_value) + d_value;
d_value := old_m_value;
end if;
end loop;
-- if the denominator is less than the maximum denom_value or if there is no restriction save it
if ((d_value <= max_denom) or (max_denom = -1)) then
if ((m_value = 0) or (d_value = 0)) then
fraction_num := numerator;
fraction_div := denominator;
else
fraction_num := m_value;
fraction_div := d_value;
end if;
end if;
-- end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round)
if (((d_value > max_denom) and (max_denom /= -1)) or (num = 0)) then
i_max_iter := loop_iter;
end if;
end if;
-- swap the numerator and denominator for the next round
swap := den;
den := num;
num := swap;
end loop;
end find_simple_integer_fraction;
-- find the M and N values for Manual phase based on the following 5 criterias:
-- 1. The PFD frequency (i.e. Fin / N) must be in the range 5 MHz to 720 MHz
-- 2. The VCO frequency (i.e. Fin * M / N) must be in the range 300 MHz to 1300 MHz
-- 3. M is less than 512
-- 4. N is less than 512
-- 5. It's the smallest M/N which satisfies all the above constraints, and is within 2ps
-- of the desired vco-phase-shift-step
procedure find_m_and_n_4_manual_phase ( inclock_period : in integer;
vco_phase_shift_step : in integer;
clk0_mult: in integer; clk1_mult: in integer;
clk2_mult: in integer; clk3_mult: in integer;
clk4_mult: in integer; clk5_mult: in integer;
clk6_mult: in integer; clk7_mult: in integer;
clk8_mult: in integer; clk9_mult: in integer;
clk0_div : in integer; clk1_div : in integer;
clk2_div : in integer; clk3_div : in integer;
clk4_div : in integer; clk5_div : in integer;
clk6_div : in integer; clk7_div : in integer;
clk8_div : in integer; clk9_div : in integer;
clk0_used : in string; clk1_used : in string;
clk2_used : in string; clk3_used : in string;
clk4_used : in string; clk5_used : in string;
clk6_used : in string; clk7_used : in string;
clk8_used : in string; clk9_used : in string;
m : out integer;
n : out integer ) is
constant MAX_M : integer := 511;
constant MAX_N : integer := 511;
constant MAX_PFD : integer := 720;
constant MIN_PFD : integer := 5;
constant MAX_VCO : integer := 1600; -- max vco frequency. (in mHz)
constant MIN_VCO : integer := 300; -- min vco frequency. (in mHz)
constant MAX_OFFSET : real := 0.004;
variable vco_period : integer;
variable pfd_freq : integer;
variable vco_freq : integer;
variable vco_ps_step_value : integer;
variable i_m : integer;
variable i_n : integer;
variable i_pre_m : integer;
variable i_pre_n : integer;
variable closest_vco_step_value : integer;
variable i_max_iter : integer;
variable loop_iter : integer;
variable clk0_div_factor_real : real;
variable clk1_div_factor_real : real;
variable clk2_div_factor_real : real;
variable clk3_div_factor_real : real;
variable clk4_div_factor_real : real;
variable clk5_div_factor_real : real;
variable clk6_div_factor_real : real;
variable clk7_div_factor_real : real;
variable clk8_div_factor_real : real;
variable clk9_div_factor_real : real;
variable clk0_div_factor_int : integer;
variable clk1_div_factor_int : integer;
variable clk2_div_factor_int : integer;
variable clk3_div_factor_int : integer;
variable clk4_div_factor_int : integer;
variable clk5_div_factor_int : integer;
variable clk6_div_factor_int : integer;
variable clk7_div_factor_int : integer;
variable clk8_div_factor_int : integer;
variable clk9_div_factor_int : integer;
begin
vco_period := vco_phase_shift_step * 8;
i_pre_m := 0;
i_pre_n := 0;
closest_vco_step_value := 0;
LOOP_1 : for i_n_out in 1 to MAX_N loop
for i_m_out in 1 to MAX_M loop
clk0_div_factor_real := real(clk0_div * i_m_out) / real(clk0_mult * i_n_out);
clk1_div_factor_real := real(clk1_div * i_m_out) / real(clk1_mult * i_n_out);
clk2_div_factor_real := real(clk2_div * i_m_out) / real(clk2_mult * i_n_out);
clk3_div_factor_real := real(clk3_div * i_m_out) / real(clk3_mult * i_n_out);
clk4_div_factor_real := real(clk4_div * i_m_out) / real(clk4_mult * i_n_out);
clk5_div_factor_real := real(clk5_div * i_m_out) / real(clk5_mult * i_n_out);
clk6_div_factor_real := real(clk6_div * i_m_out) / real(clk6_mult * i_n_out);
clk7_div_factor_real := real(clk7_div * i_m_out) / real(clk7_mult * i_n_out);
clk8_div_factor_real := real(clk8_div * i_m_out) / real(clk8_mult * i_n_out);
clk9_div_factor_real := real(clk9_div * i_m_out) / real(clk9_mult * i_n_out);
clk0_div_factor_int := integer(clk0_div_factor_real);
clk1_div_factor_int := integer(clk1_div_factor_real);
clk2_div_factor_int := integer(clk2_div_factor_real);
clk3_div_factor_int := integer(clk3_div_factor_real);
clk4_div_factor_int := integer(clk4_div_factor_real);
clk5_div_factor_int := integer(clk5_div_factor_real);
clk6_div_factor_int := integer(clk6_div_factor_real);
clk7_div_factor_int := integer(clk7_div_factor_real);
clk8_div_factor_int := integer(clk8_div_factor_real);
clk9_div_factor_int := integer(clk9_div_factor_real);
if (((abs(clk0_div_factor_real - real(clk0_div_factor_int)) < MAX_OFFSET) or (clk0_used = "unused")) and
((abs(clk1_div_factor_real - real(clk1_div_factor_int)) < MAX_OFFSET) or (clk1_used = "unused")) and
((abs(clk2_div_factor_real - real(clk2_div_factor_int)) < MAX_OFFSET) or (clk2_used = "unused")) and
((abs(clk3_div_factor_real - real(clk3_div_factor_int)) < MAX_OFFSET) or (clk3_used = "unused")) and
((abs(clk4_div_factor_real - real(clk4_div_factor_int)) < MAX_OFFSET) or (clk4_used = "unused")) and
((abs(clk5_div_factor_real - real(clk5_div_factor_int)) < MAX_OFFSET) or (clk5_used = "unused")) and
((abs(clk6_div_factor_real - real(clk6_div_factor_int)) < MAX_OFFSET) or (clk6_used = "unused")) and
((abs(clk7_div_factor_real - real(clk7_div_factor_int)) < MAX_OFFSET) or (clk7_used = "unused")) and
((abs(clk8_div_factor_real - real(clk8_div_factor_int)) < MAX_OFFSET) or (clk8_used = "unused")) and
((abs(clk9_div_factor_real - real(clk9_div_factor_int)) < MAX_OFFSET) or (clk9_used = "unused")) )
then
if ((i_m_out /= 0) and (i_n_out /= 0))
then
pfd_freq := 1000000 / (inclock_period * i_n_out);
vco_freq := (1000000 * i_m_out) / (inclock_period * i_n_out);
vco_ps_step_value := (inclock_period * i_n_out) / (8 * i_m_out);
if ( (i_m_out < max_m) and (i_n_out < max_n) and (pfd_freq >= min_pfd) and (pfd_freq <= max_pfd) and
(vco_freq >= min_vco) and (vco_freq <= max_vco) )
then
if (abs(vco_ps_step_value - vco_phase_shift_step) <= 2)
then
i_pre_m := i_m_out;
i_pre_n := i_n_out;
exit LOOP_1;
else
if ((closest_vco_step_value = 0) or (abs(vco_ps_step_value - vco_phase_shift_step) < abs(closest_vco_step_value - vco_phase_shift_step)))
then
i_pre_m := i_m_out;
i_pre_n := i_n_out;
closest_vco_step_value := vco_ps_step_value;
end if;
end if;
end if;
end if;
end if;
end loop;
end loop;
if ((i_pre_m /= 0) and (i_pre_n /= 0))
then
find_simple_integer_fraction(i_pre_m, i_pre_n,
MAX_N, m, n);
else
n := 1;
m := lcm (clk0_mult, clk1_mult, clk2_mult, clk3_mult,
clk4_mult, clk5_mult, clk6_mult,
clk7_mult, clk8_mult, clk9_mult, inclock_period);
end if;
end find_m_and_n_4_manual_phase;
-- find the greatest common denominator of X and Y
function gcd (X: integer; Y: integer) return integer is
variable L, S, R, G : integer := 1;
begin
if (X < Y) then -- find which is smaller.
S := X;
L := Y;
else
S := Y;
L := X;
end if;
R := S;
while ( R > 1) loop
S := L;
L := R;
R := S rem L; -- divide bigger number by smaller.
-- remainder becomes smaller number.
end loop;
if (R = 0) then -- if evenly divisible then L is gcd else it is 1.
G := L;
else
G := R;
end if;
return G;
end gcd;
-- count the number of digits in the given integer
function count_digit (X: integer)
return integer is
variable count, result: integer := 0;
begin
result := X;
while (result /= 0) loop
result := (result / 10);
count := count + 1;
end loop;
return count;
end count_digit;
-- reduce the given huge number to Y significant digits
function scale_num (X: integer; Y: integer)
return integer is
variable count : integer := 0;
variable lc, fac_ten, result: integer := 1;
begin
count := count_digit(X);
for lc in 1 to (count-Y) loop
fac_ten := fac_ten * 10;
end loop;
result := (X / fac_ten);
return result;
end scale_num;
-- find the least common multiple of A1 to A10
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer)
return integer is
variable M1, M2, M3, M4, M5 , M6, M7, M8, M9, R: integer := 1;
begin
M1 := (A1 * A2)/gcd(A1, A2);
M2 := (M1 * A3)/gcd(M1, A3);
M3 := (M2 * A4)/gcd(M2, A4);
M4 := (M3 * A5)/gcd(M3, A5);
M5 := (M4 * A6)/gcd(M4, A6);
M6 := (M5 * A7)/gcd(M5, A7);
M7 := (M6 * A8)/gcd(M6, A8);
M8 := (M7 * A9)/gcd(M7, A9);
M9 := (M8 * A10)/gcd(M8, A10);
if (M9 < 3) then
R := 10;
elsif (M9 = 3) then
R := 9;
elsif ((M9 <= 10) and (M9 > 3)) then
R := 4 * M9;
elsif (M9 > 1000) then
R := scale_num(M9,3);
else
R := M9 ;
end if;
return R;
end lcm;
-- find the factor of division of the output clock frequency compared to the VCO
function output_counter_value (clk_divide: integer; clk_mult: integer ;
M: integer; N: integer ) return integer is
variable r_real : real := 1.0;
variable r: integer := 1;
begin
r_real := real(clk_divide * M)/ real(clk_mult * N);
r := integer(r_real);
return R;
end output_counter_value;
-- find the mode of each PLL counter - bypass, even or odd
function counter_mode (duty_cycle: integer; output_counter_value: integer)
return string is
variable R: string (1 to 6) := " ";
variable counter_value: integer := 1;
begin
counter_value := (2*duty_cycle*output_counter_value)/100;
if output_counter_value = 1 then
R := "bypass";
elsif (counter_value REM 2) = 0 then
R := " even";
else
R := " odd";
end if;
return R;
end counter_mode;
-- find the number of VCO clock cycles to hold the output clock high
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer is
variable R: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value *2)/100 ;
if (half_cycle_high REM 2 = 0) then
R := half_cycle_high/2 ;
else
R := (half_cycle_high/2) + 1;
end if;
return R;
end;
-- find the number of VCO clock cycles to hold the output clock low
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer is
variable R, R1: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value*2)/100 ;
if (half_cycle_high REM 2 = 0) then
R1 := half_cycle_high/2 ;
else
R1 := (half_cycle_high/2) + 1;
end if;
R := output_counter_value - R1;
if (R = 0) then
R := 1;
end if;
return R;
end;
-- find the smallest time delay amongst t1 to t10
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 > 0) then return m9; else return 0; end if;
end;
-- find the numerically largest negative number, and return its absolute value
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 < 0) then return (0 - m9); else return 0; end if;
end;
-- adjust the phase (tap_phase) with the largest negative number (ph_base)
function ph_adjust (tap_phase: integer; ph_base : integer) return integer is
begin
return (tap_phase + ph_base);
end;
-- find the time delay for each PLL counter
function counter_time_delay (clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer is
variable R: integer := 0;
begin
R := clk_time_delay + m_time_delay - n_time_delay;
return R;
end;
-- calculate the given phase shift (in ps) in terms of degrees
function get_phase_degree (phase_shift: integer; clk_period: integer)
return integer is
variable result: integer := 0;
begin
result := ( phase_shift * 360 ) / clk_period;
-- to round up the calculation result
if (result > 0) then
result := result + 1;
elsif (result < 0) then
result := result - 1;
else
result := 0;
end if;
return result;
end;
-- find the number of VCO clock cycles to wait initially before the first rising
-- edge of the output clock
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer is
variable R: integer;
variable R1: real;
begin
R1 := (real(abs(tap_phase)) * real(m))/(360.0 * real(n)) + 0.6;
-- Note NCSim VHDL had problem in rounding up for 0.5 - 0.99.
-- This checking will ensure that the rounding up is done.
if (R1 >= 0.5) and (R1 <= 1.0) then
R1 := 1.0;
end if;
R := integer(R1);
return R;
end;
-- find which VCO phase tap (0 to 7) to align the rising edge of the output clock to
function counter_ph (tap_phase: integer; m: integer; n: integer) return integer is
variable R: integer := 0;
begin
-- 0.5 is added for proper rounding of the tap_phase.
R := integer(real(integer(real(tap_phase * m / n)+ 0.5) REM 360)/45.0) rem 8;
return R;
end;
-- convert given string to length 6 by padding with spaces
function translate_string (mode : string) return string is
variable new_mode : string (1 to 6) := " ";
begin
if (mode = "bypass") then
new_mode := "bypass";
elsif (mode = "even") then
new_mode := " even";
elsif (mode = "odd") then
new_mode := " odd";
end if;
return new_mode;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! "
SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function dqs_str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
variable err : boolean := false;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & " in string parameter! "
SEVERITY ERROR;
err := true;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
-- set error flag
err := true;
end case;
if (err) then
err := false;
else
newdigit := newdigit * 10 + digit;
end if;
end loop;
return (sign*newdigit);
end;
end cycloneiii_pllpack;
--
--
-- DFFE Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_dffe is
generic(
TimingChecksOn: Boolean := True;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
port(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC;
CLRN : in STD_LOGIC;
PRN : in STD_LOGIC;
CLK : in STD_LOGIC;
ENA : in STD_LOGIC);
attribute VITAL_LEVEL0 of cycloneiii_dffe : entity is TRUE;
end cycloneiii_dffe;
-- architecture body --
architecture behave of cycloneiii_dffe is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal D_ipd : STD_ULOGIC := 'U';
signal CLRN_ipd : STD_ULOGIC := 'U';
signal PRN_ipd : STD_ULOGIC := 'U';
signal CLK_ipd : STD_ULOGIC := 'U';
signal ENA_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (D_ipd, D, tipd_D);
VitalWireDelay (CLRN_ipd, CLRN, tipd_CLRN);
VitalWireDelay (PRN_ipd, PRN, tipd_PRN);
VitalWireDelay (CLK_ipd, CLK, tipd_CLK);
VitalWireDelay (ENA_ipd, ENA, tipd_ENA);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (D_ipd, CLRN_ipd, PRN_ipd, CLK_ipd, ENA_ipd)
-- timing check results
VARIABLE Tviol_D_CLK : STD_ULOGIC := '0';
VARIABLE Tviol_ENA_CLK : STD_ULOGIC := '0';
VARIABLE TimingData_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_ENA_CLK : VitalTimingDataType := VitalTimingDataInit;
-- functionality results
VARIABLE Violation : STD_ULOGIC := '0';
VARIABLE PrevData_Q : STD_LOGIC_VECTOR(0 to 7);
VARIABLE D_delayed : STD_ULOGIC := 'U';
VARIABLE CLK_delayed : STD_ULOGIC := 'U';
VARIABLE ENA_delayed : STD_ULOGIC := 'U';
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => '0');
-- output glitch detection variables
VARIABLE Q_VitalGlitchData : VitalGlitchDataType;
CONSTANT dffe_Q_tab : VitalStateTableType := (
( L, L, x, x, x, x, x, x, x, L ),
( L, H, L, H, H, x, x, H, x, H ),
( L, H, L, H, x, L, x, H, x, H ),
( L, H, L, x, H, H, x, H, x, H ),
( L, H, H, x, x, x, H, x, x, S ),
( L, H, x, x, x, x, L, x, x, H ),
( L, H, x, x, x, x, H, L, x, S ),
( L, x, L, L, L, x, H, H, x, L ),
( L, x, L, L, x, L, H, H, x, L ),
( L, x, L, x, L, H, H, H, x, L ),
( L, x, x, x, x, x, x, x, x, S ));
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_D_CLK,
TimingData => TimingData_D_CLK,
TestSignal => D_ipd,
TestSignalName => "D",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_noedge_posedge,
SetupLow => tsetup_D_CLK_noedge_posedge,
HoldHigh => thold_D_CLK_noedge_posedge,
HoldLow => thold_D_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) OR ( (NOT ENA_ipd) )) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ENA_CLK,
TimingData => TimingData_ENA_CLK,
TestSignal => ENA_ipd,
TestSignalName => "ENA",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ENA_CLK_noedge_posedge,
SetupLow => tsetup_ENA_CLK_noedge_posedge,
HoldHigh => thold_ENA_CLK_noedge_posedge,
HoldLow => thold_ENA_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
-------------------------
-- Functionality Section
-------------------------
Violation := Tviol_D_CLK or Tviol_ENA_CLK;
VitalStateTable(
StateTable => dffe_Q_tab,
DataIn => (
Violation, CLRN_ipd, CLK_delayed, Results(1), D_delayed, ENA_delayed, PRN_ipd, CLK_ipd),
Result => Results,
NumStates => 1,
PreviousDataIn => PrevData_Q);
D_delayed := D_ipd;
CLK_delayed := CLK_ipd;
ENA_delayed := ENA_ipd;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Results(1),
Paths => ( 0 => (PRN_ipd'last_event, tpd_PRN_Q_negedge, TRUE),
1 => (CLRN_ipd'last_event, tpd_CLRN_Q_negedge, TRUE),
2 => (CLK_ipd'last_event, tpd_CLK_Q_posedge, TRUE)),
GlitchData => Q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
--
-- cycloneiii_mux21 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_mux21 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
attribute VITAL_LEVEL0 of cycloneiii_mux21 : entity is TRUE;
end cycloneiii_mux21;
architecture AltVITAL of cycloneiii_mux21 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal A_ipd, B_ipd, S_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (A_ipd, A, tipd_A);
VitalWireDelay (B_ipd, B, tipd_B);
VitalWireDelay (S_ipd, S, tipd_S);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (A_ipd, B_ipd, S_ipd)
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if (S_ipd = '1') then
tmp_MO := B_ipd;
else
tmp_MO := A_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (A_ipd'last_event, tpd_A_MO, TRUE),
1 => (B_ipd'last_event, tpd_B_MO, TRUE),
2 => (S_ipd'last_event, tpd_S_MO, TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- cycloneiii_mux41 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_mux41 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN0_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN1_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN2_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN3_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_IN0 : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01;
tipd_IN3 : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
IN0 : in std_logic := '0';
IN1 : in std_logic := '0';
IN2 : in std_logic := '0';
IN3 : in std_logic := '0';
S : in std_logic_vector(1 downto 0) := (OTHERS => '0');
MO : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_mux41 : entity is TRUE;
end cycloneiii_mux41;
architecture AltVITAL of cycloneiii_mux41 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd : std_logic;
signal S_ipd : std_logic_vector(1 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN0_ipd, IN0, tipd_IN0);
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
VitalWireDelay (IN3_ipd, IN3, tipd_IN3);
VitalWireDelay (S_ipd(0), S(0), tipd_S(0));
VitalWireDelay (S_ipd(1), S(1), tipd_S(1));
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd, S_ipd(0), S_ipd(1))
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if ((S_ipd(1) = '1') AND (S_ipd(0) = '1')) then
tmp_MO := IN3_ipd;
elsif ((S_ipd(1) = '1') AND (S_ipd(0) = '0')) then
tmp_MO := IN2_ipd;
elsif ((S_ipd(1) = '0') AND (S_ipd(0) = '1')) then
tmp_MO := IN1_ipd;
else
tmp_MO := IN0_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (IN0_ipd'last_event, tpd_IN0_MO, TRUE),
1 => (IN1_ipd'last_event, tpd_IN1_MO, TRUE),
2 => (IN2_ipd'last_event, tpd_IN2_MO, TRUE),
3 => (IN3_ipd'last_event, tpd_IN3_MO, TRUE),
4 => (S_ipd(0)'last_event, tpd_S_MO(0), TRUE),
5 => (S_ipd(1)'last_event, tpd_S_MO(1), TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- cycloneiii_and1 Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.cycloneiii_atom_pack.all;
-- entity declaration --
entity cycloneiii_and1 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
attribute VITAL_LEVEL0 of cycloneiii_and1 : entity is TRUE;
end cycloneiii_and1;
-- architecture body --
architecture AltVITAL of cycloneiii_and1 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_lcell_comb
--
-- Description : Cyclone II LCELL_COMB VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_lcell_comb is
generic (
lut_mask : std_logic_vector(15 downto 0) := (OTHERS => '1');
sum_lutc_input : string := "datac";
dont_touch : string := "off";
lpm_type : string := "cycloneiii_lcell_comb";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
tpd_cin_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
tipd_dataa : VitalDelayType01 := DefPropDelay01;
tipd_datab : VitalDelayType01 := DefPropDelay01;
tipd_datac : VitalDelayType01 := DefPropDelay01;
tipd_datad : VitalDelayType01 := DefPropDelay01;
tipd_cin : VitalDelayType01 := DefPropDelay01
);
port (
dataa : in std_logic := '1';
datab : in std_logic := '1';
datac : in std_logic := '1';
datad : in std_logic := '1';
cin : in std_logic := '0';
combout : out std_logic;
cout : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_lcell_comb : entity is TRUE;
end cycloneiii_lcell_comb;
architecture vital_lcell_comb of cycloneiii_lcell_comb is
attribute VITAL_LEVEL0 of vital_lcell_comb : architecture is TRUE;
signal dataa_ipd : std_logic;
signal datab_ipd : std_logic;
signal datac_ipd : std_logic;
signal datad_ipd : std_logic;
signal cin_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (dataa_ipd, dataa, tipd_dataa);
VitalWireDelay (datab_ipd, datab, tipd_datab);
VitalWireDelay (datac_ipd, datac, tipd_datac);
VitalWireDelay (datad_ipd, datad, tipd_datad);
VitalWireDelay (cin_ipd, cin, tipd_cin);
end block;
VITALtiming : process(dataa_ipd, datab_ipd, datac_ipd, datad_ipd,
cin_ipd)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable cout_VitalGlitchData : VitalGlitchDataType;
-- output variables
variable combout_tmp : std_logic;
variable cout_tmp : std_logic;
begin
-- lut_mask_var := lut_mask;
------------------------
-- Timing Check Section
------------------------
if (sum_lutc_input = "datac") then
-- combout
combout_tmp := VitalMUX(data => lut_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
elsif (sum_lutc_input = "cin") then
-- combout
combout_tmp := VitalMUX(data => lut_mask,
dselect => (datad_ipd,
cin_ipd,
datab_ipd,
dataa_ipd));
end if;
-- cout
cout_tmp := VitalMUX(data => lut_mask,
dselect => ('0',
cin_ipd,
datab_ipd,
dataa_ipd));
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "COMBOUT",
OutTemp => combout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_combout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_combout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_combout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_combout, TRUE),
4 => (cin_ipd'last_event, tpd_cin_combout, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => cout,
OutSignalName => "COUT",
OutTemp => cout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_cout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_cout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_cout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_cout, TRUE),
4 => (cin_ipd'last_event, tpd_cin_cout, TRUE)),
GlitchData => cout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_comb;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_routing_wire
--
-- Description : Cyclone III Routing Wire VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_routing_wire is
generic (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01
);
PORT (
datain : in std_logic;
dataout : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_routing_wire : entity is TRUE;
end cycloneiii_routing_wire;
ARCHITECTURE behave of cycloneiii_routing_wire is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd : std_logic;
signal datainglitch_inert : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process(datain_ipd, datainglitch_inert)
variable datain_inert_VitalGlitchData : VitalGlitchDataType;
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => datainglitch_inert,
OutSignalName => "datainglitch_inert",
OutTemp => datain_ipd,
Paths => (1 => (datain_ipd'last_event, tpd_datainglitch_dataout, TRUE)),
GlitchData => datain_inert_VitalGlitchData,
Mode => VitalInertial,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => datainglitch_inert,
Paths => (1 => (datain_ipd'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : cycloneiii_mn_cntr
--
-- Description : Timing simulation model for the M and N counter. This is a
-- common model for the input counter and the loop feedback
-- counter of the Cyclone III PLL.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY cycloneiii_mn_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END cycloneiii_mn_cntr;
ARCHITECTURE behave of cycloneiii_mn_cntr is
begin
process (clk, reset)
variable count : integer := 1;
variable first_rising_edge : boolean := true;
variable tmp_cout : std_logic;
begin
if (reset = '1') then
count := 1;
tmp_cout := '0';
first_rising_edge := true;
elsif (clk'event) then
if (clk = '1' and first_rising_edge) then
first_rising_edge := false;
tmp_cout := clk;
elsif (not first_rising_edge) then
if (count < modulus) then
count := count + 1;
else
count := 1;
tmp_cout := not tmp_cout;
end if;
end if;
end if;
cout <= transport tmp_cout after time_delay * 1 ps;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : cycloneiii_scale_cntr
--
-- Description : Timing simulation model for the output scale-down counters.
-- This is a common model for the C0, C1, C2, C3, C4 and C5
-- output counters of the Cyclone III PLL.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY cycloneiii_scale_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0;
cout : OUT std_logic
);
END cycloneiii_scale_cntr;
ARCHITECTURE behave of cycloneiii_scale_cntr is
begin
process (clk, reset)
variable tmp_cout : std_logic := '0';
variable count : integer := 1;
variable output_shift_count : integer := 1;
variable first_rising_edge : boolean := false;
begin
if (reset = '1') then
count := 1;
output_shift_count := 1;
tmp_cout := '0';
first_rising_edge := false;
elsif (clk'event) then
if (mode = " off") then
tmp_cout := '0';
elsif (mode = "bypass") then
tmp_cout := clk;
first_rising_edge := true;
elsif (not first_rising_edge) then
if (clk = '1') then
if (output_shift_count = initial) then
tmp_cout := clk;
first_rising_edge := true;
else
output_shift_count := output_shift_count + 1;
end if;
end if;
elsif (output_shift_count < initial) then
if (clk = '1') then
output_shift_count := output_shift_count + 1;
end if;
else
count := count + 1;
if (mode = " even" and (count = (high*2) + 1)) then
tmp_cout := '0';
elsif (mode = " odd" and (count = high*2)) then
tmp_cout := '0';
elsif (count = (high + low)*2 + 1) then
tmp_cout := '1';
count := 1; -- reset count
end if;
end if;
end if;
cout <= transport tmp_cout;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : cycloneiii_pll_reg
--
-- Description : Simulation model for a simple DFF.
-- This is required for the generation of the bit slip-signals.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
ENTITY cycloneiii_pll_reg is
PORT( clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end cycloneiii_pll_reg;
ARCHITECTURE behave of cycloneiii_pll_reg is
begin
process (clk, prn, clrn)
variable q_reg : std_logic := '0';
begin
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk'event and clk = '1' and (ena = '1')) then
q_reg := D;
end if;
Q <= q_reg;
end process;
end behave;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : cycloneiii_pll
--
-- Description : Timing simulation model for the Cyclone III PLL.
-- In the functional mode, it is also the model for the altpll
-- megafunction.
--
-- Limitations : Does not support Spread Spectrum and Bandwidth.
--
-- Outputs : Up to 10 output clocks, each defined by its own set of
-- parameters. Locked output (active high) indicates when the
-- PLL locks. clkbad and activeclock are used for
-- clock switchover to indicate which input clock has gone
-- bad, when the clock switchover initiates and which input
-- clock is being used as the reference, respectively.
-- scandataout is the data output of the serial scan chain.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE STD.TEXTIO.all;
USE work.cycloneiii_atom_pack.all;
USE work.cycloneiii_pllpack.all;
USE work.cycloneiii_mn_cntr;
USE work.cycloneiii_scale_cntr;
USE work.cycloneiii_dffe;
USE work.cycloneiii_pll_reg;
-- New Features : The list below outlines key new features in CYCLONEIII:
-- 1. Dynamic Phase Reconfiguration
-- 2. Dynamic PLL Reconfiguration (different protocol)
-- 3. More output counters
ENTITY cycloneiii_pll is
GENERIC (
operation_mode : string := "normal";
pll_type : string := "auto"; -- AUTO/FAST/ENHANCED/LEFT_RIGHT/TOP_BOTTOM
compensate_clock : string := "clock0";
inclk0_input_frequency : integer := 0;
inclk1_input_frequency : integer := 0;
self_reset_on_loss_lock : string := "off";
switch_over_type : string := "auto";
switch_over_counter : integer := 1;
enable_switch_over_counter : string := "off";
bandwidth : integer := 0;
bandwidth_type : string := "auto";
use_dc_coupling : string := "false";
lock_c : integer := 4;
sim_gate_lock_device_behavior : string := "off";
lock_high : integer := 0;
lock_low : integer := 0;
lock_window_ui : string := "0.05";
lock_window : time := 5 ps;
test_bypass_lock_detect : string := "off";
clk0_output_frequency : integer := 0;
clk0_multiply_by : integer := 0;
clk0_divide_by : integer := 0;
clk0_phase_shift : string := "0";
clk0_duty_cycle : integer := 50;
clk1_output_frequency : integer := 0;
clk1_multiply_by : integer := 0;
clk1_divide_by : integer := 0;
clk1_phase_shift : string := "0";
clk1_duty_cycle : integer := 50;
clk2_output_frequency : integer := 0;
clk2_multiply_by : integer := 0;
clk2_divide_by : integer := 0;
clk2_phase_shift : string := "0";
clk2_duty_cycle : integer := 50;
clk3_output_frequency : integer := 0;
clk3_multiply_by : integer := 0;
clk3_divide_by : integer := 0;
clk3_phase_shift : string := "0";
clk3_duty_cycle : integer := 50;
clk4_output_frequency : integer := 0;
clk4_multiply_by : integer := 0;
clk4_divide_by : integer := 0;
clk4_phase_shift : string := "0";
clk4_duty_cycle : integer := 50;
pfd_min : integer := 0;
pfd_max : integer := 0;
vco_min : integer := 0;
vco_max : integer := 0;
vco_center : integer := 0;
-- ADVANCED USER PARAMETERS
m_initial : integer := 1;
m : integer := 0;
n : integer := 1;
c0_high : integer := 1;
c0_low : integer := 1;
c0_initial : integer := 1;
c0_mode : string := "bypass";
c0_ph : integer := 0;
c1_high : integer := 1;
c1_low : integer := 1;
c1_initial : integer := 1;
c1_mode : string := "bypass";
c1_ph : integer := 0;
c2_high : integer := 1;
c2_low : integer := 1;
c2_initial : integer := 1;
c2_mode : string := "bypass";
c2_ph : integer := 0;
c3_high : integer := 1;
c3_low : integer := 1;
c3_initial : integer := 1;
c3_mode : string := "bypass";
c3_ph : integer := 0;
c4_high : integer := 1;
c4_low : integer := 1;
c4_initial : integer := 1;
c4_mode : string := "bypass";
c4_ph : integer := 0;
m_ph : integer := 0;
clk0_counter : string := "unused";
clk1_counter : string := "unused";
clk2_counter : string := "unused";
clk3_counter : string := "unused";
clk4_counter : string := "unused";
c1_use_casc_in : string := "off";
c2_use_casc_in : string := "off";
c3_use_casc_in : string := "off";
c4_use_casc_in : string := "off";
m_test_source : integer := -1;
c0_test_source : integer := -1;
c1_test_source : integer := -1;
c2_test_source : integer := -1;
c3_test_source : integer := -1;
c4_test_source : integer := -1;
vco_multiply_by : integer := 0;
vco_divide_by : integer := 0;
vco_post_scale : integer := 1;
vco_frequency_control : string := "auto";
vco_phase_shift_step : integer := 0;
charge_pump_current : integer := 10;
loop_filter_r : string := " 1.0";
loop_filter_c : integer := 0;
pll_compensation_delay : integer := 0;
simulation_type : string := "functional";
lpm_type : string := "cycloneiii_pll";
clk0_use_even_counter_mode : string := "off";
clk1_use_even_counter_mode : string := "off";
clk2_use_even_counter_mode : string := "off";
clk3_use_even_counter_mode : string := "off";
clk4_use_even_counter_mode : string := "off";
clk0_use_even_counter_value : string := "off";
clk1_use_even_counter_value : string := "off";
clk2_use_even_counter_value : string := "off";
clk3_use_even_counter_value : string := "off";
clk4_use_even_counter_value : string := "off";
-- Test only
init_block_reset_a_count : integer := 1;
init_block_reset_b_count : integer := 1;
charge_pump_current_bits : integer := 0;
lock_window_ui_bits : integer := 0;
loop_filter_c_bits : integer := 0;
loop_filter_r_bits : integer := 0;
test_counter_c0_delay_chain_bits : integer := 0;
test_counter_c1_delay_chain_bits : integer := 0;
test_counter_c2_delay_chain_bits : integer := 0;
test_counter_c3_delay_chain_bits : integer := 0;
test_counter_c4_delay_chain_bits : integer := 0;
test_counter_c5_delay_chain_bits : integer := 0;
test_counter_m_delay_chain_bits : integer := 0;
test_counter_n_delay_chain_bits : integer := 0;
test_feedback_comp_delay_chain_bits : integer := 0;
test_input_comp_delay_chain_bits : integer := 0;
test_volt_reg_output_mode_bits : integer := 0;
test_volt_reg_output_voltage_bits : integer := 0;
test_volt_reg_test_mode : string := "false";
vco_range_detector_high_bits : integer := -1;
vco_range_detector_low_bits : integer := -1;
scan_chain_mif_file : string := "";
auto_settings : string := "true";
-- Simulation only generics
family_name : string := "Cyclone III";
-- VITAL generics
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_pfdena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_fbin : VitalDelayType01 := DefPropDelay01;
tipd_scanclk : VitalDelayType01 := DefPropDelay01;
tipd_scanclkena : VitalDelayType01 := DefPropDelay01;
tipd_scandata : VitalDelayType01 := DefPropDelay01;
tipd_configupdate : VitalDelayType01 := DefPropDelay01;
tipd_clkswitch : VitalDelayType01 := DefPropDelay01;
tipd_phaseupdown : VitalDelayType01 := DefPropDelay01;
tipd_phasecounterselect : VitalDelayArrayType01(2 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phasestep : VitalDelayType01 := DefPropDelay01;
tsetup_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
use_vco_bypass : string := "false"
);
PORT
(
inclk : in std_logic_vector(1 downto 0);
fbin : in std_logic := '0';
fbout : out std_logic;
clkswitch : in std_logic := '0';
areset : in std_logic := '0';
pfdena : in std_logic := '1';
scandata : in std_logic := '0';
scanclk : in std_logic := '0';
scanclkena : in std_logic := '1';
configupdate : in std_logic := '0';
clk : out std_logic_vector(4 downto 0);
phasecounterselect : in std_logic_vector(2 downto 0) := "000";
phaseupdown : in std_logic := '0';
phasestep : in std_logic := '0';
clkbad : out std_logic_vector(1 downto 0);
activeclock : out std_logic;
locked : out std_logic;
scandataout : out std_logic;
scandone : out std_logic;
phasedone : out std_logic;
vcooverrange : out std_logic;
vcounderrange : out std_logic
);
END cycloneiii_pll;
ARCHITECTURE vital_pll of cycloneiii_pll is
function get_vco_min_no_division(i_vco_post_scale : INTEGER) return INTEGER is
begin
if (i_vco_post_scale = 1) then
return vco_min * 2;
else
return vco_min;
end if;
end;
function get_vco_max_no_division(i_vco_post_scale : INTEGER) return INTEGER is
begin
if (i_vco_post_scale = 1) then
return vco_max * 2;
else
return vco_max;
end if;
end;
TYPE int_array is ARRAY(NATURAL RANGE <>) of integer;
TYPE str_array is ARRAY(NATURAL RANGE <>) of string(1 to 6);
TYPE str_array1 is ARRAY(NATURAL RANGE <>) of string(1 to 9);
TYPE std_logic_array is ARRAY(NATURAL RANGE <>) of std_logic;
constant VCO_MIN_NO_DIVISION : integer := get_vco_min_no_division(vco_post_scale);
constant VCO_MAX_NO_DIVISION : integer := get_vco_max_no_division(vco_post_scale);
-- internal advanced parameter signals
signal i_vco_min : integer := vco_min;
signal i_vco_max : integer := vco_max;
signal i_vco_center : integer;
signal i_pfd_min : integer;
signal i_pfd_max : integer;
signal c_ph_val : int_array(0 to 4) := (OTHERS => 0);
signal c_ph_val_tmp : int_array(0 to 4) := (OTHERS => 0);
signal c_high_val : int_array(0 to 4) := (OTHERS => 1);
signal c_low_val : int_array(0 to 4) := (OTHERS => 1);
signal c_initial_val : int_array(0 to 4) := (OTHERS => 1);
signal c_mode_val : str_array(0 to 4);
signal clk_num : str_array(0 to 4);
-- old values
signal c_high_val_old : int_array(0 to 4) := (OTHERS => 1);
signal c_low_val_old : int_array(0 to 4) := (OTHERS => 1);
signal c_ph_val_old : int_array(0 to 4) := (OTHERS => 0);
signal c_mode_val_old : str_array(0 to 4);
-- hold registers
signal c_high_val_hold : int_array(0 to 4) := (OTHERS => 1);
signal c_low_val_hold : int_array(0 to 4) := (OTHERS => 1);
signal c_ph_val_hold : int_array(0 to 4) := (OTHERS => 0);
signal c_mode_val_hold : str_array(0 to 4);
-- temp registers
signal sig_c_ph_val_tmp : int_array(0 to 4) := (OTHERS => 0);
signal c_ph_val_orig : int_array(0 to 4) := (OTHERS => 0);
signal real_lock_high : integer := 0;
signal i_clk4_counter : integer := 4;
signal i_clk3_counter : integer := 3;
signal i_clk2_counter : integer := 2;
signal i_clk1_counter : integer := 1;
signal i_clk0_counter : integer := 0;
signal i_charge_pump_current : integer;
signal i_loop_filter_r : integer;
-- end internal advanced parameter signals
-- CONSTANTS
CONSTANT SCAN_CHAIN : integer := 144;
CONSTANT GPP_SCAN_CHAIN : integer := 234;
CONSTANT FAST_SCAN_CHAIN : integer := 180;
CONSTANT cntrs : str_array(4 downto 0) := (" C4", " C3", " C2", " C1", " C0");
CONSTANT ss_cntrs : str_array(0 to 3) := (" M", " M2", " N", " N2");
CONSTANT loop_filter_c_arr : int_array(0 to 3) := (0,0,0,0);
CONSTANT fpll_loop_filter_c_arr : int_array(0 to 3) := (0,0,0,0);
CONSTANT charge_pump_curr_arr : int_array(0 to 15) := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
CONSTANT num_phase_taps : integer := 8;
-- signals
signal vcc : std_logic := '1';
signal fbclk : std_logic;
signal refclk : std_logic;
signal vco_over : std_logic := '0';
signal vco_under : std_logic := '1';
signal pll_locked : boolean := false;
signal c_clk : std_logic_array(0 to 4);
signal vco_out : std_logic_vector(7 downto 0) := (OTHERS => '0');
-- signals to assign values to counter params
signal m_val : integer := 1;
signal n_val : integer := 1;
signal m_ph_val : integer := 0;
signal m_ph_initial : integer := 0;
signal m_ph_val_tmp : integer := 0;
signal m_initial_val : integer := m_initial;
signal m_mode_val : string(1 to 6) := " ";
signal n_mode_val : string(1 to 6) := " ";
signal lfc_val : integer := 0;
signal vco_cur : integer := vco_post_scale;
signal cp_curr_val : integer := 0;
signal lfr_val : string(1 to 2) := " ";
signal cp_curr_old_bit_setting : integer := charge_pump_current_bits;
signal cp_curr_val_bit_setting : std_logic_vector(2 downto 0) := (OTHERS => '0');
signal lfr_old_bit_setting : integer := loop_filter_r_bits;
signal lfr_val_bit_setting : std_logic_vector(4 downto 0) := (OTHERS => '0');
signal lfc_old_bit_setting : integer := loop_filter_c_bits;
signal lfc_val_bit_setting : std_logic_vector(1 downto 0) := (OTHERS => '0');
signal pll_reconfig_display_full_setting : boolean := FALSE; -- display full setting, change to true
-- old values
signal m_val_old : integer := 1;
signal n_val_old : integer := 1;
signal m_mode_val_old : string(1 to 6) := " ";
signal n_mode_val_old : string(1 to 6) := " ";
signal m_ph_val_old : integer := 0;
signal lfc_old : integer := 0;
signal vco_old : integer := 0;
signal cp_curr_old : integer := 0;
signal lfr_old : string(1 to 2) := " ";
signal num_output_cntrs : integer := 5;
signal scanclk_period : time := 1 ps;
signal scan_data : std_logic_vector(0 to 143) := (OTHERS => '0');
signal clk_pfd : std_logic_vector(0 to 4);
signal clk0_tmp : std_logic;
signal clk1_tmp : std_logic;
signal clk2_tmp : std_logic;
signal clk3_tmp : std_logic;
signal clk4_tmp : std_logic;
signal update_conf_latches : std_logic := '0';
signal update_conf_latches_reg : std_logic := '0';
signal clkin : std_logic := '0';
signal gate_locked : std_logic := '0';
signal pfd_locked : std_logic := '0';
signal lock : std_logic := '0';
signal about_to_lock : boolean := false;
signal reconfig_err : boolean := false;
signal inclk_c0 : std_logic;
signal inclk_c1 : std_logic;
signal inclk_c2 : std_logic;
signal inclk_c3 : std_logic;
signal inclk_c4 : std_logic;
signal inclk_m : std_logic;
signal devpor : std_logic;
signal devclrn : std_logic;
signal inclk0_ipd : std_logic;
signal inclk1_ipd : std_logic;
signal pfdena_ipd : std_logic;
signal areset_ipd : std_logic;
signal fbin_ipd : std_logic;
signal scanclk_ipd : std_logic;
signal scanclkena_ipd, scanclkena_reg : std_logic;
signal scandata_ipd : std_logic;
signal clkswitch_ipd : std_logic;
signal phasecounterselect_ipd : std_logic_vector(2 downto 0);
signal phaseupdown_ipd : std_logic;
signal phasestep_ipd : std_logic;
signal configupdate_ipd : std_logic;
-- registered signals
signal sig_offset : time := 0 ps;
signal sig_refclk_time : time := 0 ps;
signal sig_fbclk_period : time := 0 ps;
signal sig_vco_period_was_phase_adjusted : boolean := false;
signal sig_phase_adjust_was_scheduled : boolean := false;
signal sig_stop_vco : std_logic := '0';
signal sig_m_times_vco_period : time := 0 ps;
signal sig_new_m_times_vco_period : time := 0 ps;
signal sig_got_refclk_posedge : boolean := false;
signal sig_got_fbclk_posedge : boolean := false;
signal sig_got_second_refclk : boolean := false;
signal m_delay : integer := 0;
signal n_delay : integer := 0;
signal inclk1_tmp : std_logic := '0';
signal reset_low : std_logic := '0';
-- Phase Reconfig
SIGNAL phasecounterselect_reg : std_logic_vector(2 DOWNTO 0);
SIGNAL phaseupdown_reg : std_logic := '0';
SIGNAL phasestep_reg : std_logic := '0';
SIGNAL phasestep_high_count : integer := 0;
SIGNAL update_phase : std_logic := '0';
signal scandataout_tmp : std_logic := '0';
signal scandata_in : std_logic := '0';
signal scandata_out : std_logic := '0';
signal scandone_tmp : std_logic := '1';
signal initiate_reconfig : std_logic := '0';
signal sig_refclk_period : time := (inclk0_input_frequency * 1 ps) * n;
signal schedule_vco : std_logic := '0';
signal areset_ena_sig : std_logic := '0';
signal pll_in_test_mode : boolean := false;
signal pll_has_just_been_reconfigured : boolean := false;
signal inclk_c_from_vco : std_logic_array(0 to 4);
signal inclk_m_from_vco : std_logic;
SIGNAL inclk0_period : time := 0 ps;
SIGNAL last_inclk0_period : time := 0 ps;
SIGNAL last_inclk0_edge : time := 0 ps;
SIGNAL first_inclk0_edge_detect : STD_LOGIC := '0';
SIGNAL inclk1_period : time := 0 ps;
SIGNAL last_inclk1_period : time := 0 ps;
SIGNAL last_inclk1_edge : time := 0 ps;
SIGNAL first_inclk1_edge_detect : STD_LOGIC := '0';
COMPONENT cycloneiii_mn_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END COMPONENT;
COMPONENT cycloneiii_scale_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0
);
END COMPONENT;
COMPONENT cycloneiii_dffe
GENERIC(
TimingChecksOn: Boolean := true;
InstancePath: STRING := "*";
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
COMPONENT cycloneiii_pll_reg
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (inclk0_ipd, inclk(0), tipd_inclk(0));
VitalWireDelay (inclk1_ipd, inclk(1), tipd_inclk(1));
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (pfdena_ipd, pfdena, tipd_pfdena);
VitalWireDelay (scanclk_ipd, scanclk, tipd_scanclk);
VitalWireDelay (scanclkena_ipd, scanclkena, tipd_scanclkena);
VitalWireDelay (scandata_ipd, scandata, tipd_scandata);
VitalWireDelay (configupdate_ipd, configupdate, tipd_configupdate);
VitalWireDelay (clkswitch_ipd, clkswitch, tipd_clkswitch);
VitalWireDelay (phaseupdown_ipd, phaseupdown, tipd_phaseupdown);
VitalWireDelay (phasestep_ipd, phasestep, tipd_phasestep);
VitalWireDelay (phasecounterselect_ipd(0), phasecounterselect(0), tipd_phasecounterselect(0));
VitalWireDelay (phasecounterselect_ipd(1), phasecounterselect(1), tipd_phasecounterselect(1));
VitalWireDelay (phasecounterselect_ipd(2), phasecounterselect(2), tipd_phasecounterselect(2));
end block;
inclk_m <= fbclk when m_test_source = 0 else
refclk when m_test_source = 1 else
inclk_m_from_vco;
areset_ena_sig <= areset_ipd or sig_stop_vco;
pll_in_test_mode <= true when (m_test_source /= -1 or c0_test_source /= -1 or
c1_test_source /= -1 or c2_test_source /= -1 or
c3_test_source /= -1 or c4_test_source /= -1)
else false;
real_lock_high <= lock_high WHEN (sim_gate_lock_device_behavior = "on") ELSE 0;
m1 : cycloneiii_mn_cntr
port map ( clk => inclk_m,
reset => areset_ena_sig,
cout => fbclk,
initial_value => m_initial_val,
modulus => m_val,
time_delay => m_delay
);
-- add delta delay to inclk1 to ensure inclk0 and inclk1 are processed
-- in different simulation deltas.
inclk1_tmp <= inclk1_ipd;
-- Calculate the inclk0 period
PROCESS
VARIABLE inclk0_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (inclk0_ipd'EVENT AND inclk0_ipd = '1');
IF (first_inclk0_edge_detect = '0') THEN
first_inclk0_edge_detect <= '1';
ELSE
last_inclk0_period <= inclk0_period;
inclk0_period_tmp := NOW - last_inclk0_edge;
END IF;
last_inclk0_edge <= NOW;
inclk0_period <= inclk0_period_tmp;
END PROCESS;
-- Calculate the inclk1 period
PROCESS
VARIABLE inclk1_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (inclk1_ipd'EVENT AND inclk1_ipd = '1');
IF (first_inclk1_edge_detect = '0') THEN
first_inclk1_edge_detect <= '1';
ELSE
last_inclk1_period <= inclk1_period;
inclk1_period_tmp := NOW - last_inclk1_edge;
END IF;
last_inclk1_edge <= NOW;
inclk1_period <= inclk1_period_tmp;
END PROCESS;
process (inclk0_ipd, inclk1_tmp, clkswitch_ipd)
variable input_value : std_logic := '0';
variable current_clock : integer := 0;
variable clk0_count, clk1_count : integer := 0;
variable clk0_is_bad, clk1_is_bad : std_logic := '0';
variable primary_clk_is_bad : boolean := false;
variable current_clk_is_bad : boolean := false;
variable got_curr_clk_falling_edge_after_clkswitch : boolean := false;
variable switch_over_count : integer := 0;
variable active_clock : std_logic := '0';
variable external_switch : boolean := false;
variable diff_percent_period : integer := 0;
variable buf : line;
variable switch_clock : boolean := false;
begin
if (now = 0 ps) then
if (switch_over_type = "manual" and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
end if;
end if;
if (clkswitch_ipd'event and clkswitch_ipd = '1' and switch_over_type = "auto") then
external_switch := true;
elsif (switch_over_type = "manual") then
if (clkswitch_ipd'event and clkswitch_ipd = '1') then
switch_clock := true;
elsif (clkswitch_ipd'event and clkswitch_ipd = '0') then
switch_clock := false;
end if;
end if;
if (switch_clock = true) then
if (inclk0_ipd'event or inclk1_tmp'event) then
if (current_clock = 0) then
current_clock := 1;
active_clock := '1';
clkin <= transport inclk1_tmp;
elsif (current_clock = 1) then
current_clock := 0;
active_clock := '0';
clkin <= transport inclk0_ipd;
end if;
switch_clock := false;
end if;
end if;
-- save the current inclk event value
if (inclk0_ipd'event) then
input_value := inclk0_ipd;
elsif (inclk1_tmp'event) then
input_value := inclk1_tmp;
end if;
-- check if either input clk is bad
if (inclk0_ipd'event and inclk0_ipd = '1') then
clk0_count := clk0_count + 1;
clk0_is_bad := '0';
clk1_count := 0;
if (clk0_count > 2) then
-- no event on other clk for 2 cycles
clk1_is_bad := '1';
if (current_clock = 1) then
current_clk_is_bad := true;
end if;
end if;
end if;
if (inclk1_tmp'event and inclk1_tmp = '1') then
clk1_count := clk1_count + 1;
clk1_is_bad := '0';
clk0_count := 0;
if (clk1_count > 2) then
-- no event on other clk for 2 cycles
clk0_is_bad := '1';
if (current_clock = 0) then
current_clk_is_bad := true;
end if;
end if;
end if;
-- check if the bad clk is the primary clock
if (clk0_is_bad = '1') then
primary_clk_is_bad := true;
else
primary_clk_is_bad := false;
end if;
-- actual switching
if (inclk0_ipd'event and current_clock = 0) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk0_ipd = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk0_ipd;
end if;
else
clkin <= transport inclk0_ipd;
end if;
elsif (inclk1_tmp'event and current_clock = 1) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk1_tmp = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk1_tmp;
end if;
else
clkin <= transport inclk1_tmp;
end if;
else
if (input_value = '1' and enable_switch_over_counter = "on" and primary_clk_is_bad) then
switch_over_count := switch_over_count + 1;
end if;
if ((input_value = '0')) then
if (external_switch and (got_curr_clk_falling_edge_after_clkswitch or current_clk_is_bad)) or (primary_clk_is_bad and clkswitch_ipd /= '1' and (enable_switch_over_counter = "off" or switch_over_count = switch_over_counter)) then
got_curr_clk_falling_edge_after_clkswitch := false;
if (areset_ipd = '0') then
if ((inclk0_period > inclk1_period) and (inclk1_period /= 0 ps)) then
diff_percent_period := (( inclk0_period - inclk1_period ) * 100) / inclk1_period;
elsif (inclk0_period /= 0 ps) then
diff_percent_period := (( inclk1_period - inclk0_period ) * 100) / inclk0_period;
end if;
if((diff_percent_period > 20)and ( switch_over_type = "auto")) then
WRITE(buf,string'("Warning : The input clock frequencies specified for the specified PLL are too far apart for auto-switch-over feature to work properly. Please make sure that the clock frequencies are 20 percent apart for correct functionality."));
writeline(output, buf);
end if;
end if;
if (current_clock = 0) then
current_clock := 1;
else
current_clock := 0;
end if;
active_clock := not active_clock;
switch_over_count := 0;
external_switch := false;
current_clk_is_bad := false;
else
if(switch_over_type = "auto") then
if(current_clock = 0 and clk0_is_bad = '1' and clk1_is_bad = '0' ) then
current_clock := 1;
active_clock := not active_clock;
end if;
if(current_clock = 1 and clk0_is_bad = '0' and clk1_is_bad = '1' ) then
current_clock := 0;
active_clock := not active_clock;
end if;
end if;
end if;
end if;
end if;
-- schedule outputs
clkbad(0) <= clk0_is_bad;
clkbad(1) <= clk1_is_bad;
activeclock <= active_clock;
end process;
n1 : cycloneiii_mn_cntr
port map (
clk => clkin,
reset => areset_ipd,
cout => refclk,
initial_value => n_val,
modulus => n_val);
inclk_c0 <= refclk when c0_test_source = 1 else
fbclk when c0_test_source = 0 else
inclk_c_from_vco(0);
c0 : cycloneiii_scale_cntr
port map (
clk => inclk_c0,
reset => areset_ena_sig,
cout => c_clk(0),
initial => c_initial_val(0),
high => c_high_val(0),
low => c_low_val(0),
mode => c_mode_val(0),
ph_tap => c_ph_val(0));
inclk_c1 <= refclk when c1_test_source = 1 else
fbclk when c1_test_source = 0 else
c_clk(0) when c1_use_casc_in = "on" else
inclk_c_from_vco(1);
c1 : cycloneiii_scale_cntr
port map (
clk => inclk_c1,
reset => areset_ena_sig,
cout => c_clk(1),
initial => c_initial_val(1),
high => c_high_val(1),
low => c_low_val(1),
mode => c_mode_val(1),
ph_tap => c_ph_val(1));
inclk_c2 <= refclk when c2_test_source = 1 else
fbclk when c2_test_source = 0 else
c_clk(1) when c2_use_casc_in = "on" else
inclk_c_from_vco(2);
c2 : cycloneiii_scale_cntr
port map (
clk => inclk_c2,
reset => areset_ena_sig,
cout => c_clk(2),
initial => c_initial_val(2),
high => c_high_val(2),
low => c_low_val(2),
mode => c_mode_val(2),
ph_tap => c_ph_val(2));
inclk_c3 <= refclk when c3_test_source = 1 else
fbclk when c3_test_source = 0 else
c_clk(2) when c3_use_casc_in = "on" else
inclk_c_from_vco(3);
c3 : cycloneiii_scale_cntr
port map (
clk => inclk_c3,
reset => areset_ena_sig,
cout => c_clk(3),
initial => c_initial_val(3),
high => c_high_val(3),
low => c_low_val(3),
mode => c_mode_val(3),
ph_tap => c_ph_val(3));
inclk_c4 <= refclk when c4_test_source = 1 else
fbclk when c4_test_source = 0 else
c_clk(3) when (c4_use_casc_in = "on") else
inclk_c_from_vco(4);
c4 : cycloneiii_scale_cntr
port map (
clk => inclk_c4,
reset => areset_ena_sig,
cout => c_clk(4),
initial => c_initial_val(4),
high => c_high_val(4),
low => c_low_val(4),
mode => c_mode_val(4),
ph_tap => c_ph_val(4));
process(scandone_tmp, lock)
begin
if (scandone_tmp'event and (scandone_tmp = '1')) then
pll_has_just_been_reconfigured <= true;
elsif (lock'event and (lock = '1')) then
pll_has_just_been_reconfigured <= false;
end if;
end process;
process(inclk_c0, inclk_c1, areset_ipd, sig_stop_vco)
variable c0_got_first_rising_edge : boolean := false;
variable c0_count : integer := 2;
variable c0_initial_count : integer := 1;
variable c0_tmp, c1_tmp : std_logic := '0';
variable c1_got_first_rising_edge : boolean := false;
variable c1_count : integer := 2;
variable c1_initial_count : integer := 1;
begin
if (areset_ipd = '1' or sig_stop_vco = '1') then
c0_count := 2;
c1_count := 2;
c0_initial_count := 1;
c1_initial_count := 1;
c0_got_first_rising_edge := false;
c1_got_first_rising_edge := false;
else
if (not c0_got_first_rising_edge) then
if (inclk_c0'event and inclk_c0 = '1') then
if (c0_initial_count = c_initial_val(0)) then
c0_got_first_rising_edge := true;
else
c0_initial_count := c0_initial_count + 1;
end if;
end if;
elsif (inclk_c0'event) then
c0_count := c0_count + 1;
if (c0_count = (c_high_val(0) + c_low_val(0)) * 2) then
c0_count := 1;
end if;
end if;
if (inclk_c0'event and inclk_c0 = '0') then
if (c0_count = 1) then
c0_tmp := '1';
c0_got_first_rising_edge := false;
else
c0_tmp := '0';
end if;
end if;
if (not c1_got_first_rising_edge) then
if (inclk_c1'event and inclk_c1 = '1') then
if (c1_initial_count = c_initial_val(1)) then
c1_got_first_rising_edge := true;
else
c1_initial_count := c1_initial_count + 1;
end if;
end if;
elsif (inclk_c1'event) then
c1_count := c1_count + 1;
if (c1_count = (c_high_val(1) + c_low_val(1)) * 2) then
c1_count := 1;
end if;
end if;
if (inclk_c1'event and inclk_c1 = '0') then
if (c1_count = 1) then
c1_tmp := '1';
c1_got_first_rising_edge := false;
else
c1_tmp := '0';
end if;
end if;
end if;
end process;
locked <= pfd_locked WHEN (test_bypass_lock_detect = "on") ELSE
lock;
process (scandone_tmp)
variable buf : line;
begin
if (scandone_tmp'event and scandone_tmp = '1') then
if (reconfig_err = false) then
ASSERT false REPORT "PLL Reprogramming completed with the following values (Values in parantheses indicate values before reprogramming) :" severity note;
write (buf, string'(" N modulus = "));
write (buf, n_val);
write (buf, string'(" ( "));
write (buf, n_val_old);
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M modulus = "));
write (buf, m_val);
write (buf, string'(" ( "));
write (buf, m_val_old);
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M ph_tap = "));
write (buf, m_ph_val);
write (buf, string'(" ( "));
write (buf, m_ph_val_old);
write (buf, string'(" )"));
writeline (output, buf);
for i in 0 to (num_output_cntrs-1) loop
write (buf, clk_num(i));
write (buf, string'(" : "));
write (buf, cntrs(i));
write (buf, string'(" : high = "));
write (buf, c_high_val(i));
write (buf, string'(" ("));
write (buf, c_high_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , low = "));
write (buf, c_low_val(i));
write (buf, string'(" ("));
write (buf, c_low_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , mode = "));
write (buf, c_mode_val(i));
write (buf, string'(" ("));
write (buf, c_mode_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , phase tap = "));
write (buf, c_ph_val(i));
write (buf, string'(" ("));
write (buf, c_ph_val_old(i));
write (buf, string'(") "));
writeline(output, buf);
end loop;
IF (pll_reconfig_display_full_setting) THEN
write (buf, string'(" Charge Pump Current (uA) = "));
write (buf, cp_curr_val);
write (buf, string'(" ( "));
write (buf, cp_curr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (pF) = "));
write (buf, lfc_val);
write (buf, string'(" ( "));
write (buf, lfc_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (Kohm) = "));
write (buf, lfr_val);
write (buf, string'(" ( "));
write (buf, lfr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" VCO_Post_Scale = "));
write (buf, vco_cur);
write (buf, string'(" ( "));
write (buf, vco_old);
write (buf, string'(" ) "));
writeline (output, buf);
ELSE
write (buf, string'(" Charge Pump Current (bit setting) = "));
write (buf, alt_conv_integer(cp_curr_val_bit_setting));
write (buf, string'(" ( "));
write (buf, cp_curr_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (bit setting) = "));
write (buf, alt_conv_integer(lfc_val_bit_setting));
write (buf, string'(" ( "));
write (buf, lfc_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (bit setting) = "));
write (buf, alt_conv_integer(lfr_val_bit_setting));
write (buf, string'(" ( "));
write (buf, lfr_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" VCO_Post_Scale = "));
write (buf, vco_cur);
write (buf, string'(" ( "));
write (buf, vco_old);
write (buf, string'(" ) "));
writeline (output, buf);
END IF;
cp_curr_old_bit_setting <= alt_conv_integer(cp_curr_val_bit_setting);
lfc_old_bit_setting <= alt_conv_integer(lfc_val_bit_setting);
lfr_old_bit_setting <= alt_conv_integer(lfr_val_bit_setting);
else ASSERT false REPORT "Errors were encountered during PLL reprogramming. Please refer to error/warning messages above." severity warning;
end if;
end if;
end process;
update_conf_latches <= configupdate_ipd;
process (scandone_tmp,areset_ipd,update_conf_latches, c_clk(0), c_clk(1), c_clk(2), c_clk(3), c_clk(4), vco_out, fbclk, scanclk_ipd)
variable init : boolean := true;
variable low, high : std_logic_vector(7 downto 0);
variable low_fast, high_fast : std_logic_vector(3 downto 0);
variable mode : string(1 to 6) := "bypass";
variable is_error : boolean := false;
variable m_tmp, n_tmp : std_logic_vector(8 downto 0);
variable lfr_val_tmp : string(1 to 2) := " ";
variable c_high_val_tmp,c_hval : int_array(0 to 4) := (OTHERS => 1);
variable c_low_val_tmp,c_lval : int_array(0 to 4) := (OTHERS => 1);
variable c_mode_val_tmp : str_array(0 to 4);
variable m_val_tmp : integer := 0;
variable c0_rising_edge_transfer_done : boolean := false;
variable c1_rising_edge_transfer_done : boolean := false;
variable c2_rising_edge_transfer_done : boolean := false;
variable c3_rising_edge_transfer_done : boolean := false;
variable c4_rising_edge_transfer_done : boolean := false;
-- variables for scaling of multiply_by and divide_by values
variable i_clk0_mult_by : integer := 1;
variable i_clk0_div_by : integer := 1;
variable i_clk1_mult_by : integer := 1;
variable i_clk1_div_by : integer := 1;
variable i_clk2_mult_by : integer := 1;
variable i_clk2_div_by : integer := 1;
variable i_clk3_mult_by : integer := 1;
variable i_clk3_div_by : integer := 1;
variable i_clk4_mult_by : integer := 1;
variable i_clk4_div_by : integer := 1;
variable max_d_value : integer := 1;
variable new_multiplier : integer := 1;
-- internal variables for storing the phase shift number.(used in lvds mode only)
variable i_clk0_phase_shift : integer := 1;
variable i_clk1_phase_shift : integer := 1;
variable i_clk2_phase_shift : integer := 1;
-- user to advanced variables
variable max_neg_abs : integer := 0;
variable i_m_initial : integer;
variable i_m : integer := 1;
variable i_n : integer := 1;
variable i_c_high : int_array(0 to 4);
variable i_c_low : int_array(0 to 4);
variable i_c_initial : int_array(0 to 4);
variable i_c_ph : int_array(0 to 4);
variable i_c_mode : str_array(0 to 4);
variable i_m_ph : integer;
variable output_count : integer;
variable new_divisor : integer;
variable clk0_cntr : string(1 to 6) := " c0";
variable clk1_cntr : string(1 to 6) := " c1";
variable clk2_cntr : string(1 to 6) := " c2";
variable clk3_cntr : string(1 to 6) := " c3";
variable clk4_cntr : string(1 to 6) := " c4";
variable fbk_cntr : string(1 to 2);
variable fbk_cntr_index : integer;
variable start_bit : integer;
variable quiet_time : time := 0 ps;
variable slowest_clk_old : time := 0 ps;
variable slowest_clk_new : time := 0 ps;
variable i : integer := 0;
variable j : integer := 0;
variable scanread_active_edge : time := 0 ps;
variable got_first_scanclk : boolean := false;
variable scanclk_last_rising_edge : time := 0 ps;
variable current_scan_data : std_logic_vector(0 to 143) := (OTHERS => '0');
variable index : integer := 0;
variable Tviol_scandata_scanclk : std_ulogic := '0';
variable TimingData_scandata_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_scanclkena_scanclk : std_ulogic := '0';
variable TimingData_scanclkena_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable scan_chain_length : integer := GPP_SCAN_CHAIN;
variable tmp_rem : integer := 0;
variable scanclk_cycles : integer := 0;
variable lfc_tmp : std_logic_vector(1 downto 0);
variable lfr_tmp : std_logic_vector(5 downto 0);
variable lfr_int : integer := 0;
variable n_hi,n_lo,m_hi,m_lo : std_logic_vector(7 downto 0);
variable buf : line;
variable buf_scan_data : STD_LOGIC_VECTOR(0 TO 1) := (OTHERS => '0');
variable buf_scan_data_2 : STD_LOGIC_VECTOR(0 TO 2) := (OTHERS => '0');
function slowest_clk (
C0 : integer; C0_mode : string(1 to 6);
C1 : integer; C1_mode : string(1 to 6);
C2 : integer; C2_mode : string(1 to 6);
C3 : integer; C3_mode : string(1 to 6);
C4 : integer; C4_mode : string(1 to 6);
C5 : integer; C5_mode : string(1 to 6);
C6 : integer; C6_mode : string(1 to 6);
C7 : integer; C7_mode : string(1 to 6);
C8 : integer; C8_mode : string(1 to 6);
C9 : integer; C9_mode : string(1 to 6);
refclk : time; m_mod : integer) return time is
variable max_modulus : integer := 1;
variable q_period : time := 0 ps;
variable refclk_int : integer := 0;
begin
if (C0_mode /= "bypass" and C0_mode /= " off") then
max_modulus := C0;
end if;
if (C1 > max_modulus and C1_mode /= "bypass" and C1_mode /= " off") then
max_modulus := C1;
end if;
if (C2 > max_modulus and C2_mode /= "bypass" and C2_mode /= " off") then
max_modulus := C2;
end if;
if (C3 > max_modulus and C3_mode /= "bypass" and C3_mode /= " off") then
max_modulus := C3;
end if;
if (C4 > max_modulus and C4_mode /= "bypass" and C4_mode /= " off") then
max_modulus := C4;
end if;
if (C5 > max_modulus and C5_mode /= "bypass" and C5_mode /= " off") then
max_modulus := C5;
end if;
if (C6 > max_modulus and C6_mode /= "bypass" and C6_mode /= " off") then
max_modulus := C6;
end if;
if (C7 > max_modulus and C7_mode /= "bypass" and C7_mode /= " off") then
max_modulus := C7;
end if;
if (C8 > max_modulus and C8_mode /= "bypass" and C8_mode /= " off") then
max_modulus := C8;
end if;
if (C9 > max_modulus and C9_mode /= "bypass" and C9_mode /= " off") then
max_modulus := C9;
end if;
refclk_int := refclk / 1 ps;
if (m_mod /= 0) then
q_period := (refclk_int * max_modulus / m_mod) * 1 ps;
end if;
return (2*q_period);
end slowest_clk;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function extract_cntr_string (arg:string) return string is
variable str : string(1 to 6) := " c0";
begin
if (arg = "c0") then
str := " c0";
elsif (arg = "c1") then
str := " c1";
elsif (arg = "c2") then
str := " c2";
elsif (arg = "c3") then
str := " c3";
elsif (arg = "c4") then
str := " c4";
elsif (arg = "c5") then
str := " c5";
elsif (arg = "c6") then
str := " c6";
elsif (arg = "c7") then
str := " c7";
elsif (arg = "c8") then
str := " c8";
elsif (arg = "c9") then
str := " c9";
else str := " c0";
end if;
return str;
end extract_cntr_string;
function extract_cntr_index (arg:string) return integer is
variable index : integer := 0;
begin
if (arg(6) = '0') then
index := 0;
elsif (arg(6) = '1') then
index := 1;
elsif (arg(6) = '2') then
index := 2;
elsif (arg(6) = '3') then
index := 3;
elsif (arg(6) = '4') then
index := 4;
elsif (arg(6) = '5') then
index := 5;
elsif (arg(6) = '6') then
index := 6;
elsif (arg(6) = '7') then
index := 7;
elsif (arg(6) = '8') then
index := 8;
else index := 9;
end if;
return index;
end extract_cntr_index;
function output_cntr_num (arg:string) return string is
variable str : string(1 to 6) := "unused";
begin
if (arg = "c0") then
str := " clk0";
elsif (arg = "c1") then
str := " clk1";
elsif (arg = "c2") then
str := " clk2";
elsif (arg = "c3") then
str := " clk3";
elsif (arg = "c4") then
str := " clk4";
elsif (arg = "c5") then
str := " clk5";
elsif (arg = "c6") then
str := " clk6";
elsif (arg = "c7") then
str := " clk7";
elsif (arg = "c8") then
str := " clk8";
elsif (arg = "c9") then
str := " clk9";
else str := "unused";
end if;
return str;
end output_cntr_num;
begin
IF (areset_ipd'EVENT AND areset_ipd = '1') then
c_ph_val <= i_c_ph;
END IF;
if (init) then
if (m = 0) then
clk4_cntr := " c4";
clk3_cntr := " c3";
clk2_cntr := " c2";
clk1_cntr := " c1";
clk0_cntr := " c0";
else
clk4_cntr := extract_cntr_string(clk4_counter);
clk3_cntr := extract_cntr_string(clk3_counter);
clk2_cntr := extract_cntr_string(clk2_counter);
clk1_cntr := extract_cntr_string(clk1_counter);
clk0_cntr := extract_cntr_string(clk0_counter);
end if;
clk_num(4) <= output_cntr_num(clk4_counter);
clk_num(3) <= output_cntr_num(clk3_counter);
clk_num(2) <= output_cntr_num(clk2_counter);
clk_num(1) <= output_cntr_num(clk1_counter);
clk_num(0) <= output_cntr_num(clk0_counter);
i_clk0_counter <= extract_cntr_index(clk0_cntr);
i_clk1_counter <= extract_cntr_index(clk1_cntr);
i_clk2_counter <= extract_cntr_index(clk2_cntr);
i_clk3_counter <= extract_cntr_index(clk3_cntr);
i_clk4_counter <= extract_cntr_index(clk4_cntr);
if (m = 0) then -- convert user parameters to advanced
-- set the limit of the divide_by value that can be returned by
-- the following function.
max_d_value := 500;
-- scale down the multiply_by and divide_by values provided by the design
-- before attempting to use them in the calculations below
find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by,
max_d_value, i_clk0_mult_by, i_clk0_div_by);
find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by,
max_d_value, i_clk1_mult_by, i_clk1_div_by);
find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by,
max_d_value, i_clk2_mult_by, i_clk2_div_by);
find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by,
max_d_value, i_clk3_mult_by, i_clk3_div_by);
find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by,
max_d_value, i_clk4_mult_by, i_clk4_div_by);
if (vco_frequency_control = "manual_phase") then
find_m_and_n_4_manual_phase(inclk0_input_frequency, vco_phase_shift_step,
i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by,
1,1,1,1,1,
i_clk0_div_by, i_clk1_div_by,
i_clk2_div_by, i_clk3_div_by,
i_clk4_div_by,
1,1,1,1,1,
clk0_counter, clk1_counter,
clk2_counter, clk3_counter,
clk4_counter,
"unused","unused","unused","unused","unused",
i_m, i_n);
elsif (((pll_type = "fast") or (pll_type = "lvds") OR (pll_type = "left_right")) and ((vco_multiply_by /= 0) and (vco_divide_by /= 0))) then
i_n := vco_divide_by;
i_m := vco_multiply_by;
else
i_n := 1;
if (((pll_type = "fast") or (pll_type = "left_right")) and (compensate_clock = "lvdsclk")) then
i_m := i_clk0_mult_by;
else
i_m := lcm (i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by,
1,1,1,1,1,
inclk0_input_frequency);
end if;
end if;
if (pll_type = "flvds") then
-- Need to readjust phase shift values when the clock multiply value has been readjusted.
new_multiplier := clk0_multiply_by / i_clk0_mult_by;
i_clk0_phase_shift := str2int(clk0_phase_shift) * new_multiplier;
i_clk1_phase_shift := str2int(clk1_phase_shift) * new_multiplier;
i_clk2_phase_shift := str2int(clk2_phase_shift) * new_multiplier;
else
i_clk0_phase_shift := str2int(clk0_phase_shift);
i_clk1_phase_shift := str2int(clk1_phase_shift);
i_clk2_phase_shift := str2int(clk2_phase_shift);
end if;
max_neg_abs := maxnegabs(i_clk0_phase_shift,
i_clk1_phase_shift,
i_clk2_phase_shift,
str2int(clk3_phase_shift),
str2int(clk4_phase_shift),
0,
0,
0,
0,
0
);
i_m_ph := counter_ph(get_phase_degree(max_neg_abs,inclk0_input_frequency), i_m, i_n);
i_c_ph(0) := counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(1) := counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(2) := counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(3) := counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(4) := counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_high(0) := counter_high(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_high(1) := counter_high(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_high(2) := counter_high(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_high(3) := counter_high(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_high(4) := counter_high(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_low(0) := counter_low(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_low(1) := counter_low(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_low(2) := counter_low(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_low(3) := counter_low(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_low(4) := counter_low(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_m_initial := counter_initial(get_phase_degree(max_neg_abs, inclk0_input_frequency), i_m,i_n);
i_c_initial(0) := counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(1) := counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(2) := counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(3) := counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(4) := counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_mode(0) := counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n));
i_c_mode(1) := counter_mode(clk1_duty_cycle, output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n));
i_c_mode(2) := counter_mode(clk2_duty_cycle, output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n));
i_c_mode(3) := counter_mode(clk3_duty_cycle, output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n));
i_c_mode(4) := counter_mode(clk4_duty_cycle, output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n));
else -- m /= 0
i_n := n;
i_m := m;
i_m_initial := m_initial;
i_m_ph := m_ph;
i_c_ph(0) := c0_ph;
i_c_ph(1) := c1_ph;
i_c_ph(2) := c2_ph;
i_c_ph(3) := c3_ph;
i_c_ph(4) := c4_ph;
i_c_high(0) := c0_high;
i_c_high(1) := c1_high;
i_c_high(2) := c2_high;
i_c_high(3) := c3_high;
i_c_high(4) := c4_high;
i_c_low(0) := c0_low;
i_c_low(1) := c1_low;
i_c_low(2) := c2_low;
i_c_low(3) := c3_low;
i_c_low(4) := c4_low;
i_c_initial(0) := c0_initial;
i_c_initial(1) := c1_initial;
i_c_initial(2) := c2_initial;
i_c_initial(3) := c3_initial;
i_c_initial(4) := c4_initial;
i_c_mode(0) := translate_string(c0_mode);
i_c_mode(1) := translate_string(c1_mode);
i_c_mode(2) := translate_string(c2_mode);
i_c_mode(3) := translate_string(c3_mode);
i_c_mode(4) := translate_string(c4_mode);
end if; -- user to advanced conversion.
m_initial_val <= i_m_initial;
n_val <= i_n;
m_val <= i_m;
if (i_m = 1) then
m_mode_val <= "bypass";
else
m_mode_val <= " ";
end if;
if (i_n = 1) then
n_mode_val <= "bypass";
else
n_mode_val <= " ";
end if;
m_ph_val <= i_m_ph;
m_ph_initial <= i_m_ph;
m_val_tmp := i_m;
for i in 0 to 4 loop
if (i_c_mode(i) = "bypass") then
if (pll_type = "fast" or pll_type = "lvds" OR (pll_type = "left_right")) then
i_c_high(i) := 16;
i_c_low(i) := 16;
else
i_c_high(i) := 256;
i_c_low(i) := 256;
end if;
end if;
c_ph_val(i) <= i_c_ph(i);
c_initial_val(i) <= i_c_initial(i);
c_high_val(i) <= i_c_high(i);
c_low_val(i) <= i_c_low(i);
c_mode_val(i) <= i_c_mode(i);
c_high_val_tmp(i) := i_c_high(i);
c_hval(i) := i_c_high(i);
c_low_val_tmp(i) := i_c_low(i);
c_lval(i) := i_c_low(i);
c_mode_val_tmp(i) := i_c_mode(i);
c_ph_val_orig(i) <= i_c_ph(i);
c_high_val_hold(i) <= i_c_high(i);
c_low_val_hold(i) <= i_c_low(i);
c_mode_val_hold(i) <= i_c_mode(i);
end loop;
scan_chain_length := SCAN_CHAIN;
num_output_cntrs <= 5;
init := false;
elsif (scandone_tmp'EVENT AND scandone_tmp = '1') then
c0_rising_edge_transfer_done := false;
c1_rising_edge_transfer_done := false;
c2_rising_edge_transfer_done := false;
c3_rising_edge_transfer_done := false;
c4_rising_edge_transfer_done := false;
update_conf_latches_reg <= '0';
elsif (update_conf_latches'event and update_conf_latches = '1') then
initiate_reconfig <= '1';
elsif (areset_ipd'event AND areset_ipd = '1') then
if (scandone_tmp = '0') then scandone_tmp <= '1' AFTER scanclk_period; end if;
elsif (scanclk_ipd'event and scanclk_ipd = '1') then
IF (initiate_reconfig = '1') THEN
initiate_reconfig <= '0';
ASSERT false REPORT "PLL Reprogramming Initiated" severity note;
update_conf_latches_reg <= update_conf_latches;
reconfig_err <= false;
scandone_tmp <= '0';
cp_curr_old <= cp_curr_val;
lfc_old <= lfc_val;
lfr_old <= lfr_val;
vco_old <= vco_cur;
-- LF unused : bit 0,1
-- LF Capacitance : bits 2,3 : all values are legal
buf_scan_data := scan_data(2 TO 3);
IF ((pll_type = "fast") OR (pll_type = "lvds") OR (pll_type = "left_right")) THEN
lfc_val <= fpll_loop_filter_c_arr(alt_conv_integer(buf_scan_data));
ELSE
lfc_val <= loop_filter_c_arr(alt_conv_integer(buf_scan_data));
END IF;
-- LF Resistance : bits 4-8
-- valid values - 00000,00100,10000,10100,11000,11011,11100,11110
IF (scan_data(4 TO 8) = "00000") THEN
lfr_val <= "20";
ELSIF (scan_data(4 TO 8) = "00100") THEN
lfr_val <= "16";
ELSIF (scan_data(4 TO 8) = "10000") THEN
lfr_val <= "12";
ELSIF (scan_data(4 TO 8) = "10100") THEN
lfr_val <= "08";
ELSIF (scan_data(4 TO 8) = "11000") THEN
lfr_val <= "06";
ELSIF (scan_data(4 TO 8) = "11011") THEN
lfr_val <= "04";
ELSIF (scan_data(4 TO 8) = "11100") THEN
lfr_val <= "02";
ELSE
lfr_val <= "01";
END IF;
-- VCO post scale assignment
if (scan_data(9) = '1') then -- vco_post_scale = 1
i_vco_max <= VCO_MAX_NO_DIVISION/2;
i_vco_min <= VCO_MIN_NO_DIVISION/2;
vco_cur <= 1;
else
i_vco_max <= vco_max;
i_vco_min <= vco_min;
vco_cur <= 2;
end if;
-- CP
-- Bit 9 : CRBYPASS
-- Bit 10-14 : unused
-- Bits 15-17 : all values are legal
buf_scan_data_2 := scan_data(15 TO 17);
cp_curr_val <= charge_pump_curr_arr(alt_conv_integer(buf_scan_data_2));
-- save old values for display info.
cp_curr_val_bit_setting <= scan_data(15 TO 17);
lfc_val_bit_setting <= scan_data(2 TO 3);
lfr_val_bit_setting <= scan_data(4 TO 8);
m_val_old <= m_val;
n_val_old <= n_val;
m_mode_val_old <= m_mode_val;
n_mode_val_old <= n_mode_val;
WHILE (i < num_output_cntrs) LOOP
c_high_val_old(i) <= c_high_val(i);
c_low_val_old(i) <= c_low_val(i);
c_mode_val_old(i) <= c_mode_val(i);
i := i + 1;
END LOOP;
-- M counter
-- 1. Mode - bypass (bit 18)
IF (scan_data(18) = '1') THEN
n_mode_val <= "bypass";
-- 3. Mode - odd/even (bit 27)
ELSIF (scan_data(27) = '1') THEN
n_mode_val <= " odd";
ELSE
n_mode_val <= " even";
END IF;
-- 2. High (bit 19-26)
n_hi := scan_data(19 TO 26);
-- 4. Low (bit 28-35)
n_lo := scan_data(28 TO 35);
-- N counter
-- 1. Mode - bypass (bit 36)
IF (scan_data(36) = '1') THEN
m_mode_val <= "bypass";
-- 3. Mode - odd/even (bit 45)
ELSIF (scan_data(45) = '1') THEN
m_mode_val <= " odd";
ELSE
m_mode_val <= " even";
END IF;
-- 2. High (bit 37-44)
m_hi := scan_data(37 TO 44);
-- 4. Low (bit 46-53)
m_lo := scan_data(46 TO 53);
-- C counters (start bit 54) bit 1:mode(bypass),bit 2-9:high,bit 10:mode(odd/even),bit 11-18:low
i := 0;
WHILE (i < num_output_cntrs) LOOP
-- 1. Mode - bypass
IF (scan_data(54 + i * 18 + 0) = '1') THEN
c_mode_val_tmp(i) := "bypass";
-- 3. Mode - odd/even
ELSIF (scan_data(54 + i * 18 + 9) = '1') THEN
c_mode_val_tmp(i) := " odd";
ELSE
c_mode_val_tmp(i) := " even";
END IF;
-- 2. Hi
high := scan_data(54 + i * 18 + 1 TO 54 + i * 18 + 8);
c_hval(i) := alt_conv_integer(high);
IF (c_hval(i) /= 0) THEN
c_high_val_tmp(i) := c_hval(i);
ELSE
c_high_val_tmp(i) := alt_conv_integer("000000001");
END IF;
-- 4. Low
low := scan_data(54 + i * 18 + 10 TO 54 + i * 18 + 17);
c_lval(i) := alt_conv_integer(low);
IF (c_lval(i) /= 0) THEN
c_low_val_tmp(i) := c_lval(i);
ELSE
c_low_val_tmp(i) := alt_conv_integer("000000001");
END IF;
i := i + 1;
END LOOP;
-- Legality Checks
-- M counter value
IF(scan_data(36) /= '1') THEN
IF ((m_hi /= m_lo) and (scan_data(45) /= '1')) THEN
reconfig_err <= TRUE;
WRITE(buf,string'("Warning : The M counter of the " & family_name & " Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"));
writeline(output, buf);
ELSIF (m_hi /= "00000000") THEN
m_val_tmp := alt_conv_integer(m_hi) + alt_conv_integer(m_lo);
ELSE
m_val_tmp := alt_conv_integer("000000001");
END IF;
ELSE
m_val_tmp := alt_conv_integer("10000000");
END IF;
-- N counter value
IF(scan_data(18) /= '1') THEN
IF ((n_hi /= n_lo)and (scan_data(27) /= '1')) THEN
reconfig_err <= TRUE;
WRITE(buf,string'("Warning : The N counter of the " & family_name & " Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"));
writeline(output, buf);
ELSIF (n_hi /= "00000000") THEN
n_val <= alt_conv_integer(n_hi) + alt_conv_integer(n_lo);
ELSE
n_val <= alt_conv_integer("000000001");
END IF;
ELSE
n_val <= alt_conv_integer("10000000");
END IF;
-- TODO : Give warnings/errors in the following cases?
-- 1. Illegal counter values (error)
-- 2. Change of mode (warning)
-- 3. Only 50% duty cycle allowed for M counter (odd mode - hi-lo=1,even - hi-lo=0)
END IF;
end if;
if (fbclk'event and fbclk = '1') then
m_val <= m_val_tmp;
end if;
if (update_conf_latches_reg = '1') then
if (scanclk_ipd'event and scanclk_ipd = '1') then
c0_rising_edge_transfer_done := true;
c_high_val(0) <= c_high_val_tmp(0);
c_mode_val(0) <= c_mode_val_tmp(0);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c1_rising_edge_transfer_done := true;
c_high_val(1) <= c_high_val_tmp(1);
c_mode_val(1) <= c_mode_val_tmp(1);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c2_rising_edge_transfer_done := true;
c_high_val(2) <= c_high_val_tmp(2);
c_mode_val(2) <= c_mode_val_tmp(2);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(3) <= c_high_val_tmp(3);
c_mode_val(3) <= c_mode_val_tmp(3);
c3_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(4) <= c_high_val_tmp(4);
c_mode_val(4) <= c_mode_val_tmp(4);
c4_rising_edge_transfer_done := true;
end if;
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c0_rising_edge_transfer_done) then
c_low_val(0) <= c_low_val_tmp(0);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c1_rising_edge_transfer_done) then
c_low_val(1) <= c_low_val_tmp(1);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c2_rising_edge_transfer_done) then
c_low_val(2) <= c_low_val_tmp(2);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c3_rising_edge_transfer_done) then
c_low_val(3) <= c_low_val_tmp(3);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c4_rising_edge_transfer_done) then
c_low_val(4) <= c_low_val_tmp(4);
end if;
if (update_phase = '1') then
if (vco_out(0)'event and vco_out(0) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 0) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 0) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(1)'event and vco_out(1) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 1) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 1) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(2)'event and vco_out(2) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 2) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 2) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(3)'event and vco_out(3) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 3) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 3) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(4)'event and vco_out(4) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 4) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 4) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(5)'event and vco_out(5) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 5) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 5) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(6)'event and vco_out(6) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 6) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 6) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(7)'event and vco_out(7) = '0') then
for i in 0 to 4 loop
if (c_ph_val(i) = 7) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 7) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
end if;
if (vco_out(0)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 0) then
inclk_c_from_vco(i) <= vco_out(0);
end if;
end loop;
if (m_ph_val = 0) then
inclk_m_from_vco <= vco_out(0);
end if;
end if;
if (vco_out(1)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 1) then
inclk_c_from_vco(i) <= vco_out(1);
end if;
end loop;
if (m_ph_val = 1) then
inclk_m_from_vco <= vco_out(1);
end if;
end if;
if (vco_out(2)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 2) then
inclk_c_from_vco(i) <= vco_out(2);
end if;
end loop;
if (m_ph_val = 2) then
inclk_m_from_vco <= vco_out(2);
end if;
end if;
if (vco_out(3)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 3) then
inclk_c_from_vco(i) <= vco_out(3);
end if;
end loop;
if (m_ph_val = 3) then
inclk_m_from_vco <= vco_out(3);
end if;
end if;
if (vco_out(4)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 4) then
inclk_c_from_vco(i) <= vco_out(4);
end if;
end loop;
if (m_ph_val = 4) then
inclk_m_from_vco <= vco_out(4);
end if;
end if;
if (vco_out(5)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 5) then
inclk_c_from_vco(i) <= vco_out(5);
end if;
end loop;
if (m_ph_val = 5) then
inclk_m_from_vco <= vco_out(5);
end if;
end if;
if (vco_out(6)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 6) then
inclk_c_from_vco(i) <= vco_out(6);
end if;
end loop;
if (m_ph_val = 6) then
inclk_m_from_vco <= vco_out(6);
end if;
end if;
if (vco_out(7)'event) then
for i in 0 to 4 loop
if (c_ph_val(i) = 7) then
inclk_c_from_vco(i) <= vco_out(7);
end if;
end loop;
if (m_ph_val = 7) then
inclk_m_from_vco <= vco_out(7);
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_scandata_scanclk,
TimingData => TimingData_scandata_scanclk,
TestSignal => scandata_ipd,
TestSignalName => "scandata",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scandata_scanclk_noedge_negedge,
SetupLow => tsetup_scandata_scanclk_noedge_negedge,
HoldHigh => thold_scandata_scanclk_noedge_negedge,
HoldLow => thold_scandata_scanclk_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/cycloneiii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanclkena_scanclk,
TimingData => TimingData_scanclkena_scanclk,
TestSignal => scanclkena_ipd,
TestSignalName => "scanclkena",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanclkena_scanclk_noedge_negedge,
SetupLow => tsetup_scanclkena_scanclk_noedge_negedge,
HoldHigh => thold_scanclkena_scanclk_noedge_negedge,
HoldLow => thold_scanclkena_scanclk_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/cycloneiii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (scanclk_ipd'event AND scanclk_ipd = '0' AND now > 0 ps) then
scanclkena_reg <= scanclkena_ipd;
if (scanclkena_reg = '1') then
scandata_in <= scandata_ipd;
scandata_out <= scandataout_tmp;
end if;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1' and now > 0 ps) then
if (got_first_scanclk) then
scanclk_period <= now - scanclk_last_rising_edge;
else
got_first_scanclk := true;
end if;
if (scanclkena_reg = '1') then
for j in scan_chain_length - 1 downto 1 loop
scan_data(j) <= scan_data(j-1);
end loop;
scan_data(0) <= scandata_in;
end if;
scanclk_last_rising_edge := now;
end if;
end process;
-- PLL Phase Reconfiguration
PROCESS(scanclk_ipd, areset_ipd,phasestep_ipd)
VARIABLE i : INTEGER := 0;
VARIABLE c_ph : INTEGER := 0;
VARIABLE m_ph : INTEGER := 0;
VARIABLE select_counter : INTEGER := 0;
BEGIN
IF (NOW = 0 ps) THEN
m_ph_val_tmp <= m_ph_initial;
END IF;
-- Latch phase enable (same as phasestep) on neg edge of scan clock
IF (scanclk_ipd'EVENT AND scanclk_ipd = '0') THEN
phasestep_reg <= phasestep_ipd;
END IF;
IF (phasestep_ipd'EVENT and phasestep_ipd = '1') THEN
IF (update_phase = '0') THEN
phasestep_high_count <= 0; -- phase adjustments must be 1 cycle apart
-- if not, next phasestep cycle is skipped
END IF;
END IF;
-- revert counter phase tap values to POF programmed values
-- if PLL is reset
IF (areset_ipd'EVENT AND areset_ipd = '1') then
c_ph_val_tmp <= c_ph_val_orig;
m_ph_val_tmp <= m_ph_initial;
END IF;
IF (scanclk_ipd'EVENT AND scanclk_ipd = '1') THEN
IF (phasestep_reg = '1') THEN
IF (phasestep_high_count = 1) THEN
phasecounterselect_reg <= phasecounterselect_ipd;
phaseupdown_reg <= phaseupdown_ipd;
-- start reconfiguration
IF (phasecounterselect_ipd < "111") THEN -- no counters selected
IF (phasecounterselect_ipd = "000") THEN
i := 0;
WHILE (i < num_output_cntrs) LOOP
c_ph := c_ph_val(i);
IF (phaseupdown_ipd = '1') THEN
c_ph := (c_ph + 1) mod num_phase_taps;
ELSIF (c_ph = 0) THEN
c_ph := num_phase_taps - 1;
ELSE
c_ph := (c_ph - 1) mod num_phase_taps;
END IF;
c_ph_val_tmp(i) <= c_ph;
i := i + 1;
END LOOP;
ELSIF (phasecounterselect_ipd = "001") THEN
m_ph := m_ph_val;
IF (phaseupdown_ipd = '1') THEN
m_ph := (m_ph + 1) mod num_phase_taps;
ELSIF (m_ph = 0) THEN
m_ph := num_phase_taps - 1;
ELSE
m_ph := (m_ph - 1) mod num_phase_taps;
END IF;
m_ph_val_tmp <= m_ph;
ELSE
select_counter := alt_conv_integer(phasecounterselect_ipd) - 2;
c_ph := c_ph_val(select_counter);
IF (phaseupdown_ipd = '1') THEN
c_ph := (c_ph + 1) mod num_phase_taps;
ELSIF (c_ph = 0) THEN
c_ph := num_phase_taps - 1;
ELSE
c_ph := (c_ph - 1) mod num_phase_taps;
END IF;
c_ph_val_tmp(select_counter) <= c_ph;
END IF;
update_phase <= '1','0' AFTER (0.5 * scanclk_period);
END IF;
END IF;
phasestep_high_count <= phasestep_high_count + 1;
END IF;
END IF;
END PROCESS;
scandataout_tmp <= scan_data(SCAN_CHAIN - 2);
process (schedule_vco, areset_ipd, pfdena_ipd, refclk, fbclk)
variable sched_time : time := 0 ps;
TYPE time_array is ARRAY (0 to 7) of time;
variable init : boolean := true;
variable refclk_period : time;
variable m_times_vco_period : time;
variable new_m_times_vco_period : time;
variable phase_shift : time_array := (OTHERS => 0 ps);
variable last_phase_shift : time_array := (OTHERS => 0 ps);
variable l_index : integer := 1;
variable cycle_to_adjust : integer := 0;
variable stop_vco : boolean := false;
variable locked_tmp : std_logic := '0';
variable pll_is_locked : boolean := false;
variable cycles_pfd_low : integer := 0;
variable cycles_pfd_high : integer := 0;
variable cycles_to_lock : integer := 0;
variable cycles_to_unlock : integer := 0;
variable got_first_refclk : boolean := false;
variable got_second_refclk : boolean := false;
variable got_first_fbclk : boolean := false;
variable refclk_time : time := 0 ps;
variable fbclk_time : time := 0 ps;
variable first_fbclk_time : time := 0 ps;
variable fbclk_period : time := 0 ps;
variable first_schedule : boolean := true;
variable vco_val : std_logic := '0';
variable vco_period_was_phase_adjusted : boolean := false;
variable phase_adjust_was_scheduled : boolean := false;
variable loop_xplier : integer;
variable loop_initial : integer := 0;
variable loop_ph : integer := 0;
variable loop_time_delay : integer := 0;
variable initial_delay : time := 0 ps;
variable vco_per : time;
variable tmp_rem : integer;
variable my_rem : integer;
variable fbk_phase : integer := 0;
variable pull_back_M : integer := 0;
variable total_pull_back : integer := 0;
variable fbk_delay : integer := 0;
variable offset : time := 0 ps;
variable tmp_vco_per : integer := 0;
variable high_time : time;
variable low_time : time;
variable got_refclk_posedge : boolean := false;
variable got_fbclk_posedge : boolean := false;
variable inclk_out_of_range : boolean := false;
variable no_warn : boolean := false;
variable ext_fbk_cntr_modulus : integer := 1;
variable init_clks : boolean := true;
variable pll_is_in_reset : boolean := false;
variable buf : line;
begin
if (init) then
-- jump-start the VCO
-- add 1 ps delay to ensure all signals are updated to initial
-- values
schedule_vco <= transport not schedule_vco after 1 ps;
init := false;
end if;
if (schedule_vco'event) then
if (init_clks) then
refclk_period := inclk0_input_frequency * n_val * 1 ps;
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
init_clks := false;
end if;
sched_time := 0 ps;
for i in 0 to 7 loop
last_phase_shift(i) := phase_shift(i);
end loop;
cycle_to_adjust := 0;
l_index := 1;
m_times_vco_period := new_m_times_vco_period;
end if;
-- areset was asserted
if (areset_ipd'event and areset_ipd = '1') then
assert false report family_name & " PLL was reset" severity note;
-- reset lock parameters
pll_is_locked := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
end if;
if (areset_ipd = '1') then
pll_is_in_reset := true;
got_first_refclk := false;
got_second_refclk := false;
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after 1 ps;
end loop;
end if;
if (schedule_vco'event and (areset_ipd = '1' or stop_vco)) then
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after last_phase_shift(i);
phase_shift(i) := 0 ps;
last_phase_shift(i) := 0 ps;
end loop;
-- reset lock parameters
pll_is_locked := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
got_first_refclk := false;
got_second_refclk := false;
refclk_time := 0 ps;
got_first_fbclk := false;
fbclk_time := 0 ps;
first_fbclk_time := 0 ps;
fbclk_period := 0 ps;
first_schedule := true;
vco_val := '0';
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
elsif ((schedule_vco'event or areset_ipd'event) and areset_ipd = '0' and (not stop_vco) and now > 0 ps) then
-- note areset deassert time
-- note it as refclk_time to prevent false triggering
-- of stop_vco after areset
if (areset_ipd'event and areset_ipd = '0' and pll_is_in_reset) then
refclk_time := now;
locked_tmp := '0';
end if;
pll_is_in_reset := false;
-- calculate loop_xplier : this will be different from m_val
-- in external_feedback_mode
loop_xplier := m_val;
loop_initial := m_initial_val - 1;
loop_ph := m_ph_val;
-- convert initial value to delay
initial_delay := (loop_initial * m_times_vco_period)/loop_xplier;
-- convert loop ph_tap to delay
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
tmp_vco_per := (m_times_vco_period/1 ps) / loop_xplier;
if (my_rem /= 0) then
tmp_vco_per := tmp_vco_per + 1;
end if;
fbk_phase := (loop_ph * tmp_vco_per)/8;
pull_back_M := initial_delay/1 ps + fbk_phase;
total_pull_back := pull_back_M;
if (simulation_type = "timing") then
total_pull_back := total_pull_back + pll_compensation_delay;
end if;
while (total_pull_back > refclk_period/1 ps) loop
total_pull_back := total_pull_back - refclk_period/1 ps;
end loop;
if (total_pull_back > 0) then
offset := refclk_period - (total_pull_back * 1 ps);
end if;
fbk_delay := total_pull_back - fbk_phase;
if (fbk_delay < 0) then
offset := offset - (fbk_phase * 1 ps);
fbk_delay := total_pull_back;
end if;
-- assign m_delay
m_delay <= transport fbk_delay after 1 ps;
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
for i in 1 to loop_xplier loop
-- adjust cycles
tmp_vco_per := (m_times_vco_period/1 ps)/loop_xplier;
if (my_rem /= 0 and l_index <= my_rem) then
tmp_rem := (loop_xplier * l_index) rem my_rem;
cycle_to_adjust := (loop_xplier * l_index) / my_rem;
if (tmp_rem /= 0) then
cycle_to_adjust := cycle_to_adjust + 1;
end if;
end if;
if (cycle_to_adjust = i) then
tmp_vco_per := tmp_vco_per + 1;
l_index := l_index + 1;
end if;
-- calculate high and low periods
vco_per := tmp_vco_per * 1 ps;
high_time := (tmp_vco_per/2) * 1 ps;
if (tmp_vco_per rem 2 /= 0) then
high_time := high_time + 1 ps;
end if;
low_time := vco_per - high_time;
-- schedule the rising and falling edges
for j in 1 to 2 loop
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
if (first_schedule) then
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
else
vco_out(k) <= transport vco_val after (sched_time + last_phase_shift(k));
end if;
end loop;
end loop;
end loop;
-- schedule once more
if (first_schedule) then
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
end loop;
first_schedule := false;
end if;
schedule_vco <= transport not schedule_vco after sched_time;
if (vco_period_was_phase_adjusted) then
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := true;
vco_per := m_times_vco_period/loop_xplier;
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
end loop;
end if;
end if;
-- Bypass lock detect
if (refclk'event and refclk = '1' and areset_ipd = '0') then
if (test_bypass_lock_detect = "on") then
if (pfdena_ipd = '1') then
cycles_pfd_low := 0;
if (pfd_locked = '0') then
if (cycles_pfd_high = lock_high) then
assert false report family_name & " PLL locked in test mode on PFD enable assertion." severity warning;
pfd_locked <= '1';
end if;
cycles_pfd_high := cycles_pfd_high + 1;
end if;
end if;
if (pfdena_ipd = '0') then
cycles_pfd_high := 0;
if (pfd_locked = '1') then
if (cycles_pfd_low = lock_low) then
assert false report family_name & " PLL lost lock in test mode on PFD enable de-assertion." severity warning;
pfd_locked <= '0';
end if;
cycles_pfd_low := cycles_pfd_low + 1;
end if;
end if;
end if;
if (refclk'event and refclk = '1' and areset_ipd = '0') then
got_refclk_posedge := true;
if (not got_first_refclk) then
got_first_refclk := true;
else
got_second_refclk := true;
refclk_period := now - refclk_time;
-- check if incoming freq. will cause VCO range to be
-- exceeded
if ( (i_vco_max /= 0 and i_vco_min /= 0 and pfdena_ipd = '1') and
(((refclk_period/1 ps)/loop_xplier > i_vco_max) or
((refclk_period/1 ps)/loop_xplier < i_vco_min)) ) then
if (pll_is_locked) then
if ((refclk_period/1 ps)/loop_xplier > i_vco_max) then
assert false report "Input clock freq. is over VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_over <= '1';
end if;
if ((refclk_period/1 ps)/loop_xplier < i_vco_min) then
assert false report "Input clock freq. is under VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_under <= '1';
end if;
if (inclk_out_of_range) then
pll_is_locked := false;
locked_tmp := '0';
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report family_name & " PLL lost lock." severity note;
end if;
elsif (not no_warn) then
if ((refclk_period/1 ps)/loop_xplier > i_vco_max) then
assert false report "Input clock freq. is over VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_over <= '1';
end if;
if ((refclk_period/1 ps)/loop_xplier < i_vco_min) then
assert false report "Input clock freq. is under VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_under <= '1';
end if;
assert false report " Input clock freq. is not within VCO range : " & family_name & " PLL may not lock. Please use the correct frequency." severity warning;
no_warn := true;
end if;
inclk_out_of_range := true;
else
vco_over <= '0';
vco_under <= '0';
inclk_out_of_range := false;
no_warn := false;
end if;
end if;
end if;
if (stop_vco) then
stop_vco := false;
schedule_vco <= not schedule_vco;
end if;
refclk_time := now;
else
got_refclk_posedge := false;
end if;
-- Update M counter value on feedback clock edge
if (fbclk'event and fbclk = '1') then
got_fbclk_posedge := true;
if (not got_first_fbclk) then
got_first_fbclk := true;
else
fbclk_period := now - fbclk_time;
end if;
-- need refclk_period here, so initialized to proper value above
if ( ( (now - refclk_time > 1.5 * refclk_period) and pfdena_ipd = '1' and pll_is_locked) or
( (now - refclk_time > 5 * refclk_period) and pfdena_ipd = '1' and pll_has_just_been_reconfigured = false) or
( (now - refclk_time > 50 * refclk_period) and pfdena_ipd = '1' and pll_has_just_been_reconfigured = true) ) then
stop_vco := true;
-- reset
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
if (pll_is_locked) then
pll_is_locked := false;
locked_tmp := '0';
assert false report family_name & " PLL lost lock due to loss of input clock or the input clock is not detected within the allowed time frame." severity note;
if ((i_vco_max = 0) and (i_vco_min = 0)) then
assert false report "Please run timing simulation to check whether the input clock is operating within the supported VCO range or not." severity note;
end if;
end if;
cycles_to_lock := 0;
cycles_to_unlock := 0;
first_schedule := true;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
end if;
fbclk_time := now;
else
got_fbclk_posedge := false;
end if;
if ((got_refclk_posedge or got_fbclk_posedge) and got_second_refclk and pfdena_ipd = '1' and (not inclk_out_of_range)) then
-- now we know actual incoming period
if ( abs(fbclk_time - refclk_time) <= 5 ps or
(got_first_fbclk and abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
-- considered in phase
if (cycles_to_lock = real_lock_high) then
if (not pll_is_locked) then
assert false report family_name & " PLL locked to incoming clock" severity note;
end if;
pll_is_locked := true;
locked_tmp := '1';
cycles_to_unlock := 0;
end if;
-- increment lock counter only if second part of above
-- time check is NOT true
if (not(abs(refclk_period - abs(fbclk_time - refclk_time)) <= lock_window)) then
cycles_to_lock := cycles_to_lock + 1;
end if;
-- adjust m_times_vco_period
new_m_times_vco_period := refclk_period;
else
-- if locked, begin unlock
if (pll_is_locked) then
cycles_to_unlock := cycles_to_unlock + 1;
if (cycles_to_unlock = lock_low) then
pll_is_locked := false;
locked_tmp := '0';
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report family_name & " PLL lost lock." severity note;
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
end if;
end if;
if ( abs(refclk_period - fbclk_period) <= 2 ps ) then
-- frequency is still good
if (now = fbclk_time and (not phase_adjust_was_scheduled)) then
if ( abs(fbclk_time - refclk_time) > refclk_period/2) then
new_m_times_vco_period := m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time));
vco_period_was_phase_adjusted := true;
else
new_m_times_vco_period := m_times_vco_period - abs(fbclk_time - refclk_time);
vco_period_was_phase_adjusted := true;
end if;
end if;
else
phase_adjust_was_scheduled := false;
new_m_times_vco_period := refclk_period;
end if;
end if;
end if;
if (pfdena_ipd = '0') then
if (pll_is_locked) then
locked_tmp := 'X';
end if;
pll_is_locked := false;
cycles_to_lock := 0;
end if;
-- give message only at time of deassertion
if (pfdena_ipd'event and pfdena_ipd = '0') then
assert false report "PFDENA deasserted." severity note;
elsif (pfdena_ipd'event and pfdena_ipd = '1') then
got_first_refclk := false;
got_second_refclk := false;
refclk_time := now;
end if;
if (reconfig_err) then
lock <= '0';
else
lock <= locked_tmp;
end if;
-- signal to calculate quiet_time
sig_refclk_period <= refclk_period;
if (stop_vco = true) then
sig_stop_vco <= '1';
else
sig_stop_vco <= '0';
end if;
pll_locked <= pll_is_locked;
end process;
clk0_tmp <= c_clk(i_clk0_counter);
clk_pfd(0) <= clk0_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(0) <= clk_pfd(0) WHEN (test_bypass_lock_detect = "on") ELSE
clk0_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else
'X';
clk1_tmp <= c_clk(i_clk1_counter);
clk_pfd(1) <= clk1_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(1) <= clk_pfd(1) WHEN (test_bypass_lock_detect = "on") ELSE
clk1_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk2_tmp <= c_clk(i_clk2_counter);
clk_pfd(2) <= clk2_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(2) <= clk_pfd(2) WHEN (test_bypass_lock_detect = "on") ELSE
clk2_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk3_tmp <= c_clk(i_clk3_counter);
clk_pfd(3) <= clk3_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(3) <= clk_pfd(3) WHEN (test_bypass_lock_detect = "on") ELSE
clk3_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk4_tmp <= c_clk(i_clk4_counter);
clk_pfd(4) <= clk4_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(4) <= clk_pfd(4) WHEN (test_bypass_lock_detect = "on") ELSE
clk4_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
scandataout <= scandata_out;
scandone <= NOT scandone_tmp;
phasedone <= NOT update_phase;
vcooverrange <= 'Z' WHEN (vco_range_detector_high_bits = -1) ELSE vco_over;
vcounderrange <= 'Z' WHEN (vco_range_detector_low_bits = -1) ELSE vco_under;
fbout <= fbclk;
end vital_pll;
-- END ARCHITECTURE VITAL_PLL
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_ff
--
-- Description : Cyclone III FF VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
use work.cycloneiii_and1;
entity cycloneiii_ff is
generic (
power_up : string := "low";
x_on_violation : string := "on";
lpm_type : string := "cycloneiii_ff";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
clrn : in std_logic := '1';
aload : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
ena : in std_logic := '1';
asdata : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_ff : entity is TRUE;
end cycloneiii_ff;
architecture vital_lcell_ff of cycloneiii_ff is
attribute VITAL_LEVEL0 of vital_lcell_ff : architecture is TRUE;
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal d_dly : std_logic;
signal asdata_ipd : std_logic;
signal asdata_dly : std_logic;
signal asdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal clrn_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
component cycloneiii_and1
generic (XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01
);
port (Y : out STD_LOGIC;
IN1 : in STD_LOGIC
);
end component;
begin
ddelaybuffer: cycloneiii_and1
port map(IN1 => d_ipd,
Y => d_dly);
asdatadelaybuffer: cycloneiii_and1
port map(IN1 => asdata_ipd,
Y => asdata_dly);
asdatadelaybuffer1: cycloneiii_and1
port map(IN1 => asdata_dly,
Y => asdata_dly1);
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (asdata_ipd, asdata, tipd_asdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (clrn_ipd, clrn, tipd_clrn);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process (clk_ipd, d_dly, asdata_dly1,
sclr_ipd, sload_ipd, clrn_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_d_clk : std_ulogic := '0';
variable Tviol_asdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_asdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable iq : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if (power_up = "low") then
iq := '0';
elsif (power_up = "high") then
iq := '1';
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_asdata_clk,
TimingData => TimingData_asdata_clk,
TestSignal => asdata_ipd,
TestSignalName => "ASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_asdata_clk_noedge_posedge,
SetupLow => tsetup_asdata_clk_noedge_posedge,
HoldHigh => thold_asdata_clk_noedge_posedge,
HoldLow => thold_asdata_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_d_clk or Tviol_asdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (clrn_ipd = '0')) then
iq := '0';
elsif (aload_ipd = '1') then
iq := asdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iq := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iq := '0';
elsif (sload_ipd = '1') then
iq := asdata_dly1;
else
iq := d_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => iq,
Paths => (0 => (clrn_ipd'last_event, tpd_clrn_q_posedge, TRUE),
1 => (aload_ipd'last_event, tpd_aload_q_posedge, TRUE),
2 => (asdata_ipd'last_event, tpd_asdata_q, TRUE),
3 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_ff;
----------------------------------------------------------------------------
-- Module Name : cycloneiii_ram_register
-- Description : Register module for RAM inputs/outputs
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_ram_register IS
GENERIC (
width : INTEGER := 1;
preset : STD_LOGIC := '0';
tipd_d : VitalDelayArrayType01(143 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_stall : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpw_ena_posedge : VitalDelayType := DefPulseWdthCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END cycloneiii_ram_register;
ARCHITECTURE reg_arch OF cycloneiii_ram_register IS
SIGNAL d_ipd : STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
SIGNAL clk_ipd : STD_LOGIC;
SIGNAL ena_ipd : STD_LOGIC;
SIGNAL aclr_ipd : STD_LOGIC;
SIGNAL stall_ipd : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
loopbits : FOR i in d'RANGE GENERATE
VitalWireDelay (d_ipd(i), d(i), tipd_d(i));
END GENERATE;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (stall_ipd, stall, tipd_stall);
END BLOCK;
PROCESS (d_ipd,ena_ipd,stall_ipd,clk_ipd,aclr_ipd,devclrn,devpor)
VARIABLE Tviol_clk_ena : STD_ULOGIC := '0';
VARIABLE Tviol_clk_aclr : STD_ULOGIC := '0';
VARIABLE Tviol_data_clk : STD_ULOGIC := '0';
VARIABLE TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_stall : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_aclr : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_ena : STD_ULOGIC := '0';
VARIABLE PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
VARIABLE q_VitalGlitchDataArray : VitalGlitchDataArrayType(143 downto 0);
VARIABLE CQDelay : TIME := 0 ns;
VARIABLE q_reg : STD_LOGIC_VECTOR(width - 1 DOWNTO 0) := (OTHERS => preset);
BEGIN
IF (aclr_ipd = '1' OR devclrn = '0' OR devpor = '0') THEN
q_reg := (OTHERS => preset);
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1' AND stall_ipd = '0') THEN
q_reg := d_ipd;
END IF;
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_stall,
TestSignal => stall_ipd,
TestSignalName => "stall",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_stall_clk_noedge_posedge,
SetupLow => tsetup_stall_clk_noedge_posedge,
HoldHigh => thold_stall_clk_noedge_posedge,
HoldLow => thold_stall_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_aclr,
TimingData => TimingData_clk_aclr,
TestSignal => aclr_ipd,
TestSignalName => "aclr",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_aclr_clk_noedge_posedge,
SetupLow => tsetup_aclr_clk_noedge_posedge,
HoldHigh => thold_aclr_clk_noedge_posedge,
HoldLow => thold_aclr_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_data_clk,
TimingData => TimingData_data_clk,
TestSignal => d_ipd,
TestSignalName => "data",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalPeriodPulseCheck (
Violation => Tviol_ena,
PeriodData => PeriodData_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
PulseWidthHigh => tpw_ena_posedge,
HeaderMsg => "/RAM Register VitalPeriodPulseCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
-- Path Delay Selection
CQDelay := SelectDelay (
Paths => (
(0 => (clk_ipd'LAST_EVENT,tpd_clk_q_posedge,TRUE),
1 => (aclr_ipd'LAST_EVENT,tpd_aclr_q_posedge,TRUE))
)
);
q <= TRANSPORT q_reg AFTER CQDelay;
END PROCESS;
aclrout <= aclr_ipd;
END reg_arch;
----------------------------------------------------------------------------
-- Module Name : cycloneiii_ram_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_ram_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (0.5 ns,0.5 ns);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
delaywrite : IN STD_LOGIC := '0';
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF cycloneiii_ram_pulse_generator:ENTITY IS TRUE;
END cycloneiii_ram_pulse_generator;
ARCHITECTURE pgen_arch OF cycloneiii_ram_pulse_generator IS
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
IF (delaywrite = '1') THEN
state <= '1' AFTER 1 NS; -- delayed write
ELSE
state <= '1';
END IF;
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.cycloneiii_atom_pack.all;
USE work.cycloneiii_ram_register;
USE work.cycloneiii_ram_pulse_generator;
ENTITY cycloneiii_ram_block IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
operation_mode : STRING := "single_port";
mixed_port_feed_through_mode : STRING := "dont_care";
ram_block_type : STRING := "auto";
logical_ram_name : STRING := "ram_name";
init_file : STRING := "init_file.hex";
init_file_layout : STRING := "none";
data_interleave_width_in_bits : INTEGER := 1;
data_interleave_offset_in_bits : INTEGER := 1;
port_a_logical_ram_depth : INTEGER := 0;
port_a_logical_ram_width : INTEGER := 0;
port_a_first_address : INTEGER := 0;
port_a_last_address : INTEGER := 0;
port_a_first_bit_number : INTEGER := 0;
port_a_address_clear : STRING := "none";
port_a_data_out_clear : STRING := "none";
port_a_data_in_clock : STRING := "clock0";
port_a_address_clock : STRING := "clock0";
port_a_write_enable_clock : STRING := "clock0";
port_a_read_enable_clock : STRING := "clock0";
port_a_byte_enable_clock : STRING := "clock0";
port_a_data_out_clock : STRING := "none";
port_a_data_width : INTEGER := 1;
port_a_address_width : INTEGER := 1;
port_a_byte_enable_mask_width : INTEGER := 1;
port_b_logical_ram_depth : INTEGER := 0;
port_b_logical_ram_width : INTEGER := 0;
port_b_first_address : INTEGER := 0;
port_b_last_address : INTEGER := 0;
port_b_first_bit_number : INTEGER := 0;
port_b_address_clear : STRING := "none";
port_b_data_out_clear : STRING := "none";
port_b_data_in_clock : STRING := "clock1";
port_b_address_clock : STRING := "clock1";
port_b_write_enable_clock: STRING := "clock1";
port_b_read_enable_clock: STRING := "clock1";
port_b_byte_enable_clock : STRING := "clock1";
port_b_data_out_clock : STRING := "none";
port_b_data_width : INTEGER := 1;
port_b_address_width : INTEGER := 1;
port_b_byte_enable_mask_width : INTEGER := 1;
port_a_read_during_write_mode : STRING := "new_data_no_nbe_read";
port_b_read_during_write_mode : STRING := "new_data_no_nbe_read";
power_up_uninitialized : STRING := "false";
port_b_byte_size : INTEGER := 0;
port_a_byte_size : INTEGER := 0;
safe_write : STRING := "err_on_2clk";
init_file_restructured : STRING := "unused";
lpm_type : string := "cycloneiii_ram_block";
lpm_hint : string := "true";
clk0_input_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_core_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_output_clock_enable : STRING := "none"; -- ena0,none
clk1_input_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_core_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_output_clock_enable : STRING := "none"; -- ena1,none
mem_init0 : BIT_VECTOR := X"0";
mem_init1 : BIT_VECTOR := X"0";
mem_init2 : BIT_VECTOR := X"0";
mem_init3 : BIT_VECTOR := X"0";
mem_init4 : BIT_VECTOR := X"0";
connectivity_checking : string := "off"
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portawe : IN STD_LOGIC := '0';
portare : IN STD_LOGIC := '1';
portbdatain : IN STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portbaddr : IN STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portbwe : IN STD_LOGIC := '0';
portbre : IN STD_LOGIC := '1';
clk0 : IN STD_LOGIC := '0';
clk1 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
ena1 : IN STD_LOGIC := '1';
ena2 : IN STD_LOGIC := '1';
ena3 : IN STD_LOGIC := '1';
clr0 : IN STD_LOGIC := '0';
clr1 : IN STD_LOGIC := '0';
portabyteenamasks : IN STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbbyteenamasks : IN STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
devclrn : IN STD_LOGIC := '1';
devpor : IN STD_LOGIC := '1';
portaaddrstall : IN STD_LOGIC := '0';
portbaddrstall : IN STD_LOGIC := '0';
portadataout : OUT STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
portbdataout : OUT STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0)
);
END cycloneiii_ram_block;
ARCHITECTURE block_arch OF cycloneiii_ram_block IS
COMPONENT cycloneiii_ram_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
delaywrite : IN STD_LOGIC := '0';
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT cycloneiii_ram_register
GENERIC (
preset : STD_LOGIC := '0';
width : integer := 1
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END COMPONENT;
FUNCTION cond (condition : BOOLEAN;CONSTANT a,b : INTEGER) RETURN INTEGER IS
VARIABLE c: INTEGER;
BEGIN
IF (condition) THEN c := a; ELSE c := b; END IF;
RETURN c;
END;
SUBTYPE port_type IS BOOLEAN;
CONSTANT primary : port_type := TRUE;
CONSTANT secondary : port_type := FALSE;
CONSTANT primary_port_is_a : BOOLEAN := (port_b_data_width <= port_a_data_width);
CONSTANT primary_port_is_b : BOOLEAN := NOT primary_port_is_a;
CONSTANT mode_is_rom : BOOLEAN := (operation_mode = "rom");
CONSTANT mode_is_sp : BOOLEAN := (operation_mode = "single_port");
CONSTANT mode_is_dp : BOOLEAN := (operation_mode = "dual_port");
CONSTANT mode_is_bdp : BOOLEAN := (operation_mode = "bidir_dual_port");
CONSTANT wired_mode : BOOLEAN := (port_a_address_width = port_b_address_width) AND (port_a_address_width = 1)
AND (port_a_data_width /= port_b_data_width);
CONSTANT num_cols : INTEGER := cond(mode_is_rom OR mode_is_sp,1,
cond(wired_mode,2,2 ** (ABS(port_b_address_width - port_a_address_width))));
CONSTANT data_width : INTEGER := cond(primary_port_is_a,port_a_data_width,port_b_data_width);
CONSTANT data_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_data_width,port_b_data_width);
CONSTANT address_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_a,port_a_address_width,port_b_address_width);
CONSTANT address_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_address_width,port_b_address_width);
CONSTANT byte_size_a : INTEGER := port_a_data_width / port_a_byte_enable_mask_width;
CONSTANT byte_size_b : INTEGER := port_b_data_width / port_b_byte_enable_mask_width;
CONSTANT out_a_is_reg : BOOLEAN := (port_a_data_out_clock /= "none" AND port_a_data_out_clock /= "UNUSED");
CONSTANT out_b_is_reg : BOOLEAN := (port_b_data_out_clock /= "none" AND port_b_data_out_clock /= "UNUSED");
CONSTANT bytes_a_disabled : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT bytes_b_disabled : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT ram_type : BOOLEAN := FALSE;
TYPE bool_to_std_logic_map IS ARRAY(TRUE DOWNTO FALSE) OF STD_LOGIC;
CONSTANT bool_to_std_logic : bool_to_std_logic_map := ('1','0');
-- Hardware write modes
CONSTANT dual_clock : BOOLEAN := (operation_mode = "dual_port" OR
operation_mode = "bidir_dual_port") AND
(port_b_address_clock = "clock1");
CONSTANT both_new_data_same_port : BOOLEAN := (
((port_a_read_during_write_mode = "new_data_no_nbe_read") OR
(port_a_read_during_write_mode = "dont_care")) AND
((port_b_read_during_write_mode = "new_data_no_nbe_read") OR
(port_b_read_during_write_mode = "dont_care"))
);
SIGNAL hw_write_mode_a : STRING(3 DOWNTO 1);
SIGNAL hw_write_mode_b : STRING(3 DOWNTO 1);
SIGNAL delay_write_pulse_a : STD_LOGIC ;
SIGNAL delay_write_pulse_b : STD_LOGIC ;
CONSTANT be_mask_write_a : BOOLEAN := (port_a_read_during_write_mode = "new_data_with_nbe_read");
CONSTANT be_mask_write_b : BOOLEAN := (port_b_read_during_write_mode = "new_data_with_nbe_read");
CONSTANT old_data_write_a : BOOLEAN := (port_a_read_during_write_mode = "old_data");
CONSTANT old_data_write_b : BOOLEAN := (port_b_read_during_write_mode = "old_data");
SIGNAL read_before_write_a : BOOLEAN;
SIGNAL read_before_write_b : BOOLEAN;
-- -------- internal signals ---------
-- clock / clock enable
SIGNAL clk_a_in,clk_b_in : STD_LOGIC;
SIGNAL clk_a_byteena,clk_b_byteena : STD_LOGIC;
SIGNAL clk_a_out,clk_b_out : STD_LOGIC;
SIGNAL clkena_a_out,clkena_b_out : STD_LOGIC;
SIGNAL clkena_out_c0, clkena_out_c1 : STD_LOGIC;
SIGNAL write_cycle_a,write_cycle_b : STD_LOGIC;
SIGNAL clk_a_rena, clk_a_wena : STD_LOGIC;
SIGNAL clk_a_core : STD_LOGIC;
SIGNAL clk_b_rena, clk_b_wena : STD_LOGIC;
SIGNAL clk_b_core : STD_LOGIC;
SUBTYPE one_bit_bus_type IS STD_LOGIC_VECTOR(0 DOWNTO 0);
-- asynch clear
TYPE clear_mode_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
TYPE clear_vec_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL datain_a_clr,datain_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr,dataout_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr_reg, dataout_b_clr_reg : STD_LOGIC;
SIGNAL dataout_a_clr_reg_in, dataout_b_clr_reg_in : one_bit_bus_type;
SIGNAL dataout_a_clr_reg_out, dataout_b_clr_reg_out : one_bit_bus_type;
SIGNAL dataout_a_clr_reg_latch, dataout_b_clr_reg_latch : STD_LOGIC;
SIGNAL dataout_a_clr_reg_latch_in, dataout_b_clr_reg_latch_in : one_bit_bus_type;
SIGNAL dataout_a_clr_reg_latch_out, dataout_b_clr_reg_latch_out : one_bit_bus_type;
SIGNAL addr_a_clr,addr_b_clr : STD_LOGIC;
SIGNAL byteena_a_clr,byteena_b_clr : STD_LOGIC;
SIGNAL we_a_clr,re_a_clr,we_b_clr,re_b_clr : STD_LOGIC;
SIGNAL datain_a_clr_in,datain_b_clr_in : STD_LOGIC;
SIGNAL addr_a_clr_in,addr_b_clr_in : STD_LOGIC;
SIGNAL byteena_a_clr_in,byteena_b_clr_in : STD_LOGIC;
SIGNAL we_a_clr_in,re_a_clr_in,we_b_clr_in,re_b_clr_in : STD_LOGIC;
SIGNAL mem_invalidate,mem_invalidate_loc,read_latch_invalidate : clear_mode_type;
SIGNAL clear_asserted_during_write : clear_vec_type;
-- port A registers
SIGNAL we_a_reg : STD_LOGIC;
SIGNAL re_a_reg : STD_LOGIC;
SIGNAL we_a_reg_in,we_a_reg_out : one_bit_bus_type;
SIGNAL re_a_reg_in,re_a_reg_out : one_bit_bus_type;
SIGNAL addr_a_reg : STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0);
SIGNAL datain_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL byteena_a_reg : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width- 1 DOWNTO 0);
-- port B registers
SIGNAL we_b_reg, re_b_reg : STD_LOGIC;
SIGNAL re_b_reg_in,re_b_reg_out,we_b_reg_in,we_b_reg_out : one_bit_bus_type;
SIGNAL addr_b_reg : STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0);
SIGNAL datain_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL byteena_b_reg : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width- 1 DOWNTO 0);
-- pulses
TYPE pulse_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL write_pulse,read_pulse,read_pulse_feedthru : pulse_vec;
SIGNAL rw_pulse : pulse_vec;
SIGNAL wpgen_a_clk,wpgen_a_clkena,wpgen_b_clk,wpgen_b_clkena : STD_LOGIC;
SIGNAL rpgen_a_clkena,rpgen_b_clkena : STD_LOGIC;
SIGNAL ftpgen_a_clkena,ftpgen_b_clkena : STD_LOGIC;
SIGNAL rwpgen_a_clkena,rwpgen_b_clkena : STD_LOGIC;
-- registered address
SIGNAL addr_prime_reg,addr_sec_reg : INTEGER;
-- input/output
SIGNAL datain_prime_reg,dataout_prime : STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
SIGNAL datain_sec_reg,dataout_sec : STD_LOGIC_VECTOR(data_unit_width - 1 DOWNTO 0);
-- overlapping location write
SIGNAL dual_write : BOOLEAN;
-- byte enable mask write
TYPE be_mask_write_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
SIGNAL be_mask_write : be_mask_write_vec;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
SUBTYPE mem_col_type IS STD_LOGIC_VECTOR (data_unit_width - 1 DOWNTO 0);
TYPE mem_row_type IS ARRAY (num_cols - 1 DOWNTO 0) OF mem_col_type;
TYPE mem_type IS ARRAY ((2 ** address_unit_width) - 1 DOWNTO 0) OF mem_row_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
CONSTANT mem_x : mem_type := (OTHERS => (OTHERS => (OTHERS => 'X')));
CONSTANT row_x : mem_row_type := (OTHERS => (OTHERS => 'X'));
CONSTANT col_x : mem_col_type := (OTHERS => 'X');
SIGNAL mem_data : mem_row_type;
SIGNAL old_mem_data : mem_row_type;
SIGNAL mem_unit_data : mem_col_type;
-- latches
TYPE read_latch_rec IS RECORD
prime : mem_row_type;
sec : mem_col_type;
END RECORD;
SIGNAL read_latch : read_latch_rec;
-- (row,column) coordinates
SIGNAL row_sec,col_sec : INTEGER;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_prime_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
TYPE mask_sec_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_col_type;
TYPE mask_rec IS RECORD
prime : mask_prime_type;
sec : mask_sec_type;
END RECORD;
SIGNAL mask_vector : mask_rec;
SIGNAL mask_vector_common : mem_col_type;
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
mode : port_type;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_rec IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_rec := (
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X')),
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X'))
);
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.prime(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.sec(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
END IF;
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END IF;
END LOOP;
RETURN mask;
END get_mask;
-- port active for read/write
SIGNAL active_a_core_in_vec,active_b_core_in_vec,active_a_core_out,active_b_core_out : one_bit_bus_type;
SIGNAL active_a_in,active_b_in : STD_LOGIC;
SIGNAL active_write_a : BOOLEAN;
SIGNAL active_write_b : BOOLEAN;
SIGNAL active_b_in_c0,active_b_core_in_c0,active_b_in_c1,active_b_core_in_c1 : STD_LOGIC;
SIGNAL active_a_core_in,active_b_core_in : STD_LOGIC;
SIGNAL active_a_core, active_b_core : BOOLEAN;
SIGNAL wire_vcc : STD_LOGIC := '1';
SIGNAL wire_gnd : STD_LOGIC := '0';
BEGIN
-- memory initialization
init_mem <= TRUE;
-- hardware write modes
hw_write_mode_a <= "R+W" WHEN ((port_a_read_during_write_mode = "old_data") OR
(port_a_read_during_write_mode = "new_data_with_nbe_read")) ELSE
" FW" WHEN (dual_clock OR (
mixed_port_feed_through_mode = "dont_care" AND
both_new_data_same_port
)) ELSE
" DW";
hw_write_mode_b <= "R+W" WHEN ((port_b_read_during_write_mode = "old_data") OR
(port_b_read_during_write_mode = "new_data_with_nbe_read")) ELSE
" FW" WHEN (dual_clock OR (
mixed_port_feed_through_mode = "dont_care" AND
both_new_data_same_port
)) ELSE
" DW";
delay_write_pulse_a <= '1' WHEN (hw_write_mode_a /= " FW") ELSE '0';
delay_write_pulse_b <= '1' WHEN (hw_write_mode_b /= " FW") ELSE '0' ;
read_before_write_a <= (hw_write_mode_a = "R+W");
read_before_write_b <= (hw_write_mode_b = "R+W");
-- -------- core logic ---------------
clk_a_in <= clk0;
clk_a_wena <= '0' WHEN (port_a_write_enable_clock = "none") ELSE clk_a_in;
clk_a_rena <= '0' WHEN (port_a_read_enable_clock = "none") ELSE clk_a_in;
clk_a_byteena <= '0' WHEN (port_a_byte_enable_clock = "none" OR port_a_byte_enable_clock = "UNUSED") ELSE clk_a_in;
clk_a_out <= '0' WHEN (port_a_data_out_clock = "none" OR port_a_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_a_data_out_clock = "clock0") ELSE clk1;
clk_b_in <= clk0 WHEN (port_b_address_clock = "clock0") ELSE clk1;
clk_b_byteena <= '0' WHEN (port_b_byte_enable_clock = "none" OR port_b_byte_enable_clock = "UNUSED") ELSE
clk0 WHEN (port_b_byte_enable_clock = "clock0") ELSE clk1;
clk_b_wena <= '0' WHEN (port_b_write_enable_clock = "none") ELSE
clk0 WHEN (port_b_write_enable_clock = "clock0") ELSE
clk1;
clk_b_rena <= '0' WHEN (port_b_read_enable_clock = "none") ELSE
clk0 WHEN (port_b_read_enable_clock = "clock0") ELSE
clk1;
clk_b_out <= '0' WHEN (port_b_data_out_clock = "none" OR port_b_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_b_data_out_clock = "clock0") ELSE clk1;
addr_a_clr_in <= '0' WHEN (port_a_address_clear = "none" OR port_a_address_clear = "UNUSED") ELSE clr0;
addr_b_clr_in <= '0' WHEN (port_b_address_clear = "none" OR port_b_address_clear = "UNUSED") ELSE
clr0 WHEN (port_b_address_clear = "clear0") ELSE clr1;
datain_a_clr_in <= '0';
datain_b_clr_in <= '0';
dataout_a_clr_reg <= '0' WHEN (port_a_data_out_clear = "none" OR port_a_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_a_data_out_clear = "clear0") ELSE clr1;
dataout_a_clr <= dataout_a_clr_reg WHEN (port_a_data_out_clock = "none" OR port_a_data_out_clock = "UNUSED") ELSE
'0';
dataout_b_clr_reg <= '0' WHEN (port_b_data_out_clear = "none" OR port_b_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_out_clear = "clear0") ELSE clr1;
dataout_b_clr <= dataout_b_clr_reg WHEN (port_b_data_out_clock = "none" OR port_b_data_out_clock = "UNUSED") ELSE
'0';
byteena_a_clr_in <= '0';
byteena_b_clr_in <= '0';
we_a_clr_in <= '0';
re_a_clr_in <= '0';
we_b_clr_in <= '0';
re_b_clr_in <= '0';
active_a_in <= '1' WHEN (clk0_input_clock_enable = "none") ELSE
ena0 WHEN (clk0_input_clock_enable = "ena0") ELSE
ena2;
active_a_core_in <= '1' WHEN (clk0_core_clock_enable = "none") ELSE
ena0 WHEN (clk0_core_clock_enable = "ena0") ELSE
ena2;
be_mask_write(primary_port_is_a) <= be_mask_write_a;
be_mask_write(primary_port_is_b) <= be_mask_write_b;
active_b_in_c0 <= '1' WHEN (clk0_input_clock_enable = "none") ELSE
ena0 WHEN (clk0_input_clock_enable = "ena0") ELSE
ena2;
active_b_in_c1 <= '1' WHEN (clk1_input_clock_enable = "none") ELSE
ena1 WHEN (clk1_input_clock_enable = "ena1") ELSE
ena3;
active_b_in <= active_b_in_c0 WHEN (port_b_address_clock = "clock0") ELSE active_b_in_c1;
active_b_core_in_c0 <= '1' WHEN (clk0_core_clock_enable = "none") ELSE
ena0 WHEN (clk0_core_clock_enable = "ena0") ELSE
ena2;
active_b_core_in_c1 <= '1' WHEN (clk1_core_clock_enable = "none") ELSE
ena1 WHEN (clk1_core_clock_enable = "ena1") ELSE
ena3;
active_b_core_in <= active_b_core_in_c0 WHEN (port_b_address_clock = "clock0") ELSE active_b_core_in_c1;
active_write_a <= (byteena_a_reg /= bytes_a_disabled);
active_write_b <= (byteena_b_reg /= bytes_b_disabled);
-- Store core clock enable value for delayed write
-- port A core active
active_a_core_in_vec(0) <= active_a_core_in;
active_core_port_a : cycloneiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_a_core_in_vec,
clk => clk_a_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_a_core_out
);
active_a_core <= (active_a_core_out(0) = '1');
-- port B core active
active_b_core_in_vec(0) <= active_b_core_in;
active_core_port_b : cycloneiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_b_core_in_vec,
clk => clk_b_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_b_core_out
);
active_b_core <= (active_b_core_out(0) = '1');
-- ------ A input registers
-- write enable
we_a_reg_in(0) <= '0' WHEN mode_is_rom ELSE portawe;
we_a_register : cycloneiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => we_a_reg_in,
clk => clk_a_wena,
aclr => we_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => we_a_reg_out,
aclrout => we_a_clr
);
we_a_reg <= we_a_reg_out(0);
-- read enable
re_a_reg_in(0) <= portare;
re_a_register : cycloneiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => re_a_reg_in,
clk => clk_a_rena,
aclr => re_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => re_a_reg_out,
aclrout => re_a_clr
);
re_a_reg <= re_a_reg_out(0);
-- address
addr_a_register : cycloneiii_ram_register
GENERIC MAP ( width => port_a_address_width )
PORT MAP (
d => portaaddr,
clk => clk_a_in,
aclr => addr_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portaaddrstall,
ena => active_a_in,
q => addr_a_reg,
aclrout => addr_a_clr
);
-- data
datain_a_register : cycloneiii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => portadatain,
clk => clk_a_in,
aclr => datain_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => datain_a_reg,
aclrout => datain_a_clr
);
-- byte enable
byteena_a_register : cycloneiii_ram_register
GENERIC MAP (
width => port_a_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portabyteenamasks,
clk => clk_a_byteena,
aclr => byteena_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => byteena_a_reg,
aclrout => byteena_a_clr
);
-- ------ B input registers
-- read enable
re_b_reg_in(0) <= portbre;
re_b_register : cycloneiii_ram_register
GENERIC MAP (
width => 1
)
PORT MAP (
d => re_b_reg_in,
clk => clk_b_in,
aclr => re_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => re_b_reg_out,
aclrout => re_b_clr
);
re_b_reg <= re_b_reg_out(0);
-- write enable
we_b_reg_in(0) <= portbwe;
we_b_register : cycloneiii_ram_register
GENERIC MAP (
width => 1
)
PORT MAP (
d => we_b_reg_in,
clk => clk_b_in,
aclr => we_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => we_b_reg_out,
aclrout => we_b_clr
);
we_b_reg <= we_b_reg_out(0);
-- address
addr_b_register : cycloneiii_ram_register
GENERIC MAP ( width => port_b_address_width )
PORT MAP (
d => portbaddr,
clk => clk_b_in,
aclr => addr_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portbaddrstall,
ena => active_b_in,
q => addr_b_reg,
aclrout => addr_b_clr
);
-- data
datain_b_register : cycloneiii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => portbdatain,
clk => clk_b_in,
aclr => datain_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => datain_b_reg,
aclrout => datain_b_clr
);
-- byte enable
byteena_b_register : cycloneiii_ram_register
GENERIC MAP (
width => port_b_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portbbyteenamasks,
clk => clk_b_byteena,
aclr => byteena_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => byteena_b_reg,
aclrout => byteena_b_clr
);
datain_prime_reg <= datain_a_reg WHEN primary_port_is_a ELSE datain_b_reg;
addr_prime_reg <= alt_conv_integer(addr_a_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_b_reg);
datain_sec_reg <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
datain_b_reg WHEN primary_port_is_a ELSE datain_a_reg;
addr_sec_reg <= alt_conv_integer(addr_b_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_a_reg);
-- Write pulse generation
wpgen_a_clk <= clk_a_in;
wpgen_a_clkena <= '1' WHEN (active_a_core AND active_write_a AND (we_a_reg = '1')) ELSE '0';
wpgen_a : cycloneiii_ram_pulse_generator
PORT MAP (
clk => wpgen_a_clk,
ena => wpgen_a_clkena,
delaywrite => delay_write_pulse_a,
pulse => write_pulse(primary_port_is_a),
cycle => write_cycle_a
);
wpgen_b_clk <= clk_b_in;
wpgen_b_clkena <= '1' WHEN (active_b_core AND active_write_b AND mode_is_bdp AND (we_b_reg = '1')) ELSE '0';
wpgen_b : cycloneiii_ram_pulse_generator
PORT MAP (
clk => wpgen_b_clk,
ena => wpgen_b_clkena,
delaywrite => delay_write_pulse_b,
pulse => write_pulse(primary_port_is_b),
cycle => write_cycle_b
);
-- Read pulse generation
rpgen_a_clkena <= '1' WHEN (active_a_core AND (re_a_reg = '1') AND (we_a_reg = '0') AND (dataout_a_clr = '0')) ELSE '0';
rpgen_a : cycloneiii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rpgen_a_clkena,
cycle => clk_a_core,
pulse => read_pulse(primary_port_is_a)
);
rpgen_b_clkena <= '1' WHEN ((mode_is_dp OR mode_is_bdp) AND active_b_core AND (re_b_reg = '1') AND (we_b_reg = '0') AND (dataout_b_clr = '0')) ELSE '0';
rpgen_b : cycloneiii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rpgen_b_clkena,
cycle => clk_b_core,
pulse => read_pulse(primary_port_is_b)
);
-- Read-during-Write pulse generation
rwpgen_a_clkena <= '1' WHEN (active_a_core AND (re_a_reg = '1') AND (we_a_reg = '1') AND read_before_write_a AND (dataout_a_clr = '0')) ELSE '0';
rwpgen_a : cycloneiii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rwpgen_a_clkena,
pulse => rw_pulse(primary_port_is_a)
);
rwpgen_b_clkena <= '1' WHEN (active_b_core AND mode_is_bdp AND (re_b_reg = '1') AND (we_b_reg = '1') AND read_before_write_b AND (dataout_b_clr = '0')) ELSE '0';
rwpgen_b : cycloneiii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rwpgen_b_clkena,
pulse => rw_pulse(primary_port_is_b)
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (byteena_a_reg,byteena_b_reg)
VARIABLE mask : mask_rec;
BEGIN
IF (byteena_a_reg'EVENT) THEN
mask := get_mask(byteena_a_reg,primary_port_is_a,port_a_byte_enable_mask_width,byte_size_a);
IF (primary_port_is_a) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
IF (byteena_b_reg'EVENT) THEN
mask := get_mask(byteena_b_reg,primary_port_is_b,port_b_byte_enable_mask_width,byte_size_b);
IF (primary_port_is_b) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
END PROCESS mask_create;
-- (row,col) coordinates
row_sec <= addr_sec_reg / num_cols;
col_sec <= addr_sec_reg mod num_cols;
mem_rw : PROCESS (init_mem,
write_pulse,read_pulse,read_pulse_feedthru,
rw_pulse,
dataout_a_clr, dataout_b_clr,
mem_invalidate,mem_invalidate_loc,read_latch_invalidate)
-- mem init
TYPE rw_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
VARIABLE addr_range_init,row,col,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
VARIABLE mem_init : bit_vector(mem_init4'length + mem_init3'length + mem_init2'length + mem_init1'length + mem_init0'length - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_row_type;
VARIABLE old_mem_data_p : mem_row_type;
VARIABLE row_prime,col_prime : INTEGER;
VARIABLE access_same_location : BOOLEAN;
VARIABLE read_during_write : rw_type;
BEGIN
-- Latch Clear
IF (dataout_a_clr'EVENT AND dataout_a_clr = '1') THEN
IF (primary_port_is_a) THEN
read_latch.prime <= (OTHERS => (OTHERS => '0'));
dataout_prime <= (OTHERS => '0');
ELSE
read_latch.sec <= (OTHERS => '0');
dataout_sec <= (OTHERS => '0');
END IF;
END IF;
IF (dataout_b_clr'EVENT AND dataout_b_clr = '1') THEN
IF (primary_port_is_b) THEN
read_latch.prime <= (OTHERS => (OTHERS => '0'));
dataout_prime <= (OTHERS => '0');
ELSE
read_latch.sec <= (OTHERS => '0');
dataout_sec <= (OTHERS => '0');
END IF;
END IF;
read_during_write := (FALSE,FALSE);
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output latches to 0
IF (primary_port_is_a) THEN
dataout_prime <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_sec <= (OTHERS => '0'); END IF;
ELSE
dataout_sec <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_prime <= (OTHERS => '0'); END IF;
END IF;
IF (power_up_uninitialized = "false" AND (NOT ram_type)) THEN
mem_val := (OTHERS => (OTHERS => (OTHERS => '0')));
END IF;
IF (primary_port_is_a) THEN
addr_range_init := port_a_last_address - port_a_first_address + 1;
ELSE
addr_range_init := port_b_last_address - port_b_first_address + 1;
END IF;
IF (init_file_layout = "port_a" OR init_file_layout = "port_b") THEN
mem_init := mem_init4 & mem_init3 & mem_init2 & mem_init1 & mem_init0;
mem_init_std := to_stdlogicvector(mem_init) ((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
FOR col IN 0 to num_cols - 1 LOOP
index := row * data_width;
mem_val(row)(col) := mem_init_std(index + (col+1)*data_unit_width -1 DOWNTO
index + col*data_unit_width);
END LOOP;
END LOOP;
END IF;
mem <= mem_val;
END IF;
access_same_location := (mode_is_dp OR mode_is_bdp) AND (addr_prime_reg = row_sec);
-- Read before Write stage 1 : read data from memory
-- Read before Write stage 2 : send data to output
IF (rw_pulse(primary)'EVENT) THEN
IF (rw_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
ELSE
IF (be_mask_write(primary)) THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = 'X') THEN
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END IF;
END LOOP;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
END IF;
IF (rw_pulse(secondary)'EVENT) THEN
IF (rw_pulse(secondary) = '1') THEN
read_latch.sec <= mem(row_sec)(col_sec);
ELSE
IF (be_mask_write(secondary)) THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = 'X') THEN
dataout_sec(i) <= read_latch.sec(i);
END IF;
END LOOP;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
END IF;
-- Write stage 1 : X to buffer
-- Write stage 2 : actual data to memory
IF (write_pulse(primary)'EVENT) THEN
IF (write_pulse(primary) = '1') THEN
old_mem_data_p := mem(addr_prime_reg);
mem_data_p := mem(addr_prime_reg);
FOR i IN 0 TO num_cols - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR
mask_vector.prime(inverse)((i + 1)*data_unit_width - 1 DOWNTO i*data_unit_width);
END LOOP;
read_during_write(secondary) := (access_same_location AND read_pulse(secondary)'EVENT AND read_pulse(secondary) = '1');
IF (read_during_write(secondary)) THEN
read_latch.sec <= old_mem_data_p(col_sec);
ELSE
mem_data <= mem_data_p;
END IF;
ELSIF (clear_asserted_during_write(primary) /= '1') THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= datain_prime_reg(i);
ELSIF (mask_vector.prime(inverse)(i) = 'X') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
IF (write_pulse(secondary)'EVENT) THEN
IF (write_pulse(secondary) = '1') THEN
read_during_write(primary) := (access_same_location AND read_pulse(primary)'EVENT AND read_pulse(primary) = '1');
IF (read_during_write(primary)) THEN
read_latch.prime <= mem(addr_prime_reg);
read_latch.prime(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
ELSE
mem_unit_data <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
END IF;
IF (access_same_location AND write_pulse(primary)'EVENT AND write_pulse(primary) = '1') THEN
mask_vector_common <=
mask_vector.prime(inverse)(((col_sec + 1)* data_unit_width - 1) DOWNTO col_sec*data_unit_width) AND
mask_vector.sec(inverse);
dual_write <= TRUE;
END IF;
ELSIF (clear_asserted_during_write(secondary) /= '1') THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
mem(row_sec)(col_sec)(i) <= datain_sec_reg(i);
ELSIF (mask_vector.sec(inverse)(i) = 'X') THEN
mem(row_sec)(col_sec)(i) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
-- Simultaneous write
IF (dual_write AND write_pulse = "00") THEN
mem(row_sec)(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector_common;
dual_write <= FALSE;
END IF;
-- Read stage 1 : read data
-- Read stage 2 : send data to output
IF ((NOT read_during_write(primary)) AND read_pulse(primary)'EVENT) THEN
IF (read_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
IF (access_same_location AND write_pulse(secondary) = '1') THEN
read_latch.prime(col_sec) <= mem_unit_data;
END IF;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
IF ((NOT read_during_write(secondary)) AND read_pulse(secondary)'EVENT) THEN
IF (read_pulse(secondary) = '1') THEN
IF (access_same_location AND write_pulse(primary) = '1') THEN
read_latch.sec <= mem_data(col_sec);
ELSE
read_latch.sec <= mem(row_sec)(col_sec);
END IF;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
-- Same port feed thru
IF (read_pulse_feedthru(primary)'EVENT AND read_pulse_feedthru(primary) = '0') THEN
IF (be_mask_write(primary)) THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
dataout_prime(i) <= datain_prime_reg(i);
END IF;
END LOOP;
ELSE
dataout_prime <= datain_prime_reg XOR mask_vector.prime(normal);
END IF;
END IF;
IF (read_pulse_feedthru(secondary)'EVENT AND read_pulse_feedthru(secondary) = '0') THEN
IF (be_mask_write(secondary)) THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
dataout_sec(i) <= datain_sec_reg(i);
END IF;
END LOOP;
ELSE
dataout_sec <= datain_sec_reg XOR mask_vector.sec(normal);
END IF;
END IF;
-- Async clear
IF (mem_invalidate'EVENT) THEN
IF (mem_invalidate(primary) = TRUE OR mem_invalidate(secondary) = TRUE) THEN
mem <= mem_x;
END IF;
END IF;
IF (mem_invalidate_loc'EVENT) THEN
IF (mem_invalidate_loc(primary)) THEN mem(addr_prime_reg) <= row_x; END IF;
IF (mem_invalidate_loc(secondary)) THEN mem(row_sec)(col_sec) <= col_x; END IF;
END IF;
IF (read_latch_invalidate'EVENT) THEN
IF (read_latch_invalidate(primary)) THEN
read_latch.prime <= row_x;
END IF;
IF (read_latch_invalidate(secondary)) THEN
read_latch.sec <= col_x;
END IF;
END IF;
END PROCESS mem_rw;
-- Same port feed through
ftpgen_a_clkena <= '1' WHEN (active_a_core AND (NOT mode_is_dp) AND (NOT old_data_write_a) AND (we_a_reg = '1') AND (re_a_reg = '1') AND (dataout_a_clr = '0')) ELSE '0';
ftpgen_a : cycloneiii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => ftpgen_a_clkena,
pulse => read_pulse_feedthru(primary_port_is_a)
);
ftpgen_b_clkena <= '1' WHEN (active_b_core AND mode_is_bdp AND (NOT old_data_write_b) AND (we_b_reg = '1') AND (re_b_reg = '1') AND (dataout_b_clr = '0')) ELSE '0';
ftpgen_b : cycloneiii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => ftpgen_b_clkena,
pulse => read_pulse_feedthru(primary_port_is_b)
);
-- Asynch clear events
clear_a : PROCESS(addr_a_clr,we_a_clr,datain_a_clr)
BEGIN
IF (addr_a_clr'EVENT AND addr_a_clr = '1') THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (active_a_core AND re_a_reg = '1' AND dataout_a_clr = '0' AND dataout_a_clr_reg_latch = '0') THEN
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_a_clr'EVENT AND we_a_clr = '1') OR (datain_a_clr'EVENT AND datain_a_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_a;
clear_b : PROCESS(addr_b_clr,we_b_clr,datain_b_clr)
BEGIN
IF (addr_b_clr'EVENT AND addr_b_clr = '1') THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (we_b_reg = '1')) THEN
mem_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF ((mode_is_dp OR mode_is_bdp) AND active_b_core AND re_b_reg = '1' AND dataout_b_clr = '0' AND dataout_b_clr_reg_latch = '0') THEN
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_b_clr'EVENT AND we_b_clr = '1') OR (datain_b_clr'EVENT AND datain_b_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (we_b_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_b;
-- Clear mux registers (Latch Clear)
-- Port A output register clear
dataout_a_clr_reg_latch_in(0) <= dataout_a_clr;
aclr_a_mux_register : cycloneiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => dataout_a_clr_reg_latch_in,
clk => clk_a_core,
aclr => wire_gnd,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => wire_vcc,
q => dataout_a_clr_reg_latch_out
);
dataout_a_clr_reg_latch <= dataout_a_clr_reg_latch_out(0);
-- Port B output register clear
dataout_b_clr_reg_latch_in(0) <= dataout_b_clr;
aclr_b_mux_register : cycloneiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => dataout_b_clr_reg_latch_in,
clk => clk_b_core,
aclr => wire_gnd,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => wire_vcc,
q => dataout_b_clr_reg_latch_out
);
dataout_b_clr_reg_latch <= dataout_b_clr_reg_latch_out(0);
-- ------ Output registers
clkena_out_c0 <= '1' WHEN (clk0_output_clock_enable = "none") ELSE ena0;
clkena_out_c1 <= '1' WHEN (clk1_output_clock_enable = "none") ELSE ena1;
clkena_a_out <= clkena_out_c0 WHEN (port_a_data_out_clock = "clock0") ELSE clkena_out_c1;
clkena_b_out <= clkena_out_c0 WHEN (port_b_data_out_clock = "clock0") ELSE clkena_out_c1;
dataout_a <= dataout_prime WHEN primary_port_is_a ELSE dataout_sec;
dataout_b <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
dataout_prime WHEN primary_port_is_b ELSE dataout_sec;
dataout_a_register : cycloneiii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => dataout_a,
clk => clk_a_out,
aclr => dataout_a_clr_reg,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_a_out,
q => dataout_a_reg
);
dataout_b_register : cycloneiii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => dataout_b,
clk => clk_b_out,
aclr => dataout_b_clr_reg,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_b_out,
q => dataout_b_reg
);
portadataout <= dataout_a_reg WHEN out_a_is_reg ELSE dataout_a;
portbdataout <= dataout_b_reg WHEN out_b_is_reg ELSE dataout_b;
END block_arch;
-----------------------------------------------------------------------
--
-- Module Name : cycloneiii_mac_data_reg
--
-- Description : Simulation model for the data input register of
-- Cyclone II MAC_MULT
--
-----------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.VITAL_Primitives.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.std_logic_1164.all;
USE work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_mac_data_reg IS
GENERIC (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_data : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tsetup_data_clk_noedge_posedge : VitalDelayArrayType(17 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_data_clk_noedge_posedge : VitalDelayArrayType(17 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_aclr_dataout_posedge : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tpd_clk_dataout_posedge : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
data_width : integer := 18
);
PORT (
-- INPUT PORTS
clk : IN std_logic;
data : IN std_logic_vector(17 DOWNTO 0);
ena : IN std_logic;
aclr : IN std_logic;
-- OUTPUT PORTS
dataout : OUT std_logic_vector(17 DOWNTO 0)
);
END cycloneiii_mac_data_reg;
ARCHITECTURE vital_cycloneiii_mac_data_reg OF cycloneiii_mac_data_reg IS
SIGNAL data_ipd : std_logic_vector(17 DOWNTO 0);
SIGNAL aclr_ipd : std_logic;
SIGNAL clk_ipd : std_logic;
SIGNAL ena_ipd : std_logic;
SIGNAL dataout_tmp : std_logic_vector(17 DOWNTO 0) := (OTHERS => '0');
BEGIN
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
g1 : for i in data'range generate
VitalWireDelay (data_ipd(i), data(i), tipd_data(i));
end generate;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
process (clk_ipd, aclr_ipd, data_ipd)
begin
if (aclr_ipd = '1') then
dataout_tmp <= (OTHERS => '0');
elsif (clk_ipd'event and clk_ipd = '1' and (ena_ipd = '1')) then
dataout_tmp <= data_ipd;
end if;
end process;
sh: block
begin
g0 : for i in data'range generate
process (data_ipd(i),clk_ipd,ena_ipd)
variable Tviol_data_clk : std_ulogic := '0';
variable TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_data_clk,
TimingData => TimingData_data_clk,
TestSignal => data_ipd(i),
TestSignalName => "DATA(i)",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_data_clk_noedge_posedge(i),
SetupLow => tsetup_data_clk_noedge_posedge(i),
HoldHigh => thold_data_clk_noedge_posedge(i),
HoldLow => thold_data_clk_noedge_posedge(i),
CheckEnabled => TO_X01((aclr) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/MAC_DATA_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01(aclr) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/MAC_DATA_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
END PROCESS;
end generate g0;
end block;
----------------------
-- Path Delay Section
----------------------
PathDelay : block
begin
g1 : for i in dataout_tmp'range generate
VITALtiming : process (dataout_tmp(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (OutSignal => dataout(i),
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp(i),
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge(i), TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn);
end process;
end generate;
end block;
END vital_cycloneiii_mac_data_reg;
--------------------------------------------------------------------
--
-- Module Name : cycloneiii_mac_sign_reg
--
-- Description : Simulation model for the sign input register of
-- Cyclone II MAC_MULT
--
--------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.VITAL_Primitives.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.std_logic_1164.all;
USE work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_mac_sign_reg IS
GENERIC (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
-- INPUT PORTS
clk : IN std_logic;
d : IN std_logic;
ena : IN std_logic;
aclr : IN std_logic;
-- OUTPUT PORTS
q : OUT std_logic
);
END cycloneiii_mac_sign_reg;
ARCHITECTURE cycloneiii_mac_sign_reg OF cycloneiii_mac_sign_reg IS
signal d_ipd : std_logic;
signal clk_ipd : std_logic;
signal aclr_ipd : std_logic;
signal ena_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process (clk_ipd, aclr_ipd)
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable q_reg : std_logic := '0';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/SIGN_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01(aclr) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/SIGN_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (aclr_ipd = '1') then
q_reg := '0';
elsif (clk_ipd'event and clk_ipd = '1' and (ena_ipd = '1')) then
q_reg := d_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
END cycloneiii_mac_sign_reg;
--------------------------------------------------------------------
--
-- Module Name : cycloneiii_mac_mult_internal
--
-- Description : Cyclone II MAC_MULT_INTERNAL VHDL simulation model
--
--------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.VITAL_Primitives.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
USE work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_mac_mult_internal IS
GENERIC (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_dataa : VitalDelayArrayType01(17 downto 0)
:= (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0)
:= (OTHERS => DefPropDelay01);
tipd_signa : VitalDelayType01 := DefPropDelay01;
tipd_signb : VitalDelayType01 := DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(18*36 -1 downto 0) :=(others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(18*36 -1 downto 0) :=(others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01);
tpd_signb_dataout : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01);
dataa_width : integer := 18;
datab_width : integer := 18
);
PORT (
dataa : IN std_logic_vector(17 DOWNTO 0) := (OTHERS => '0');
datab : IN std_logic_vector(17 DOWNTO 0) := (OTHERS => '0');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0)
);
END cycloneiii_mac_mult_internal;
ARCHITECTURE vital_cycloneiii_mac_mult_internal OF cycloneiii_mac_mult_internal IS
-- Internal variables
SIGNAL dataa_ipd : std_logic_vector(17 DOWNTO 0);
SIGNAL datab_ipd : std_logic_vector(17 DOWNTO 0);
SIGNAL signa_ipd : std_logic;
SIGNAL signb_ipd : std_logic;
-- padding with 1's for input negation
SIGNAL reg_aclr : std_logic;
SIGNAL dataout_tmp : STD_LOGIC_VECTOR (dataa_width + datab_width downto 0) := (others => '0');
BEGIN
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
g1 : for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 : for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signb, tipd_signb);
end block;
VITALtiming : process(dataa_ipd, datab_ipd, signa_ipd, signb_ipd)
begin
if((signa_ipd = '0') and (signb_ipd = '1')) then
dataout_tmp <=
unsigned(dataa_ipd(dataa_width-1 downto 0)) *
signed(datab_ipd(datab_width-1 downto 0));
elsif((signa_ipd = '1') and (signb_ipd = '0')) then
dataout_tmp <=
signed(dataa_ipd(dataa_width-1 downto 0)) *
unsigned(datab_ipd(datab_width-1 downto 0));
elsif((signa_ipd = '1') and (signb_ipd = '1')) then
dataout_tmp(dataout'range) <=
signed(dataa_ipd(dataa_width-1 downto 0)) *
signed(datab_ipd(datab_width-1 downto 0));
else --((signa_ipd = '0') and (signb_ipd = '0')) then
dataout_tmp(dataout'range) <=
unsigned(dataa_ipd(dataa_width-1 downto 0)) *
unsigned(datab_ipd(datab_width-1 downto 0));
end if;
end process;
----------------------
-- Path Delay Section
----------------------
PathDelay : block
begin
g1 : for i in dataout'range generate
VITALtiming : process (dataout_tmp(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (signa'last_event, tpd_signa_dataout(i), TRUE),
3 => (signb'last_event, tpd_signb_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE );
end process;
end generate;
end block;
END vital_cycloneiii_mac_mult_internal;
--------------------------------------------------------------------
--
-- Module Name : cycloneiii_mac_mult
--
-- Description : Cyclone II MAC_MULT VHDL simulation model
--
--------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.VITAL_Primitives.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
USE work.cycloneiii_atom_pack.all;
USE work.cycloneiii_mac_data_reg;
USE work.cycloneiii_mac_sign_reg;
USE work.cycloneiii_mac_mult_internal;
ENTITY cycloneiii_mac_mult IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataa_clock : string := "none";
datab_clock : string := "none";
signa_clock : string := "none";
signb_clock : string := "none";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
lpm_hint : string := "true";
lpm_type : string := "cycloneiii_mac_mult"
);
PORT (
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (OTHERS => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (OTHERS => '0');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
ena : IN std_logic := '0';
dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END cycloneiii_mac_mult;
ARCHITECTURE vital_cycloneiii_mac_mult OF cycloneiii_mac_mult IS
COMPONENT cycloneiii_mac_data_reg
GENERIC (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_data : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tsetup_data_clk_noedge_posedge : VitalDelayArrayType(17 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_data_clk_noedge_posedge : VitalDelayArrayType(17 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_aclr_dataout_posedge : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tpd_clk_dataout_posedge : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
data_width : integer := 18
);
PORT (
-- INPUT PORTS
clk : IN std_logic;
data : IN std_logic_vector(17 DOWNTO 0);
ena : IN std_logic;
aclr : IN std_logic;
-- OUTPUT PORTS
dataout : OUT std_logic_vector(17 DOWNTO 0)
);
END COMPONENT;
COMPONENT cycloneiii_mac_sign_reg
GENERIC (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
-- INPUT PORTS
clk : IN std_logic;
d : IN std_logic;
ena : IN std_logic;
aclr : IN std_logic;
-- OUTPUT PORTS
q : OUT std_logic
);
END COMPONENT;
COMPONENT cycloneiii_mac_mult_internal
GENERIC (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_dataa : VitalDelayArrayType01(17 downto 0)
:= (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0)
:= (OTHERS => DefPropDelay01);
tipd_signa : VitalDelayType01 := DefPropDelay01;
tipd_signb : VitalDelayType01 := DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(18*36 -1 downto 0) :=(others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(18*36 -1 downto 0) :=(others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01);
tpd_signb_dataout : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01);
dataa_width : integer := 18;
datab_width : integer := 18
);
PORT (
dataa : IN std_logic_vector(17 DOWNTO 0) := (OTHERS => '0');
datab : IN std_logic_vector(17 DOWNTO 0) := (OTHERS => '0');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0)
);
END COMPONENT;
-- Internal variables
SIGNAL dataa_ipd : std_logic_vector(17 DOWNTO 0);
SIGNAL datab_ipd : std_logic_vector(17 DOWNTO 0);
SIGNAL idataa_reg : std_logic_vector(17 DOWNTO 0); -- optional register for dataa input
SIGNAL idatab_reg : std_logic_vector(17 DOWNTO 0); -- optional register for datab input
SIGNAL isigna_reg : std_logic; -- optional register for signa input
SIGNAL isignb_reg : std_logic; -- optional register for signb input
SIGNAL idataa_int : std_logic_vector(17 DOWNTO 0); -- dataa as seen by the multiplier input
SIGNAL idatab_int : std_logic_vector(17 DOWNTO 0); -- datab as seen by the multiplier input
SIGNAL isigna_int : std_logic; -- signa as seen by the multiplier input
SIGNAL isignb_int : std_logic; -- signb as seen by the multiplier input
-- padding with 1's for input negation
SIGNAL reg_aclr : std_logic;
SIGNAL dataout_tmp : STD_LOGIC_VECTOR (dataa_width + datab_width downto 0) := (others => '0');
BEGIN
---------------------
-- INPUT PATH DELAYs
---------------------
reg_aclr <= (NOT devpor) OR (NOT devclrn) OR (aclr) ;
-- padding input data to full bus width
dataa_ipd(dataa_width-1 downto 0) <= dataa;
datab_ipd(datab_width-1 downto 0) <= datab;
-- Optional input registers for dataa,b and signa,b
dataa_reg : cycloneiii_mac_data_reg
GENERIC MAP (
data_width => dataa_width)
PORT MAP (
clk => clk,
data => dataa_ipd,
ena => ena,
aclr => reg_aclr,
dataout => idataa_reg);
datab_reg : cycloneiii_mac_data_reg
GENERIC MAP (
data_width => datab_width)
PORT MAP (
clk => clk,
data => datab_ipd,
ena => ena,
aclr => reg_aclr,
dataout => idatab_reg);
signa_reg : cycloneiii_mac_sign_reg
PORT MAP (
clk => clk,
d => signa,
ena => ena,
aclr => reg_aclr,
q => isigna_reg);
signb_reg : cycloneiii_mac_sign_reg
PORT MAP (
clk => clk,
d => signb,
ena => ena,
aclr => reg_aclr,
q => isignb_reg);
idataa_int <= dataa_ipd WHEN (dataa_clock = "none") ELSE idataa_reg;
idatab_int <= datab_ipd WHEN (datab_clock = "none") ELSE idatab_reg;
isigna_int <= signa WHEN (signa_clock = "none") ELSE isigna_reg;
isignb_int <= signb WHEN (signb_clock = "none") ELSE isignb_reg;
mac_multiply : cycloneiii_mac_mult_internal
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width
)
PORT MAP (
dataa => idataa_int,
datab => idatab_int,
signa => isigna_int,
signb => isignb_int,
dataout => dataout
);
END vital_cycloneiii_mac_mult;
--------------------------------------------------------------------
--
-- Module Name : cycloneiii_mac_out
--
-- Description : Cyclone II MAC_OUT VHDL simulation model
--
--------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.VITAL_Primitives.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.std_logic_1164.all;
USE work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_mac_out IS
GENERIC (
dataa_width : integer := 1;
output_clock : string := "none";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_dataa : VitalDelayArrayType01(35 downto 0)
:= (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_dataa_dataout :VitalDelayArrayType01(36*36 -1 downto 0) :=(others => DefPropDelay01);
tpd_aclr_dataout_posedge : VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01);
tpd_clk_dataout_posedge :VitalDelayArrayType01(35 downto 0) :=(others => DefPropDelay01);
tsetup_dataa_clk_noedge_posedge : VitalDelayArrayType(35 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_dataa_clk_noedge_posedge : VitalDelayArrayType(35 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
lpm_hint : string := "true";
lpm_type : string := "cycloneiii_mac_out");
PORT (
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (OTHERS => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
ena : IN std_logic := '1';
dataout : OUT std_logic_vector(dataa_width-1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END cycloneiii_mac_out;
ARCHITECTURE vital_cycloneiii_mac_out OF cycloneiii_mac_out IS
-- internal variables
SIGNAL dataa_ipd : std_logic_vector(dataa'range);
SIGNAL clk_ipd : std_logic;
SIGNAL aclr_ipd : std_logic;
SIGNAL ena_ipd : std_logic;
-- optional register
SIGNAL use_reg : std_logic;
SIGNAL dataout_tmp : std_logic_vector(dataout'range) := (OTHERS => '0');
BEGIN
---------------------
-- PATH DELAYs
---------------------
WireDelay : block
begin
g1 : for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
VITALtiming : process (clk_ipd, aclr_ipd, dataout_tmp(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp(i),
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge(i), use_reg = '1'),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge(i), use_reg = '1'),
2 => (dataa_ipd(i)'last_event, tpd_dataa_dataout(i), use_reg = '0')),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end generate;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
use_reg <= '1' WHEN (output_clock /= "none") ELSE '0';
sh: block
begin
g0 : for i in dataa'range generate
VITALtiming : process (clk_ipd, ena_ipd, dataa_ipd(i))
variable Tviol_dataa_clk : std_ulogic := '0';
variable TimingData_dataa_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_dataa_clk,
TimingData => TimingData_dataa_clk,
TestSignal => dataa(i),
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_dataa_clk_noedge_posedge(i),
SetupLow => tsetup_dataa_clk_noedge_posedge(i),
HoldHigh => thold_dataa_clk_noedge_posedge(i),
HoldLow => thold_dataa_clk_noedge_posedge(i),
CheckEnabled => TO_X01((aclr) OR (NOT use_reg) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/MAC_DATA_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr) OR
(NOT use_reg)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/MAC_DATA_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
END PROCESS;
end generate g0;
end block;
process (clk_ipd, aclr_ipd,ena_ipd, dataa_ipd)
begin
if (use_reg = '0') then
dataout_tmp <= dataa_ipd;
else
if (aclr_ipd = '1') then
dataout_tmp <= (OTHERS => '0');
elsif (clk_ipd'event and clk_ipd = '1' and (ena_ipd = '1')) then
dataout_tmp <= dataa_ipd;
end if;
end if;
end process;
END vital_cycloneiii_mac_out;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_io_ibuf
--
-- Description : Cyclone III IO Ibuf VHDL simulation model
--
--
---------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_io_ibuf IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_ibar : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_ibar_o : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
differential_mode : string := "false";
bus_hold : string := "false";
simulate_z_as : string := "Z";
lpm_type : string := "cycloneiii_io_ibuf"
);
PORT (
i : IN std_logic := '0';
ibar : IN std_logic := '0';
o : OUT std_logic
);
END cycloneiii_io_ibuf;
ARCHITECTURE arch OF cycloneiii_io_ibuf IS
SIGNAL i_ipd : std_logic := '0';
SIGNAL ibar_ipd : std_logic := '0';
SIGNAL o_tmp : std_logic;
SIGNAL out_tmp : std_logic;
SIGNAL prev_value : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
VitalWireDelay (ibar_ipd, ibar, tipd_ibar);
end block;
PROCESS(i_ipd, ibar_ipd)
BEGIN
IF (differential_mode = "false") THEN
IF (i_ipd = '1') THEN
o_tmp <= '1';
prev_value <= '1';
ELSIF (i_ipd = '0') THEN
o_tmp <= '0';
prev_value <= '0';
ELSE
o_tmp <= i_ipd;
END IF;
ELSE
IF (( i_ipd = '0' ) and (ibar_ipd = '1')) then
o_tmp <= '0';
ELSIF (( i_ipd = '1' ) and (ibar_ipd = '0')) then
o_tmp <= '1';
ELSIF((( i_ipd = '1' ) and (ibar_ipd = '1')) or (( i_ipd = '0' ) and (ibar_ipd = '0')))then
o_tmp <= 'X';
ELSE
o_tmp <= 'X';
END IF;
END IF;
END PROCESS;
out_tmp <= prev_value when (bus_hold = "true") else
'Z' when((o_tmp = 'Z') AND (simulate_z_as = "Z")) else
'X' when((o_tmp = 'Z') AND (simulate_z_as = "X")) else
'1' when((o_tmp = 'Z') AND (simulate_z_as = "vcc")) else
'0' when((o_tmp = 'Z') AND (simulate_z_as = "gnd")) else
o_tmp;
----------------------
-- Path Delay Section
----------------------
PROCESS( out_tmp)
variable output_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => out_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE),
1 => (ibar_ipd'last_event, tpd_ibar_o, TRUE)),
GlitchData => output_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_io_obuf
--
-- Description : Cyclone III IO Obuf VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_io_obuf IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_seriesterminationcontrol : VitalDelayArrayType01(15 DOWNTO 0) := (others => DefPropDelay01 );
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_oe_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
tpd_oe_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
open_drain_output : string := "false";
bus_hold : string := "false";
lpm_type : string := "cycloneiii_io_obuf"
);
PORT (
i : IN std_logic := '0';
oe : IN std_logic := '1';
seriesterminationcontrol : IN std_logic_vector(15 DOWNTO 0) := (others => '0');
devoe : IN std_logic := '1';
o : OUT std_logic;
obar : OUT std_logic
);
END cycloneiii_io_obuf;
ARCHITECTURE arch OF cycloneiii_io_obuf IS
--INTERNAL Signals
SIGNAL i_ipd : std_logic := '0';
SIGNAL oe_ipd : std_logic := '0';
SIGNAL out_tmp : std_logic := 'Z';
SIGNAL out_tmp_bar : std_logic;
SIGNAL prev_value : std_logic := '0';
SIGNAL o_tmp : std_logic;
SIGNAL obar_tmp : std_logic;
SIGNAL o_tmp1 : std_logic;
SIGNAL obar_tmp1 : std_logic;
SIGNAL seriesterminationcontrol_ipd : std_logic_vector(15 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
VitalWireDelay (oe_ipd, oe, tipd_oe);
g1 :for i in seriesterminationcontrol'range generate
VitalWireDelay (seriesterminationcontrol_ipd(i), seriesterminationcontrol(i), tipd_seriesterminationcontrol(i));
end generate;
end block;
PROCESS( i_ipd, oe_ipd)
BEGIN
IF (oe_ipd = '1') THEN
IF (open_drain_output = "true") THEN
IF (i_ipd = '0') THEN
out_tmp <= '0';
out_tmp_bar <= '1';
prev_value <= '0';
ELSE
out_tmp <= 'Z';
out_tmp_bar <= 'Z';
END IF;
ELSE
IF (i_ipd = '0') THEN
out_tmp <= '0';
out_tmp_bar <= '1';
prev_value <= '0';
ELSE
IF (i_ipd = '1') THEN
out_tmp <= '1';
out_tmp_bar <= '0';
prev_value <= '1';
ELSE
out_tmp <= i_ipd;
out_tmp_bar <= i_ipd;
END IF;
END IF;
END IF;
ELSE
IF (oe_ipd = '0') THEN
out_tmp <= 'Z';
out_tmp_bar <= 'Z';
ELSE
out_tmp <= 'X';
out_tmp_bar <= 'X';
END IF;
END IF;
END PROCESS;
o_tmp1 <= prev_value WHEN (bus_hold = "true") ELSE out_tmp;
obar_tmp1 <= NOT prev_value WHEN (bus_hold = "true") ELSE out_tmp_bar;
o_tmp <= o_tmp1 WHEN (devoe = '1') ELSE 'Z';
obar_tmp <= obar_tmp1 WHEN (devoe = '1') ELSE 'Z';
---------------------
-- Path Delay Section
----------------------
PROCESS( o_tmp,obar_tmp)
variable o_VitalGlitchData : VitalGlitchDataType;
variable obar_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => o_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE),
1 => (oe_ipd'last_event, tpd_oe_o, TRUE)),
GlitchData => o_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
VitalPathDelay01 (
OutSignal => obar,
OutSignalName => "obar",
OutTemp => obar_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_obar, TRUE),
1 => (oe_ipd'last_event, tpd_oe_obar, TRUE)),
GlitchData => obar_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_ddio_oe
--
-- Description : Cyclone III DDIO_OE VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_ddio_oe IS
generic(
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
lpm_type : string := "cycloneiii_ddio_oe"
);
PORT (
oe : IN std_logic := '1';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END cycloneiii_ddio_oe;
ARCHITECTURE arch OF cycloneiii_ddio_oe IS
component cycloneiii_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01
);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic
);
end component;
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL oe_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL dffhi_tmp : std_logic;
signal nclk : std_logic;
signal dataout_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
nclk <= NOT clk_ipd;
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => oe_ipd,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi_tmp,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dffhi_tmp,
clk => nclk,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
--registered output
or_gate : cycloneiii_mux21
port map (
A => dffhi_tmp,
B => dfflo_tmp,
S => dfflo_tmp,
MO => dataout
);
dfflo <= dfflo_tmp ;
dffhi <= dffhi_tmp ;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_latch
--
-- Description : Cyclone III latch VHDL simulation model
--
--
---------------------------------------------------------------------
Library ieee;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_latch is
generic(
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "cycloneiii_latch";
tsetup_d_ena_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_d_ena_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tpd_d_q : VitalDelayType01 := DefPropDelay01;
tpd_ena_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_clr_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_pre_q_negedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clr : VitalDelayType01 := DefPropDelay01;
tipd_pre : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port(
d : in std_logic := '0';
ena : in std_logic := '1';
clr : in std_logic := '1';
pre : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_latch : entity is TRUE;
end cycloneiii_latch;
architecture vital_latch of cycloneiii_latch is
attribute VITAL_LEVEL0 of vital_latch : architecture is TRUE;
signal d_ipd : std_logic;
signal d_dly : std_logic;
signal clr_ipd : std_logic;
signal pre_ipd : std_logic;
signal ena_ipd : std_logic;
begin
d_dly <= d_ipd;
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clr_ipd, clr, tipd_clr);
VitalWireDelay (pre_ipd, pre, tipd_pre);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process ( d_dly, clr_ipd, pre_ipd,ena_ipd)
variable Tviol_d_ena : std_ulogic := '0';
variable TimingData_d_ena : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable iq : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_ena,
TimingData => TimingData_d_ena,
TestSignal => d_ipd,
TestSignalName => "DATAIN",
RefSignal => ena_ipd,
RefSignalName => "ENA",
SetupHigh => tsetup_d_ena_noedge_negedge,
SetupLow => tsetup_d_ena_noedge_negedge,
HoldHigh => thold_d_ena_noedge_negedge,
HoldLow => thold_d_ena_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/cycloneiii_latch",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
violation := Tviol_d_ena;
if ( (clr_ipd = '0')) then
iq := '0';
elsif (pre_ipd = '0') then
iq := '1';
elsif (violation = 'X' and x_on_violation = "on") then
iq := 'X';
elsif (ena_ipd = '1') then
iq := d_dly;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => iq,
Paths => (0 => (clr_ipd'last_event, tpd_clr_q_negedge, TRUE),
1 => (pre_ipd'last_event, tpd_pre_q_negedge, TRUE),
2 => (ena_ipd'last_event, tpd_ena_q_negedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_latch;
---------------------------------------------------------------------
--
-- Entity Name : cycloneiii_ddio_out
--
-- Description : Cyclone III DDIO_OUT VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_ddio_out IS
generic(
tipd_datainlo : VitalDelayType01 := DefPropDelay01;
tipd_datainhi : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkhi : VitalDelayType01 := DefPropDelay01;
tipd_clklo : VitalDelayType01 := DefPropDelay01;
tipd_muxsel : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
use_new_clocking_model : string := "false";
lpm_type : string := "cycloneiii_ddio_out"
);
PORT (
datainlo : IN std_logic := '0';
datainhi : IN std_logic := '0';
clk : IN std_logic := '0';
clkhi : IN std_logic := '0';
clklo : IN std_logic := '0';
muxsel : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic ;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END cycloneiii_ddio_out;
ARCHITECTURE arch OF cycloneiii_ddio_out IS
component cycloneiii_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01
);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic
);
end component;
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
component cycloneiii_latch
generic(
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "cycloneiii_latch";
tsetup_d_ena_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_d_ena_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tpd_d_q : VitalDelayType01 := DefPropDelay01;
tpd_ena_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_clr_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_pre_q_negedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clr : VitalDelayType01 := DefPropDelay01;
tipd_pre : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port(
d : in std_logic := '0';
ena : in std_logic := '1';
clr : in std_logic := '1';
pre : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL datainlo_ipd : std_logic := '0';
SIGNAL datainhi_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL clkhi_ipd : std_logic := '0';
SIGNAL clklo_ipd : std_logic := '0';
SIGNAL muxsel_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL dffhi_tmp : std_logic;
SIGNAL dataout_tmp : std_logic;
Signal mux_sel : std_logic;
Signal mux_hi : std_logic;
Signal sel_mux_hi_in : std_logic;
signal clk1 : std_logic;
signal clk_hi : std_logic;
signal clk_lo : std_logic;
signal muxsel1 : std_logic;
signal muxsel2: std_logic;
signal clk2 : std_logic;
signal muxsel_tmp: std_logic;
signal sel_mux_lo_in : std_logic;
signal datainlo_tmp : std_logic;
signal datainhi_tmp : std_logic;
signal dffhi_tmp1 : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (datainlo_ipd, datainlo, tipd_datainlo);
VitalWireDelay (datainhi_ipd, datainhi, tipd_datainhi);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (clkhi_ipd, clkhi, tipd_clkhi);
VitalWireDelay (clklo_ipd, clklo, tipd_clklo);
VitalWireDelay (muxsel_ipd, muxsel, tipd_muxsel);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
process(clk_ipd)
begin
clk1 <= clk_ipd;
end process;
process(muxsel_ipd)
begin
muxsel1 <= muxsel_ipd;
end process;
process(dffhi_tmp)
begin
dffhi_tmp1 <= dffhi_tmp;
end process;
--DDIO HIGH Register
clk_hi <= ((NOT clkhi_ipd) and ena_ipd) when(use_new_clocking_model = "true") else ((NOT clk_ipd) and ena_ipd);
datainhi_tmp <= '1' when (ddioreg_sclr ='0'and ddioreg_sload = '1')else '0'when (ddioreg_sclr ='1'and ddioreg_sload = '0') else datainhi;
ddioreg_hi : cycloneiii_latch
PORT MAP (
d=> datainhi_tmp,
ena => clk_hi,
pre => ddioreg_prn,
clr => ddioreg_aclr,
q => dffhi_tmp
);
--DDIO Low Register
clk_lo <= clklo_ipd when(use_new_clocking_model = "true") else clk_ipd;
datainlo_tmp <= datainlo;
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datainlo_tmp,
clk => clk_lo,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
muxsel2 <= muxsel1;
clk2 <= clk1;
mux_sel <= muxsel2 when(use_new_clocking_model = "true") else clk2;
muxsel_tmp <= NOT mux_sel;
sel_mux_lo_in <= dfflo_tmp;
sel_mux_hi_in <= dffhi_tmp1;
sel_mux : cycloneiii_mux21
port map (
A => sel_mux_hi_in,
B => sel_mux_lo_in,
S => muxsel_tmp,
MO => dataout
);
dfflo <= dfflo_tmp;
dffhi <= dffhi_tmp;
END arch;
----------------------------------------------------------------------------------
--Module Name: cycloneiii_pseudo_diff_out --
--Description: Simulation model for Cyclone III Pseudo Differential --
-- Output Buffer --
----------------------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_pseudo_diff_out IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
lpm_type : string := "cycloneiii_pseudo_diff_out"
);
PORT (
i : IN std_logic := '0';
o : OUT std_logic;
obar : OUT std_logic
);
END cycloneiii_pseudo_diff_out;
ARCHITECTURE arch OF cycloneiii_pseudo_diff_out IS
SIGNAL i_ipd : std_logic ;
SIGNAL o_tmp : std_logic ;
SIGNAL obar_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
end block;
PROCESS( i_ipd)
BEGIN
IF (i_ipd = '0') THEN
o_tmp <= '0';
obar_tmp <= '1';
ELSE
IF (i_ipd = '1') THEN
o_tmp <= '1';
obar_tmp <= '0';
ELSE
o_tmp <= i_ipd;
obar_tmp <= i_ipd;
END IF;
END IF;
END PROCESS;
---------------------
-- Path Delay Section
----------------------
PROCESS( o_tmp,obar_tmp)
variable o_VitalGlitchData : VitalGlitchDataType;
variable obar_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => o_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE)),
GlitchData => o_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
VitalPathDelay01 (
OutSignal => obar,
OutSignalName => "obar",
OutTemp => obar_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_obar, TRUE)),
GlitchData => obar_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
----------------------------------------------------------------------------
-- Module Name : cycloneiii_io_pad
-- Description : Simulation model for cycloneiii IO pad
----------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
ENTITY cycloneiii_io_pad IS
GENERIC (
lpm_type : string := "cycloneiii_io_pad");
PORT (
--INPUT PORTS
padin : IN std_logic := '0'; -- Input Pad
--OUTPUT PORTS
padout : OUT std_logic); -- Output Pad
END cycloneiii_io_pad;
ARCHITECTURE arch OF cycloneiii_io_pad IS
BEGIN
padout <= padin;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : cycloneiii_ena_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for the gated clock generation
-- Powers upto 1.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
ENTITY cycloneiii_ena_reg is
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_ena_reg : entity is TRUE;
end cycloneiii_ena_reg;
ARCHITECTURE behave of cycloneiii_ena_reg is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal d_ipd : std_logic;
signal clk_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clk_ipd, clk, tipd_clk);
end block;
VITALtiming : process (clk_ipd, prn, clrn)
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable q_reg : std_logic := '1';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((clrn) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/cycloneiii_ena_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' and (ena = '1')) then
q_reg := d_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for Cyclone III CLKCTRL Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- CYCLONEII_CLKCTRL Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
use work.cycloneiii_ena_reg;
entity cycloneiii_clkctrl is
generic (
clock_type : STRING := "Auto";
lpm_type : STRING := "cycloneiii_clkctrl";
ena_register_mode : STRING := "Falling Edge";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tpd_inclk_outclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01
);
port (
inclk : in std_logic_vector(3 downto 0) := "0000";
clkselect : in std_logic_vector(1 downto 0) := "00";
ena : in std_logic := '1';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
outclk : out std_logic
);
attribute VITAL_LEVEL0 of cycloneiii_clkctrl : entity is TRUE;
end cycloneiii_clkctrl;
architecture vital_clkctrl of cycloneiii_clkctrl is
attribute VITAL_LEVEL0 of vital_clkctrl : architecture is TRUE;
component cycloneiii_ena_reg
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end component;
signal inclk_ipd : std_logic_vector(3 downto 0);
signal clkselect_ipd : std_logic_vector(1 downto 0);
signal ena_ipd : std_logic;
signal clkmux_out : std_logic;
signal clkmux_out_inv : std_logic;
signal cereg_clr : std_logic;
signal cereg1_out : std_logic;
signal cereg2_out : std_logic;
signal ena_out : std_logic;
signal outclk_tmp : std_logic;
signal vcc : std_logic := '1';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (inclk_ipd(0), inclk(0), tipd_inclk(0));
VitalWireDelay (inclk_ipd(1), inclk(1), tipd_inclk(1));
VitalWireDelay (inclk_ipd(2), inclk(2), tipd_inclk(2));
VitalWireDelay (inclk_ipd(3), inclk(3), tipd_inclk(3));
VitalWireDelay (clkselect_ipd(0), clkselect(0), tipd_clkselect(0));
VitalWireDelay (clkselect_ipd(1), clkselect(1), tipd_clkselect(1));
end block;
process(inclk_ipd, clkselect_ipd)
variable tmp : std_logic;
begin
if (clkselect_ipd = "11") then
tmp := inclk_ipd(3);
elsif (clkselect_ipd = "10") then
tmp := inclk_ipd(2);
elsif (clkselect_ipd = "01") then
tmp := inclk_ipd(1);
else
tmp := inclk_ipd(0);
end if;
clkmux_out <= tmp;
clkmux_out_inv <= NOT tmp;
end process;
extena0_reg : cycloneiii_ena_reg
port map (
clk => clkmux_out_inv,
ena => vcc,
d => ena_ipd,
clrn => vcc,
prn => devpor,
q => cereg1_out
);
extena1_reg : cycloneiii_ena_reg
port map (
clk => clkmux_out_inv,
ena => vcc,
d => cereg1_out,
clrn => vcc,
prn => devpor,
q => cereg2_out
);
ena_out <= cereg1_out WHEN (ena_register_mode = "falling edge") ELSE
ena_ipd WHEN (ena_register_mode = "none") ELSE cereg2_out;
outclk_tmp <= ena_out AND clkmux_out;
-- output path
process (inclk_ipd,outclk_tmp)
variable outclk_VitalGlitchData : VitalGlitchDataType;
begin
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01
(
OutSignal => outclk,
OutSignalName => "OUTCLK",
OutTemp => outclk_tmp,
Paths => (0 => (inclk_ipd(0)'last_event, tpd_inclk_outclk(0), TRUE),
1 => (inclk_ipd(1)'last_event, tpd_inclk_outclk(1), TRUE),
2 => (inclk_ipd(2)'last_event, tpd_inclk_outclk(2), TRUE),
3 => (inclk_ipd(3)'last_event, tpd_inclk_outclk(3), TRUE)),
GlitchData => outclk_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end vital_clkctrl;
--
--
-- CYCLONEIII_RUBLOCK Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_rublock is
generic
(
sim_init_config : string := "factory";
sim_init_watchdog_value : integer := 0;
sim_init_status : integer := 0;
lpm_type : string := "cycloneiii_rublock"
);
port
(
clk : in std_logic;
shiftnld : in std_logic;
captnupdt : in std_logic;
regin : in std_logic;
rsttimer : in std_logic;
rconfig : in std_logic;
regout : out std_logic
);
end cycloneiii_rublock;
architecture architecture_rublock of cycloneiii_rublock is
begin
end architecture_rublock;
--
--
-- CYCLONEIII_APFCONTROLLER Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_apfcontroller is
generic
(
lpm_type: string := "cycloneiii_apfcontroller"
);
port
(
usermode : out std_logic;
nceout : out std_logic
);
end cycloneiii_apfcontroller;
architecture architecture_apfcontroller of cycloneiii_apfcontroller is
begin
end architecture_apfcontroller;
--------------------------------------------------------------------
--
-- Module Name : cycloneiii_termination
--
-- Description : Cyclone III Termination Atom VHDL simulation model
--
--------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY cycloneiii_termination IS
GENERIC (
pullup_control_to_core: string := "false";
power_down : string := "true";
test_mode : string := "false";
left_shift_termination_code : string := "false";
pullup_adder : integer := 0;
pulldown_adder : integer := 0;
clock_divide_by : integer := 32; -- 1, 4, 32
runtime_control : string := "false";
shift_vref_rup : string := "true";
shift_vref_rdn : string := "true";
shifted_vref_control : string := "true";
lpm_type : string := "cycloneiii_termination");
PORT (
rup : IN std_logic := '0';
rdn : IN std_logic := '0';
terminationclock : IN std_logic := '0';
terminationclear : IN std_logic := '0';
devpor : IN std_logic := '1';
devclrn : IN std_logic := '1';
comparatorprobe : OUT std_logic;
terminationcontrolprobe : OUT std_logic;
calibrationdone : OUT std_logic;
terminationcontrol : OUT std_logic_vector(15 DOWNTO 0));
END cycloneiii_termination;
ARCHITECTURE cycloneiii_termination_arch OF cycloneiii_termination IS
SIGNAL rup_compout : std_logic := '0';
SIGNAL rdn_compout : std_logic := '1';
BEGIN
calibrationdone <= '1'; -- power-up calibration status
comparatorprobe <= rup_compout WHEN (pullup_control_to_core = "true") ELSE rdn_compout;
rup_compout <= rup;
rdn_compout <= not rdn;
END cycloneiii_termination_arch;
-------------------------------------------------------------------
--
-- Entity Name : cycloneiii_jtag
--
-- Description : Cyclone III JTAG VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_jtag is
generic (
lpm_type : string := "cycloneiii_jtag"
);
port (
tms : in std_logic := '0';
tck : in std_logic := '0';
tdi : in std_logic := '0';
tdoutap : in std_logic := '0';
tdouser : in std_logic := '0';
tdo: out std_logic;
tmsutap: out std_logic;
tckutap: out std_logic;
tdiutap: out std_logic;
shiftuser: out std_logic;
clkdruser: out std_logic;
updateuser: out std_logic;
runidleuser: out std_logic;
usr1user: out std_logic
);
end cycloneiii_jtag;
architecture architecture_jtag of cycloneiii_jtag is
begin
end architecture_jtag;
-------------------------------------------------------------------
--
-- Entity Name : cycloneiii_crcblock
--
-- Description : Cyclone III CRCBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_crcblock is
generic (
oscillator_divider : integer := 1;
lpm_type : string := "cycloneiii_crcblock"
);
port (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
ldsrc : in std_logic := '0';
crcerror : out std_logic;
regout : out std_logic
);
end cycloneiii_crcblock;
architecture architecture_crcblock of cycloneiii_crcblock is
begin
crcerror <= '0';
regout <= '0';
end architecture_crcblock;
--
--
-- CYCLONEIII_OSCILLATOR Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.cycloneiii_atom_pack.all;
entity cycloneiii_oscillator is
generic
(
lpm_type: string := "cycloneiii_oscillator";
TimingChecksOn: Boolean := True;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
tpd_oscena_clkout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_oscena : VitalDelayType01 := DefPropDelay01
);
port
(
oscena : in std_logic;
clkout : out std_logic
);
end cycloneiii_oscillator;
architecture architecture_oscillator of cycloneiii_oscillator is
signal oscena_ipd : std_logic;
signal int_osc : std_logic := '0';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (oscena_ipd, oscena, tipd_oscena);
end block;
VITAL_osc : process(oscena_ipd, int_osc)
variable OSC_PW : time := 6250 ps; -- pulse width for 80MHz clock
variable osc_VitalGlitchData : VitalGlitchDataType;
begin
if (oscena_ipd = '1') then
if ((int_osc = '0') or (int_osc = '1')) then
int_osc <= not int_osc after OSC_PW;
else
int_osc <= '0' after OSC_PW;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => clkout,
OutSignalName => "osc",
OutTemp => int_osc,
Paths => (0 => (InputChangeTime => oscena_ipd'last_event,
PathDelay => tpd_oscena_clkout_posedge,
PathCondition => (oscena_ipd = '1'))),
GlitchData => osc_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end architecture_oscillator;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_serialized/Kernel/Ascon_block_datapath.vhd | 1 | 7915 | -------------------------------------------------------------------------------
--! @project Serialized hardware implementation of Asconv1286
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Ascon_StateUpdate_datapath is
port(
Clk : in std_logic; -- Clock
Reset : in std_logic; -- Reset (synchronous)
-- Control signals
RoundNr : in std_logic_vector(3 downto 0); -- biggest round is 12
sel1,sel2,sel3,sel4 : in std_logic_vector(1 downto 0);
sel0 : in std_logic_vector(2 downto 0);
selout : in std_logic;
SelSbox : in std_logic_vector(1 downto 0);
SelDiff : in std_logic_vector(2 downto 0);
Reg0En,Reg1En,Reg2En,Reg3En,Reg4En,RegOutEn : in std_logic;
SboxEnable : in std_logic;
ActivateGen : in std_logic;
GenSize : in std_logic_vector(2 downto 0);
-- Data signals
IV : in std_logic_vector(127 downto 0);
Key : in std_logic_vector(127 downto 0);
DataIn : in std_logic_vector(63 downto 0);
DataOut : out std_logic_vector(127 downto 0)
);
end entity Ascon_StateUpdate_datapath;
architecture structural of Ascon_StateUpdate_datapath is
-- constants
constant EXTRAIV : std_logic_vector(63 downto 0) := x"80400c0600000000"; -- used in the initialization
constant SEPCONSTANT : std_logic_vector(63 downto 0) := x"0000000000000001";
constant ADCONSTANT : std_logic_vector(63 downto 0) := x"8000000000000000";
-- Register signals
signal SboxReg0In,SboxReg1In,SboxReg2In,SboxReg3In,SboxReg4In : std_logic_vector(63 downto 0);
signal SboxReg0Out,SboxReg1Out,SboxReg2Out,SboxReg3Out,SboxReg4Out : std_logic_vector(63 downto 0);
signal RegOutIn,RegOutOut : std_logic_vector(127 downto 0);
-- Internal signals on datapath
signal Sbox0In,Sbox1In,Sbox2In,Sbox3In,Sbox4In : std_logic_vector(15 downto 0);
signal Sbox0Out,Sbox1Out,Sbox2Out,Sbox3Out,Sbox4Out : std_logic_vector(15 downto 0);
signal Diff1In, Diff2In, Diff3In, DiffOut : std_logic_vector(63 downto 0);
signal XorReg01,XorReg02,XorReg12,XorReg13,XorReg22 : std_logic_vector(63 downto 0);
signal XorReg31,XorReg4 : std_logic_vector(63 downto 0);
signal OutSig0 : std_logic_vector(63 downto 0);
signal OutSig1 : std_logic_vector(127 downto 0);
begin
-- declare and connect all sub entities
sboxregisters: entity work.Sbox_registers port map(Clk ,Sbox0Out, Sbox1Out, Sbox2Out, Sbox3Out, Sbox4Out, Sbox0In, Sbox1In, Sbox2In, Sbox3In, Sbox4In,
SboxReg0In, SboxReg1In, SboxReg2In, SboxReg3In, SboxReg4In, SboxReg0Out, SboxReg1Out, SboxReg2Out, SboxReg3Out, SboxReg4Out,
SelSbox, SboxEnable, Reg0En, Reg1En, Reg2En, Reg3En, Reg4En);
sbox: entity work.Sbox port map(Sbox0In,Sbox1In,Sbox2In,Sbox3In,Sbox4In,RoundNr,Sbox0Out,Sbox1Out,Sbox2Out,Sbox3Out,Sbox4Out,SelSbox);
difflayer: entity work.FullDiffusionLayer port map(Diff1In,Diff2In,Diff3In,DiffOut);
outpgen: entity work.OutputGenerator port map(SboxReg0Out,DataIn,GenSize,ActivateGen,XorReg01,OutSig0); -- ActivateGen is a bit that indicates decryption or not
---------------------------------------------
------ Combinatorial logic for a round ------
---------------------------------------------
datapath: process(Diff1In, Diff2In, Diff3In, DiffOut, SboxReg0In, SboxReg1In, SboxReg2In, SboxReg3In, SboxReg4In,
XorReg01,XorReg02,XorReg12,XorReg13,XorReg22,XorReg31,XorReg4,OutSig0, OutSig1,
SboxReg0Out, SboxReg1Out, SboxReg2Out, SboxReg3Out, SboxReg4Out, Key, IV, RegOutIn, RegOutOut, sel0, sel1, sel2, sel3, sel4,
selout) is
begin
-- Set correct inputs in registers
if sel0 = "000" then
SboxReg0In <= DiffOut;
elsif sel0 = "001" then
SboxReg0In <= EXTRAIV;
elsif sel0 = "010" then
SboxReg0In <= XorReg01;
elsif sel0 = "011" then
SboxReg0In <= XorReg02;
else
SboxReg0In <= SboxReg0Out xor ADCONSTANT;
end if;
if sel1 = "00" then
SboxReg1In <= DiffOut;
elsif sel1 = "01" then
SboxReg1In <= Key(127 downto 64);
elsif sel1 = "10" then
SboxReg1In <= XorReg13;
else
SboxReg1In <= XorReg12;
end if;
if sel2 = "00" then
SboxReg2In <= DiffOut;
elsif sel2 = "01" then
SboxReg2In <= Key(63 downto 0);
else
SboxReg2In <= XorReg22;
end if;
if sel3 = "00" then
SboxReg3In <= DiffOut;
elsif sel3 = "01" then
SboxReg3In <= IV(127 downto 64);
else
SboxReg3In <= XorReg31;
end if;
if sel4 = "00" then
SboxReg4In <= DiffOut;
elsif sel4 = "01" then
SboxReg4In <= IV(63 downto 0);
elsif sel4 = "10" then
SboxReg4In <= XorReg4;
else
SboxReg4In <= SboxReg4Out xor SEPCONSTANT;
end if;
XorReg02 <= SboxReg0Out xor Key(127 downto 64);
XorReg12 <= SboxReg1Out xor Key(63 downto 0);
XorReg13 <= SboxReg1Out xor Key(127 downto 64);
XorReg22 <= SboxReg2Out xor Key(63 downto 0);
XorReg31 <= SboxReg3Out xor Key(127 downto 64);
XorReg4 <= SboxReg4Out xor Key(63 downto 0);
-- Set output
OutSig1(127 downto 64) <= XorReg31;
OutSig1(63 downto 0) <= XorReg4;
if selout = '0' then
RegOutIn(127 downto 64) <= (others => '0');
RegOutIn(63 downto 0) <= OutSig0;
else
RegOutIn <= OutSig1;
end if;
DataOut <= RegOutOut;
if SelDiff = "000" then
Diff1In(63 downto 64 - 19) <= SboxReg0Out(19 - 1 downto 0);
Diff1In(63 - 19 downto 0) <= SboxReg0Out(63 downto 19);
Diff2In(63 downto 64 - 28) <= SboxReg0Out(28 - 1 downto 0);
Diff2In(63 - 28 downto 0) <= SboxReg0Out(63 downto 28);
Diff3In <= SboxReg0Out;
elsif SelDiff = "001" then
Diff1In(63 downto 64 - 61) <= SboxReg1Out(61 - 1 downto 0);
Diff1In(63 - 61 downto 0) <= SboxReg1Out(63 downto 61);
Diff2In(63 downto 64 - 39) <= SboxReg1Out(39 - 1 downto 0);
Diff2In(63 - 39 downto 0) <= SboxReg1Out(63 downto 39);
Diff3In <= SboxReg1Out;
elsif SelDiff = "010" then
Diff1In(63 downto 64 - 1) <= SboxReg2Out(1 - 1 downto 0);
Diff1In(63 - 1 downto 0) <= SboxReg2Out(63 downto 1);
Diff2In(63 downto 64 - 6) <= SboxReg2Out(6 - 1 downto 0);
Diff2In(63 - 6 downto 0) <= SboxReg2Out(63 downto 6);
Diff3In <= SboxReg2Out;
elsif SelDiff = "011" then
Diff1In(63 downto 64 - 10) <= SboxReg3Out(10 - 1 downto 0);
Diff1In(63 - 10 downto 0) <= SboxReg3Out(63 downto 10);
Diff2In(63 downto 64 - 17) <= SboxReg3Out(17 - 1 downto 0);
Diff2In(63 - 17 downto 0) <= SboxReg3Out(63 downto 17);
Diff3In <= SboxReg3Out;
else
Diff1In(63 downto 64 - 7) <= SboxReg4Out(7 - 1 downto 0);
Diff1In(63 - 7 downto 0) <= SboxReg4Out(63 downto 7);
Diff2In(63 downto 64 - 41) <= SboxReg4Out(41 - 1 downto 0);
Diff2In(63 - 41 downto 0) <= SboxReg4Out(63 downto 41);
Diff3In <= SboxReg4Out;
end if;
end process datapath;
---------------------------------------------
------ The registers in the datapath --------
---------------------------------------------
registerdatapath : process(Clk,Reset) is
begin
if(Clk = '1' and Clk'event) then
if Reset = '1' then -- synchronous reset
RegOutOut <= (others => '0');
else
if RegOutEn = '1' then
RegOutOut <= RegOutIn;
end if;
end if;
end if;
end process registerdatapath;
end architecture structural;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon128128_iterated/API_plus_CipherCore/AEAD.vhd | 9 | 5350 | -------------------------------------------------------------------------------
--! @file AEAD.vhd
--! @brief Top-level of authenticated encryption unit containing logic and memory region.
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.AEAD_pkg.all;
entity AEAD is
generic (
G_PWIDTH : integer := 32;
G_SWIDTH : integer := 32;
G_AUX_FIFO_CAPACITY : integer := 131072
);
port (
--! Global signals
clk : in std_logic;
rst : in std_logic;
--! Data in signals
pdi : in std_logic_vector(G_PWIDTH -1 downto 0);
pdi_valid : in std_logic;
pdi_ready : out std_logic;
--! Key signals
sdi : in std_logic_vector(G_SWIDTH -1 downto 0);
sdi_valid : in std_logic;
sdi_ready : out std_logic;
--! Data out signals
do : out std_logic_vector(G_PWIDTH -1 downto 0);
do_ready : in std_logic;
do_valid : out std_logic
);
end AEAD;
-------------------------------------------------------------------------------
--! @brief Architecture definition of crypto_template
-------------------------------------------------------------------------------
architecture structure of AEAD is
constant AUX_FIFO_DEPTH : integer := G_AUX_FIFO_CAPACITY/G_PWIDTH;
signal bypass_fifo_rd : std_logic;
signal bypass_fifo_wr : std_logic;
signal bypass_fifo_data : std_logic_vector(G_PWIDTH-1 downto 0);
signal bypass_fifo_full : std_logic;
signal bypass_fifo_empty : std_logic;
signal aux_fifo_din : std_logic_vector(G_PWIDTH-1 downto 0);
signal aux_fifo_dout : std_logic_vector(G_PWIDTH-1 downto 0);
signal aux_fifo_ctrl : std_logic_vector(3 downto 0);
signal aux_fifo_status : std_logic_vector(2 downto 0);
begin
u_logic:
entity work.AEAD_Core(structure)
generic map (
G_W => G_PWIDTH ,
G_SW => G_SWIDTH
)
port map (
clk => clk ,
rst => rst ,
pdi => pdi ,
pdi_valid => pdi_valid,
pdi_ready => pdi_ready,
sdi => sdi ,
sdi_valid => sdi_valid,
sdi_ready => sdi_ready,
do => do ,
do_ready => do_ready ,
do_valid => do_valid ,
--! FIFO signals
bypass_fifo_wr => bypass_fifo_wr,
bypass_fifo_rd => bypass_fifo_rd,
bypass_fifo_data => bypass_fifo_data,
bypass_fifo_full => bypass_fifo_full,
bypass_fifo_empty => bypass_fifo_empty,
aux_fifo_din => aux_fifo_din,
aux_fifo_dout => aux_fifo_dout,
aux_fifo_ctrl => aux_fifo_ctrl,
aux_fifo_status => aux_fifo_status
);
u_memory: block
begin
u_bypass_fifo:
entity work.fifo(structure)
generic map (G_W => G_PWIDTH, G_LOG2DEPTH => 6)
port map (
clk => clk ,
rst => rst ,
write => bypass_fifo_wr ,
read => bypass_fifo_rd ,
din => pdi ,
dout => bypass_fifo_data ,
almost_full => bypass_fifo_full ,
empty => bypass_fifo_empty
);
u_aux_fifo:
entity work.aux_fifo(structure)
generic map (G_W => G_PWIDTH, G_LOG2DEPTH => log2_ceil(AUX_FIFO_DEPTH))
port map (
clk => clk ,
rst => rst ,
fifo_din => aux_fifo_din ,
fifo_dout => aux_fifo_dout ,
fifo_ctrl_in => aux_fifo_ctrl ,
fifo_ctrl_out => aux_fifo_status
);
end block u_memory;
end structure; | gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon128128_serialized/Kernel/Sbox.vhd | 1 | 4044 | -------------------------------------------------------------------------------
--! @project Serialized hardware implementation of Asconv128128
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Sbox is
port(
X0In : in std_logic_vector(15 downto 0);
X1In : in std_logic_vector(15 downto 0);
X2In : in std_logic_vector(15 downto 0);
X3In : in std_logic_vector(15 downto 0);
X4In : in std_logic_vector(15 downto 0);
RoundNr : in std_logic_vector(3 downto 0);
X0Out : out std_logic_vector(15 downto 0);
X1Out : out std_logic_vector(15 downto 0);
X2Out : out std_logic_vector(15 downto 0);
X3Out : out std_logic_vector(15 downto 0);
X4Out : out std_logic_vector(15 downto 0);
Sel : in std_logic_vector(1 downto 0));
end entity Sbox;
architecture structural of Sbox is
begin
Sbox: process(X0In,X1In,X2In,X3In,X4In,RoundNr,Sel) is
-- Procedure for 5-bit Sbox
procedure doSboxPart (
variable SboxPartIn : in std_logic_vector(4 downto 0);
variable SboxPartOut : out std_logic_vector(4 downto 0)) is
-- Temp variable
variable SboxPartTemp : std_logic_vector(17 downto 0);
begin
-- Sbox Interconnections
SboxPartTemp(0) := SboxPartIn(0) xor SboxPartIn(4);
SboxPartTemp(1) := SboxPartIn(2) xor SboxPartIn(1);
SboxPartTemp(2) := SboxPartIn(4) xor SboxPartIn(3);
SboxPartTemp(3) := not SboxPartTemp(0);
SboxPartTemp(4) := not SboxPartIn(1);
SboxPartTemp(5) := not SboxPartTemp(1);
SboxPartTemp(6) := not SboxPartIn(3);
SboxPartTemp(7) := not SboxPartTemp(2);
SboxPartTemp(8) := SboxPartIn(1) and SboxPartTemp(3);
SboxPartTemp(9) := SboxPartTemp(1) and SboxPartTemp(4);
SboxPartTemp(10) := SboxPartIn(3) and SboxPartTemp(5);
SboxPartTemp(11) := SboxPartTemp(2) and SboxPartTemp(6);
SboxPartTemp(12) := SboxPartTemp(0) and SboxPartTemp(7);
SboxPartTemp(13) := SboxPartTemp(0) xor SboxPartTemp(9);
SboxPartTemp(14) := SboxPartIn(1) xor SboxPartTemp(10);
SboxPartTemp(15) := SboxPartTemp(1) xor SboxPartTemp(11);
SboxPartTemp(16) := SboxPartIn(3) xor SboxPartTemp(12);
SboxPartTemp(17) := SboxPartTemp(2) xor SboxPartTemp(8);
SboxPartOut(0) := SboxPartTemp(13) xor SboxPartTemp(17);
SboxPartOut(1) := SboxPartTemp(13) xor SboxPartTemp(14);
SboxPartOut(2) := not SboxPartTemp(15);
SboxPartOut(3) := SboxPartTemp(15) xor SboxPartTemp(16);
SboxPartOut(4) := SboxPartTemp(17);
end procedure doSboxPart;
variable X2TempIn : std_logic_vector(15 downto 0);
variable TempIn,TempOut : std_logic_vector(4 downto 0);
begin
-- Xor with round constants
if Sel = "11" then
X2TempIn(3 downto 0) := X2In(3 downto 0) xor RoundNr;
X2TempIn(7 downto 4) := X2In(7 downto 4) xnor RoundNr;
X2TempIn(15 downto 8) := X2In(15 downto 8);
else
X2TempIn := X2In;
end if;
-- Apply 5-bit Sbox 64 times
for i in X0In'range loop
TempIn(0) := X0In(i);
TempIn(1) := X1In(i);
TempIn(2) := X2TempIn(i);
TempIn(3) := X3In(i);
TempIn(4) := X4In(i);
doSboxPart(TempIn,TempOut);
X0Out(i) <= TempOut(0);
X1Out(i) <= TempOut(1);
X2Out(i) <= TempOut(2);
X3Out(i) <= TempOut(3);
X4Out(i) <= TempOut(4);
end loop;
end process Sbox;
end architecture structural;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_serialized/API_plus_CipherCore/std_logic_1164_additions.vhd | 9 | 70122 | ------------------------------------------------------------------------------
-- "std_logic_1164_additions" package contains the additions to the standard
-- "std_logic_1164" package proposed by the VHDL-200X-ft working group.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- Last Modified: $Date: 2007-05-31 14:53:37-04 $
-- RCS ID: $Id: std_logic_1164_additions.vhdl,v 1.10 2007-05-31 14:53:37-04 l435385 Exp $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package std_logic_1164_additions is
-- NOTE that in the new std_logic_1164, STD_LOGIC_VECTOR is a resolved
-- subtype of STD_ULOGIC_VECTOR. Thus there is no need for funcitons which
-- take inputs in STD_LOGIC_VECTOR.
-- For compatability with VHDL-2002, I have replicated all of these funcitons
-- here for STD_LOGIC_VECTOR.
-- new aliases
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bv is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_LOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_bit_vector is ieee.std_logic_1164.To_bitvector [STD_ULOGIC_VECTOR, BIT return BIT_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_slv is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [BIT_VECTOR return STD_LOGIC_VECTOR];
alias to_std_logic_vector is ieee.std_logic_1164.To_StdLogicVector [STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_suv is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [BIT_VECTOR return STD_ULOGIC_VECTOR];
alias to_std_ulogic_vector is ieee.std_logic_1164.To_StdULogicVector [STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR];
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR;
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
-------------------------------------------------------------------
-- vector-reduction functions.
-- "and" functions default to "1", or defaults to "0"
-------------------------------------------------------------------
-----------------------------------------------------------------------------
-- %%% Replace the "_reduce" functions with the ones commented out below.
-----------------------------------------------------------------------------
-- function "and" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "and" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nand" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "or" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "nor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xor" ( l : std_ulogic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_logic_vector ) RETURN std_ulogic;
-- function "xnor" ( l : std_ulogic_vector ) RETURN std_ulogic;
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC;
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC;
-------------------------------------------------------------------
-- ?= operators, same functionality as 1076.3 1994 std_match
-------------------------------------------------------------------
-- FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic;
-- FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic;
-- FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic;
-- FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic;
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC;
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC;
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC;
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC;
-- "??" operator, converts a std_ulogic to a boolean.
--%%% Uncomment the following operators
-- FUNCTION "??" (S : STD_ULOGIC) RETURN BOOLEAN;
--%%% REMOVE the following funciton (for testing only)
function \??\ (S : STD_ULOGIC) return BOOLEAN;
-- rtl_synthesis off
function to_string (value : STD_ULOGIC) return STRING;
function to_string (value : STD_ULOGIC_VECTOR) return STRING;
function to_string (value : STD_LOGIC_VECTOR) return STRING;
-- explicitly defined operations
alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_ULOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH];
alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING];
function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING];
function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING;
alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING];
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias BREAD is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, STD_LOGIC_VECTOR];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, STD_LOGIC_VECTOR];
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, STD_LOGIC_VECTOR];
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN);
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR);
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR, BOOLEAN];
-- alias HEX_READ is HREAD [LINE, STD_LOGIC_VECTOR];
alias BWRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, STD_LOGIC_VECTOR, SIDE, WIDTH];
-- rtl_synthesis on
function maximum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function maximum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function maximum (l, r : STD_ULOGIC) return STD_ULOGIC;
function minimum (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR;
function minimum (l, r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR;
function minimum (l, r : STD_ULOGIC) return STD_ULOGIC;
end package std_logic_1164_additions;
package body std_logic_1164_additions is
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
-----------------------------------------------------------------------------
-- New/updated funcitons for VHDL-200X fast track
-----------------------------------------------------------------------------
-------------------------------------------------------------------
-- overloaded shift operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- sll
-------------------------------------------------------------------
function "sll" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(1 to l'length - r) := lv(r + 1 to l'length);
else
result := l srl -r;
end if;
return result;
end function "sll";
-------------------------------------------------------------------
-- srl
-------------------------------------------------------------------
function "srl" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
begin
if r >= 0 then
result(r + 1 to l'length) := lv(1 to l'length - r);
else
result := l sll -r;
end if;
return result;
end function "srl";
-------------------------------------------------------------------
-- rol
-------------------------------------------------------------------
function "rol" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(1 to l'length - rm) := lv(rm + 1 to l'length);
result(l'length - rm + 1 to l'length) := lv(1 to rm);
else
result := l ror -r;
end if;
return result;
end function "rol";
-------------------------------------------------------------------
-- ror
-------------------------------------------------------------------
function "ror" (l : STD_LOGIC_VECTOR; r : INTEGER) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length) := (others => '0');
constant rm : INTEGER := r mod l'length;
begin
if r >= 0 then
result(rm + 1 to l'length) := lv(1 to l'length - rm);
result(1 to rm) := lv(l'length - rm + 1 to l'length);
else
result := l rol -r;
end if;
return result;
end function "ror";
-------------------------------------------------------------------
-- vector/scalar overloaded logical operators
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function "and" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "and" (lv(i), r);
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "and" (l, rv(i));
end loop;
return result;
end function "and";
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function "nand" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("and" (lv(i), r));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("and" (l, rv(i)));
end loop;
return result;
end function "nand";
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function "or" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "or" (lv(i), r);
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "or" (l, rv(i));
end loop;
return result;
end function "or";
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function "nor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("or" (lv(i), r));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("or" (l, rv(i)));
end loop;
return result;
end function "nor";
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function "xor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "xor" (lv(i), r);
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "xor" (l, rv(i));
end loop;
return result;
end function "xor";
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function "xnor" (l : STD_LOGIC_VECTOR; r : STD_ULOGIC) return STD_LOGIC_VECTOR is
alias lv : STD_LOGIC_VECTOR (1 to l'length) is l;
variable result : STD_LOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR is
alias lv : STD_ULOGIC_VECTOR (1 to l'length) is l;
variable result : STD_ULOGIC_VECTOR (1 to l'length);
begin
for i in result'range loop
result(i) := "not"("xor" (lv(i), r));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
alias rv : STD_LOGIC_VECTOR (1 to r'length) is r;
variable result : STD_LOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
alias rv : STD_ULOGIC_VECTOR (1 to r'length) is r;
variable result : STD_ULOGIC_VECTOR (1 to r'length);
begin
for i in result'range loop
result(i) := "not"("xor" (l, rv(i)));
end loop;
return result;
end function "xnor";
-------------------------------------------------------------------
-- vector-reduction functions
-------------------------------------------------------------------
-------------------------------------------------------------------
-- and
-------------------------------------------------------------------
function and_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return and_reduce (to_StdULogicVector (l));
end function and_reduce;
-------------------------------------------------------------------
function and_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '1';
begin
for i in l'reverse_range loop
result := (l(i) and result);
end loop;
return result;
end function and_reduce;
-------------------------------------------------------------------
-- nand
-------------------------------------------------------------------
function nand_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(to_StdULogicVector(l)));
end function nand_reduce;
-------------------------------------------------------------------
function nand_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not (and_reduce(l));
end function nand_reduce;
-------------------------------------------------------------------
-- or
-------------------------------------------------------------------
function or_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return or_reduce (to_StdULogicVector (l));
end function or_reduce;
-------------------------------------------------------------------
function or_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) or result);
end loop;
return result;
end function or_reduce;
-------------------------------------------------------------------
-- nor
-------------------------------------------------------------------
function nor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(To_StdULogicVector(l)));
end function nor_reduce;
-------------------------------------------------------------------
function nor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(or_reduce(l));
end function nor_reduce;
-------------------------------------------------------------------
-- xor
-------------------------------------------------------------------
function xor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return xor_reduce (to_StdULogicVector (l));
end function xor_reduce;
-------------------------------------------------------------------
function xor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable result : STD_ULOGIC := '0';
begin
for i in l'reverse_range loop
result := (l(i) xor result);
end loop;
return result;
end function xor_reduce;
-------------------------------------------------------------------
-- xnor
-------------------------------------------------------------------
function xnor_reduce (l : STD_LOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(To_StdULogicVector(l)));
end function xnor_reduce;
-------------------------------------------------------------------
function xnor_reduce (l : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return "not"(xor_reduce(l));
end function xnor_reduce;
-- %%% End "remove the following functions"
-- The following functions are implicity in 1076-2006
-- truth table for "?=" function
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
constant no_match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H |
('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_logic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic_vector ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result and result1;
end if;
end loop;
return result;
end if;
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return no_match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_logic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_LOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_LOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_LOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC; -- result
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic_vector ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
if ((l'length < 1) or (r'length < 1)) then
report "STD_LOGIC_1164.""?/="": null detected, returning X"
severity warning;
return 'X';
end if;
if lv'length /= rv'length then
report "STD_LOGIC_1164.""?/="": L'LENGTH /= R'LENGTH, returning X"
severity warning;
return 'X';
else
result := '0';
for i in lv'low to lv'high loop
result1 := no_match_logic_table(lv(i), rv(i));
if result1 = 'U' then
return 'U';
elsif result1 = 'X' or result = 'X' then
result := 'X';
else
result := result or result1;
end if;
end loop;
return result;
end if;
end function \?/=\;
-- %%% END FUNCTION "?/=";
-- %%% FUNCTION "?>" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx > rx then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
-- %%% END FUNCTION "?>";
-- %%% FUNCTION "?>=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?>=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?>="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx >= rx then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
-- %%% END FUNCTION "?/>=";
-- %%% FUNCTION "?<" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<"": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx < rx then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
-- %%% END FUNCTION "?/<";
-- %%% FUNCTION "?<=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?<=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
variable lx, rx : STD_ULOGIC;
begin
if (l = '-') or (r = '-') then
report "STD_LOGIC_1164.""?<="": '-' found in compare string"
severity error;
return 'X';
else
lx := to_x01 (l);
rx := to_x01 (r);
if lx = 'X' or rx = 'X' then
return 'X';
elsif lx <= rx then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
-- %%% END FUNCTION "?/<=";
-- "??" operator, converts a std_ulogic to a boolean.
-- %%% FUNCTION "??"
function \??\ (S : STD_ULOGIC) return BOOLEAN is
begin
return S = '1' or S = 'H';
end function \??\;
-- %%% END FUNCTION "??";
-- rtl_synthesis off
-----------------------------------------------------------------------------
-- This section copied from "std_logic_textio"
-----------------------------------------------------------------------------
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC;
GOOD : out BOOLEAN) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
good := false;
else
if char_to_MVL9plus(c) = error then
good := false;
else
VALUE := char_to_MVL9(c);
good := true;
end if;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable readOk : BOOLEAN;
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, readOk);
i := 0;
good := false;
while i < VALUE'length loop
if not readOk then -- Bail out if there was a bad read
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif (char_to_MVL9plus(c) = error) then -- Illegal character
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then -- reading done
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC) is
variable c : CHARACTER;
variable readOk : BOOLEAN;
begin
VALUE := 'U'; -- initialize to a "U"
Skip_whitespace (L);
read (l, c, readOk);
if not readOk then
report "STD_LOGIC_1164.READ(STD_ULOGIC) "
& "End of string encountered"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report
"STD_LOGIC_1164.READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
else
VALUE := char_to_MVL9(c);
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable m : STD_ULOGIC;
variable c : CHARACTER;
variable readOk : BOOLEAN;
variable mv : STD_ULOGIC_VECTOR(0 to VALUE'length-1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then -- non Null input string
read (l, c, readOk);
i := 0;
while i < VALUE'length loop
if readOk = false then -- Bail out if there was a bad read
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ' ' or c = NBSP or c = HT then -- reading done.
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Short read, Space encounted in input string"
severity error;
return;
elsif char_to_MVL9plus(c) = error then
report "STD_LOGIC_1164.READ(STD_ULOGIC_VECTOR) "
& "Error: Character '" &
c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv(i) := char_to_MVL9(c);
i := i + 1;
if i > mv'high then
VALUE := mv;
return;
end if;
lastu := false;
end if;
read(L, c, readOk);
end loop;
end if;
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write(l, MVL9_to_char(VALUE), justified, field);
end procedure WRITE;
procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_ULOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end procedure WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure READ (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
READ (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure READ;
procedure WRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
variable s : STRING(1 to VALUE'length);
variable m : STD_LOGIC_VECTOR(1 to VALUE'length) := VALUE;
begin
for i in 1 to VALUE'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(L, s, justified, field);
end procedure WRITE;
-----------------------------------------------------------------------
-- Alias for bread and bwrite are provided with call out the read and
-- write functions.
-----------------------------------------------------------------------
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.HREAD Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
good := false;
end case;
end procedure Char2QuadBits;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- good := false;
-- return;
-- elsif c = '_' then
-- if i = 0 then
-- good := false; -- Begins with an "_"
-- return;
-- elsif lastu then
-- good := false; -- "__" detected
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
-- if not ok then
-- good := false;
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- good := false; -- vector was truncated.
-- else
-- good := true;
-- VALUE := sv (pad to sv'high);
-- end if;
-- else
-- good := true; -- Null input string, skips whitespace
-- end if;
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
-- variable ok : BOOLEAN;
-- variable c : CHARACTER;
-- constant ne : INTEGER := (VALUE'length+3)/4;
-- constant pad : INTEGER := ne*4 - VALUE'length;
-- variable sv : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
-- variable i : INTEGER;
-- variable lastu : BOOLEAN := false; -- last character was an "_"
-- begin
-- VALUE := (VALUE'range => 'U'); -- initialize to a "U"
-- Skip_whitespace (L);
-- if VALUE'length > 0 then -- non Null input string
-- read (l, c, ok);
-- i := 0;
-- while i < ne loop
-- -- Bail out if there was a bad read
-- if not ok then
-- report "STD_LOGIC_1164.HREAD "
-- & "End of string encountered"
-- severity error;
-- return;
-- end if;
-- if c = '_' then
-- if i = 0 then
-- report "STD_LOGIC_1164.HREAD "
-- & "String begins with an ""_""" severity error;
-- return;
-- elsif lastu then
-- report "STD_LOGIC_1164.HREAD "
-- & "Two underscores detected in input string ""__"""
-- severity error;
-- return;
-- else
-- lastu := true;
-- end if;
-- else
-- Char2QuadBits(c, sv(4*i to 4*i+3), ok, true);
-- if not ok then
-- return;
-- end if;
-- i := i + 1;
-- lastu := false;
-- end if;
-- if i < ne then
-- read(L, c, ok);
-- end if;
-- end loop;
-- if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
-- report "STD_LOGIC_1164.HREAD Vector truncated"
-- severity error;
-- else
-- VALUE := sv (pad to sv'high);
-- end if;
-- end if;
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring (VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_ULOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report
"STD_LOGIC_1164.OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR) is
variable c : CHARACTER;
variable ok : BOOLEAN;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
report "STD_LOGIC_1164.OREAD "
& "End of string encountered"
severity error;
return;
elsif c = '_' then
if i = 0 then
report "STD_LOGIC_1164.OREAD "
& "String begins with an ""_""" severity error;
return;
elsif lastu then
report "STD_LOGIC_1164.OREAD "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, true);
if not ok then
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
report "STD_LOGIC_1164.OREAD Vector truncated"
severity error;
else
VALUE := sv (pad to sv'high);
end if;
end if;
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
-- GOOD : out BOOLEAN) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue, GOOD => GOOD);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
-- procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
-- variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
-- begin
-- HREAD (L => L, VALUE => ivalue);
-- VALUE := to_stdlogicvector (ivalue);
-- end procedure HREAD;
procedure HWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_hstring(VALUE), JUSTIFIED, FIELD);
end procedure HWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue, GOOD => GOOD);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR) is
variable ivalue : STD_ULOGIC_VECTOR (VALUE'range);
begin
OREAD (L => L, VALUE => ivalue);
VALUE := to_stdlogicvector (ivalue);
end procedure OREAD;
procedure OWRITE (L : inout LINE; VALUE : in STD_LOGIC_VECTOR;
JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is
begin
write (L, to_ostring(VALUE), JUSTIFIED, FIELD);
end procedure OWRITE;
-----------------------------------------------------------------------------
-- New string functions for vhdl-200x fast track
-----------------------------------------------------------------------------
function to_string (value : STD_ULOGIC) return STRING is
variable result : STRING (1 to 1);
begin
result (1) := MVL9_to_char (value);
return result;
end function to_string;
-------------------------------------------------------------------
-- TO_STRING (an alias called "to_bstring" is provide)
-------------------------------------------------------------------
function to_string (value : STD_ULOGIC_VECTOR) return STRING is
alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value;
variable result : STRING(1 to value'length);
begin
if value'length < 1 then
return NUS;
else
for i in ivalue'range loop
result(i) := MVL9_to_char(iValue(i));
end loop;
return result;
end if;
end function to_string;
-------------------------------------------------------------------
-- TO_HSTRING
-------------------------------------------------------------------
function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_ULOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
-------------------------------------------------------------------
-- TO_OSTRING
-------------------------------------------------------------------
function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_ULOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
function to_string (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_string (to_stdulogicvector (value));
end function to_string;
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_hstring (to_stdulogicvector (value));
end function to_hstring;
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
begin
return to_ostring (to_stdulogicvector (value));
end function to_ostring;
-- rtl_synthesis on
function maximum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
function maximum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function maximum
if L > R then return L;
else return R;
end if;
end function maximum;
-- std_logic_vector output
function minimum (L, R : STD_ULOGIC) return STD_ULOGIC is
begin -- function minimum
if L > R then return R;
else return L;
end if;
end function minimum;
end package body std_logic_1164_additions; | gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon128128_serialized/API_plus_CipherCore/fifo.vhd | 9 | 4405 | -------------------------------------------------------------------------------
--! @file fifo.vhd
--! @brief standard FIFO
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity fifo is
generic (
G_LOG2DEPTH : integer := 9; --! LOG(2) of depth
G_W : integer := 64 --! Width of I/O (bits)
);
port (
clk : in std_logic;
rst : in std_logic;
write : in std_logic;
read : in std_logic;
din : in std_logic_vector(G_W-1 downto 0);
dout : out std_logic_vector(G_W-1 downto 0);
almost_full : out std_logic;
almost_empty : out std_logic;
full : out std_logic;
empty : out std_logic
);
end fifo;
architecture structure of fifo is
signal readpointer : std_logic_vector(G_LOG2DEPTH -1 downto 0);
signal writepointer : std_logic_vector(G_LOG2DEPTH -1 downto 0);
signal bytecounter : std_logic_vector(G_LOG2DEPTH downto 0);
signal write_s : std_logic;
signal full_s : std_logic;
signal empty_s : std_logic;
type mem is array (2**G_LOG2DEPTH-1 downto 0) of std_logic_vector(G_W-1 downto 0);
signal memory : mem;
begin
p_fifo_ram:
process(clk)
begin
if ( rising_edge(clk) ) then
if (write_s = '1') then
memory(to_integer(unsigned(writepointer))) <= din;
end if;
if (read = '1') then
dout <= memory(to_integer(unsigned(readpointer)));
end if;
end if;
end process;
p_fifo_ptr:
process(clk)
begin
if rising_edge( clk ) then
if rst = '1' then
readpointer <= (others => '0');
writepointer <= (others => '0');
bytecounter <= (others => '0'); --differences (write pointer - read pointer)
else
if ( write = '1' and full_s = '0' and read = '0') then
writepointer <= writepointer + 1;
bytecounter <= bytecounter + 1;
elsif ( read = '1' and empty_s = '0' and write = '0') then
readpointer <= readpointer + 1;
bytecounter <= bytecounter - 1;
elsif ( read = '1' and empty_s = '0' and write = '1' and full_s = '0') then
readpointer <= readpointer + 1;
writepointer <= writepointer + 1;
elsif ( read = '1' and empty_s = '0' and write = '1' and full_s = '1') then -- cant write
readpointer <= readpointer + 1;
bytecounter <= bytecounter - 1;
elsif ( read = '1' and empty_s = '1' and write = '1' and full_s = '0') then -- cant read
writepointer <= writepointer + 1;
bytecounter <= bytecounter + 1;
end if;
end if;
end if;
end process;
empty_s <= '1' when (bytecounter = 0) else '0';
full_s <= bytecounter(G_LOG2DEPTH);
almost_full <= '1' when (bytecounter >= 2**G_LOG2DEPTH-1) else '0';
full <= full_s;
empty <= empty_s;
almost_empty <= '1' when (bytecounter = 1) else '0';
write_s <= '1' when ( write = '1' and full_s = '0') else '0';
end structure;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_unrolled2/API_plus_CipherCore/fifo.vhd | 9 | 4405 | -------------------------------------------------------------------------------
--! @file fifo.vhd
--! @brief standard FIFO
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity fifo is
generic (
G_LOG2DEPTH : integer := 9; --! LOG(2) of depth
G_W : integer := 64 --! Width of I/O (bits)
);
port (
clk : in std_logic;
rst : in std_logic;
write : in std_logic;
read : in std_logic;
din : in std_logic_vector(G_W-1 downto 0);
dout : out std_logic_vector(G_W-1 downto 0);
almost_full : out std_logic;
almost_empty : out std_logic;
full : out std_logic;
empty : out std_logic
);
end fifo;
architecture structure of fifo is
signal readpointer : std_logic_vector(G_LOG2DEPTH -1 downto 0);
signal writepointer : std_logic_vector(G_LOG2DEPTH -1 downto 0);
signal bytecounter : std_logic_vector(G_LOG2DEPTH downto 0);
signal write_s : std_logic;
signal full_s : std_logic;
signal empty_s : std_logic;
type mem is array (2**G_LOG2DEPTH-1 downto 0) of std_logic_vector(G_W-1 downto 0);
signal memory : mem;
begin
p_fifo_ram:
process(clk)
begin
if ( rising_edge(clk) ) then
if (write_s = '1') then
memory(to_integer(unsigned(writepointer))) <= din;
end if;
if (read = '1') then
dout <= memory(to_integer(unsigned(readpointer)));
end if;
end if;
end process;
p_fifo_ptr:
process(clk)
begin
if rising_edge( clk ) then
if rst = '1' then
readpointer <= (others => '0');
writepointer <= (others => '0');
bytecounter <= (others => '0'); --differences (write pointer - read pointer)
else
if ( write = '1' and full_s = '0' and read = '0') then
writepointer <= writepointer + 1;
bytecounter <= bytecounter + 1;
elsif ( read = '1' and empty_s = '0' and write = '0') then
readpointer <= readpointer + 1;
bytecounter <= bytecounter - 1;
elsif ( read = '1' and empty_s = '0' and write = '1' and full_s = '0') then
readpointer <= readpointer + 1;
writepointer <= writepointer + 1;
elsif ( read = '1' and empty_s = '0' and write = '1' and full_s = '1') then -- cant write
readpointer <= readpointer + 1;
bytecounter <= bytecounter - 1;
elsif ( read = '1' and empty_s = '1' and write = '1' and full_s = '0') then -- cant read
writepointer <= writepointer + 1;
bytecounter <= bytecounter + 1;
end if;
end if;
end if;
end process;
empty_s <= '1' when (bytecounter = 0) else '0';
full_s <= bytecounter(G_LOG2DEPTH);
almost_full <= '1' when (bytecounter >= 2**G_LOG2DEPTH-1) else '0';
full <= full_s;
empty <= empty_s;
almost_empty <= '1' when (bytecounter = 1) else '0';
write_s <= '1' when ( write = '1' and full_s = '0') else '0';
end structure;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_unrolled6/Kernel/DiffusionLayer.vhd | 1 | 1687 | -------------------------------------------------------------------------------
--! @project Unrolled (6) hardware implementation of Asconv1286
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity DiffusionLayer is
generic( SHIFT1 : integer range 0 to 63;
SHIFT2 : integer range 0 to 63);
port( Input : in std_logic_vector(63 downto 0);
Output : out std_logic_vector(63 downto 0));
end entity DiffusionLayer;
architecture structural of DiffusionLayer is
begin
DiffLayer: process(Input) is
variable Temp0,Temp1 : std_logic_vector(63 downto 0);
begin
Temp0(63 downto 64-SHIFT1) := Input(SHIFT1-1 downto 0);
Temp0(63-SHIFT1 downto 0) := Input(63 downto SHIFT1);
Temp1(63 downto 64-SHIFT2) := Input(SHIFT2-1 downto 0);
Temp1(63-SHIFT2 downto 0) := Input(63 downto SHIFT2);
Output <= Temp0 xor Temp1 xor Input;
end process DiffLayer;
end architecture structural;
| gpl-3.0 |
mgiacomini/mips-monocycle | PC.vhd | 2 | 1499 | -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- Complete implementation of Patterson and Hennessy single cycle MIPS processor
-- Copyright (C) 2015 Darci Luiz Tomasi Junior
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- Engineer: Darci Luiz Tomasi Junior
-- E-mail: [email protected]
-- Date : 01/07/2015 - 19:53
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
ENTITY PC IS
PORT(
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
IN_A : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
OUT_A : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END PC;
ARCHITECTURE ARC_PC OF PC IS
BEGIN
PROCESS(CLK, RESET)
BEGIN
IF RESET = '1' THEN
OUT_A <= X"00400000"; --Para utilizar com o MARS
ELSIF CLK'EVENT AND CLK = '1' THEN
OUT_A <= IN_A;
END IF;
END PROCESS;
END ARC_PC;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_unrolled6/Kernel/Ascon_block_datapath.vhd | 1 | 6151 | -------------------------------------------------------------------------------
--! @project Unrolled (6) hardware implementation of Asconv1286
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Ascon_StateUpdate_datapath is
port(
Clk : in std_logic; -- Clock
Reset : in std_logic; -- Reset (synchronous)
-- Control signals
RoundNr : in std_logic; -- biggest round is 12
sel1,sel2,sel3,sel4 : in std_logic_vector(1 downto 0);
sel0 : in std_logic_vector(2 downto 0);
selout : in std_logic;
Reg0En,Reg1En,Reg2En,Reg3En,Reg4En,RegOutEn : in std_logic;
ActivateGen : in std_logic;
GenSize : in std_logic_vector(2 downto 0);
-- Data signals
IV : in std_logic_vector(127 downto 0);
Key : in std_logic_vector(127 downto 0);
DataIn : in std_logic_vector(63 downto 0);
DataOut : out std_logic_vector(127 downto 0)
);
end entity Ascon_StateUpdate_datapath;
architecture structural of Ascon_StateUpdate_datapath is
-- constants
constant EXTRAIV : std_logic_vector(63 downto 0) := x"80400c0600000000"; -- used in the initialization
constant SEPCONSTANT : std_logic_vector(63 downto 0) := x"0000000000000001";
constant ADCONSTANT : std_logic_vector(63 downto 0) := x"8000000000000000";
-- Register signals
signal Reg0In,Reg1In,Reg2In,Reg3In,Reg4In : std_logic_vector(63 downto 0);
signal Reg0Out,Reg1Out,Reg2Out,Reg3Out,Reg4Out : std_logic_vector(63 downto 0);
signal RegOutIn,RegOutOut : std_logic_vector(127 downto 0);
-- Internal signals on datapath
signal DiffOut0,DiffOut1,DiffOut2,DiffOut3,DiffOut4 : std_logic_vector(63 downto 0);
signal XorReg01,XorReg02,XorReg12,XorReg13,XorReg22 : std_logic_vector(63 downto 0);
signal XorReg2,XorReg31,XorReg4 : std_logic_vector(63 downto 0);
signal OutSig0: std_logic_vector(63 downto 0);
signal OutSig1: std_logic_vector(127 downto 0);
begin
-- declare and connect all sub entities
rounds: entity work.Fullrounds port map(Reg0Out,Reg1Out,Reg2Out,Reg3Out,Reg4Out,RoundNr,DiffOut0,DiffOut1,DiffOut2,DiffOut3,DiffOut4);
outpgen: entity work.OutputGenerator port map(Reg0Out,DataIn,GenSize,ActivateGen,XorReg01,OutSig0); -- ActivateGen is a bit that indicates decryption or not
---------------------------------------------
------ Combinatorial logic for a round ------
---------------------------------------------
datapath: process(IV,Key,DataIn,Reg0Out,Reg1Out,Reg2Out,Reg3Out,Reg4Out,RegOutOut, -- inputs blocks and registers
DiffOut0,DiffOut1,DiffOut2,DiffOut3,DiffOut4, -- internal signals
XorReg01,XorReg02,XorReg12,XorReg13,XorReg22,XorReg2,XorReg31,XorReg4,OutSig0,OutSig1, -- internal signals
RoundNr,sel0,sel1,sel2,sel3,sel4,ActivateGen,selout,GenSize) is -- control signals
begin
-- Set correct inputs in registers
if sel0 = "000" then
Reg0In <= DiffOut0;
elsif sel0 = "001" then
Reg0In <= EXTRAIV;
elsif sel0 = "010" then
Reg0In <= XorReg01;
elsif sel0 = "011" then
Reg0In <= XorReg02;
else
Reg0In <= Reg0Out xor ADCONSTANT;
end if;
if sel1 = "00" then
Reg1In <= DiffOut1;
elsif sel1 = "01" then
Reg1In <= Key(127 downto 64);
elsif sel1 = "10" then
Reg1In <= XorReg13;
else
Reg1In <= XorReg12;
end if;
if sel2 = "00" then
Reg2In <= DiffOut2;
elsif sel2 = "01" then
Reg2In <= Key(63 downto 0);
elsif sel2 = "10" then
Reg2In <= XorReg2;
else
Reg2In <= XorReg22;
end if;
if sel3 = "00" then
Reg3In <= DiffOut3;
elsif sel3 = "01" then
Reg3In <= IV(127 downto 64);
else
Reg3In <= XorReg31;
end if;
if sel4 = "00" then
Reg4In <= DiffOut4;
elsif sel4 = "01" then
Reg4In <= IV(63 downto 0);
elsif sel4 = "10" then
Reg4In <= XorReg4;
else
Reg4In <= Reg4Out xor SEPCONSTANT;
end if;
XorReg02 <= Reg0Out xor Key(127 downto 64);
XorReg12 <= Reg1Out xor Key(63 downto 0);
XorReg13 <= Reg1Out xor Key(127 downto 64);
XorReg22 <= Reg2Out xor Key(63 downto 0);
XorReg31 <= Reg3Out xor Key(127 downto 64);
XorReg4 <= Reg4Out xor Key(63 downto 0);
-- Set output
OutSig1(127 downto 64) <= XorReg31;
OutSig1(63 downto 0) <= XorReg4;
if selout = '0' then
RegOutIn(127 downto 64) <= (others => '0');
RegOutIn(63 downto 0) <= OutSig0;
else
RegOutIn <= OutSig1;
end if;
DataOut <= RegOutOut;
end process datapath;
---------------------------------------------
------ The registers in the datapath --------
---------------------------------------------
registerdatapath : process(Clk,Reset) is
begin
if(Clk = '1' and Clk'event) then
if Reset = '1' then -- synchronous reset
Reg0Out <= (others => '0');
Reg1Out <= (others => '0');
Reg2Out <= (others => '0');
Reg3Out <= (others => '0');
Reg4Out <= (others => '0');
RegOutOut <= (others => '0');
else
-- update registers with enable
if Reg0En = '1' then
Reg0Out <= Reg0In;
end if;
if Reg1En = '1' then
Reg1Out <= Reg1In;
end if;
if Reg2En = '1' then
Reg2Out <= Reg2In;
end if;
if Reg3En = '1' then
Reg3Out <= Reg3In;
end if;
if Reg4En = '1' then
Reg4Out <= Reg4In;
end if;
if RegOutEn = '1' then
RegOutOut <= RegOutIn;
end if;
end if;
end if;
end process registerdatapath;
end architecture structural;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_unrolled3/API_plus_CipherCore/PreProcessor_Control.vhd | 9 | 77328 | -------------------------------------------------------------------------------
--! @file PreProcessor_Control.vhd
--! @brief Control unit for the pre-processor
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.AEAD_pkg.all;
entity PreProcessor_Control is
generic (
G_W : integer := 32; --! Public data width (bits)
G_SW : integer := 32; --! Secret data width (bits)
G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode
G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode
G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits)
G_DBLK_SIZE : integer := 128; --! Data Block size (bits)
G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(block_size/8)
G_KEY_SIZE : integer := 128; --! Key size (bits)
G_NPUB_DISABLE : integer := 0; --! Disable Npub related port(s)
G_NPUB_SIZE : integer := 128; --! Npub width (bits)
G_NSEC_ENABLE : integer := 0; --! Enable NSEC port
G_NSEC_SIZE : integer := 128; --! NSEC width (bits)
G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port)
G_RDKEY_SIZE : integer := 128; --! Roundkey size (bits)
G_REVERSE_DBLK : integer := 0; --! Reverse block order (for message only)
G_LOADLEN_ENABLE : integer := 0; --! Enable load length section
G_CTR_AD_SIZE : integer := 16; --! Segment len size
G_CTR_D_SIZE : integer := 16; --! Segment len size
G_PAD : integer := 1; --! Enable padding
G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding
G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding
G_TAG_SIZE : integer := 128; --! Tag size (bits)
G_KEYAK : integer := 0 --! Special Keyak only mode
);
port (
--! =================
--! External Signals
--! =================
--! Global signals
clk : in std_logic;
rst : in std_logic;
--! Public signals
pdi : in std_logic_vector(G_W -1 downto 0);
pdi_valid : in std_logic;
pdi_ready : out std_logic;
--! Secret signals
sdi : in std_logic_vector(G_SW -1 downto 0);
sdi_valid : in std_logic;
sdi_ready : out std_logic;
error : out std_logic;
--! =================
--! Crypto Core Signals
--! =================
--! control signals
key_ready : out std_logic; --! Indicates that the key is ready
key_needs_update : out std_logic; --! Indicates that the key needs update and should be acknowledge by the core via key_updated signal
key_updated : in std_logic; --! Key has been updated
rdkey_ready : out std_logic; --! Round key ready
rdkey_read : in std_logic; --! Round key read
npub_ready : out std_logic; --! Npub ready
npub_read : in std_logic; --! Npub read
nsec_ready : out std_logic; --! Nsec ready
nsec_read : in std_logic; --! Nsec read
bdi_ready : out std_logic; --! Block ready
bdi_proc : out std_logic; --! Block processing
bdi_ad : out std_logic; --! Input block is an authenticated data
bdi_nsec : out std_logic; --! Input block is a secret message number
bdi_decrypt : out std_logic; --! Decryption operation
bdi_pad : out std_logic; --! Last block of segment type contain padding
bdi_eot : out std_logic; --! Last block of segment type (end-of-type)
bdi_eoi : out std_logic; --! Last block of message (end-of-input)
bdi_nodata : out std_logic; --! Control signal indicating that there's no plain-text or authenticated data. The unit should generate a tag right away.
bdi_read : in std_logic; --! Handshake signal indicating that the data block has been read
bdi_size : out std_logic_vector(G_BS_BYTES -1 downto 0); --! Block size signal. Note: 0 = Full block.
exp_tag_ready : out std_logic; --! Expected tag is ready
msg_auth_done : in std_logic; --! Tag comparison completion handshake
bypass_fifo_full : in std_logic; --! An input signal indicating that the bypass FIFO is full
bypass_fifo_wr : out std_logic; --! An output signal for writing data to bypass FIFO
--! =================
--! Internal Signals
--! =================
pad_shift : out std_logic_vector(log2_ceil(G_W/8) -1 downto 0);
en_data : out std_logic; --! Shift data SIPO
en_npub : out std_logic; --! Shift Npub SIPO
en_nsec : out std_logic; --! Shift Nsec SIPO
en_key : out std_logic; --! Shift Key SIPO
en_rdkey : out std_logic; --! Shift Round Key SIPO
sel_blank_pdi : out std_logic; --! Select input data as blank (for filling in the remaining data within a block)
clr_len : out std_logic; --! Clear stored length (len_a and len_d)
en_len_a_r : out std_logic; --! Add authenticated data counter
en_len_d_r : out std_logic; --! Add data counter
en_len_last_r : out std_logic; --! Special signal for en_len_*_r
en_len_a : out std_logic; --! Add authenticated data counter (instant)
en_len_d : out std_logic; --! Add data counter (instant)
en_exp_tag : out std_logic; --! Shift TAG SIPO
size_dword : out std_logic_vector(log2_ceil(G_W/8) downto 0); --! Size of data word
en_last_word : out std_logic; --! Last word of a block
--! Pad related control
pad_eot : out std_logic; --! Padding is EOT
pad_eoi : out std_logic; --! Padding is EOI
pad_type_ad : out std_logic; --! Padding is AD
pad_enable : out std_logic; --! Enable padding signal (indicates that the current word requires padding)
en_pad_loc : out std_logic; --! Save the padding location into a register
--! Supplemental control
key_updated_int : out std_logic; --! Only used for Keyak
sel_input : out std_logic_vector(2 downto 0) --! Sel input (used when (G_DBLK_SIZE mod G_W) > 0)
);
end PreProcessor_Control;
architecture behavior of PreProcessor_Control is
function getSwCount return integer is
variable maxval : integer := 0;
begin
if (G_NSEC_ENABLE = 1) then
maxval := G_NSEC_SIZE;
end if;
if (G_RDKEY_ENABLE = 1) then
maxval := maximum(maxval, G_RDKEY_SIZE);
else
maxval := maximum(maxval, G_KEY_SIZE);
end if;
return log2_ceil(maxval/G_SW);
end function;
--! Constants declaration
constant PARTIAL_LOAD : integer := isNotDivisible(G_DBLK_SIZE, G_W);
constant LOG2_W : integer := log2_ceil(G_W/8); --! LOG_2(G_W)
constant LOG2_SWORDS : integer := getSwCount; --! Expected key words
constant REGIV_WIDTH : integer := get_width(G_NPUB_SIZE, G_W); --! Calculate the width of Npub register
constant CNTR_WIDTH : integer := get_cntr_width(G_W); --! Calculate the length of p_size register
constant CNT_NPUB_WORDS : integer := get_words(G_NPUB_SIZE, G_W); --! Calculate the number of words required for Npub
constant CNT_AD_WORDS : integer := (G_ABLK_SIZE+(G_W-1))/G_W; --! Calculate the number of words required for data (rounded up)
constant CNT_DATA_WORDS : integer := (G_DBLK_SIZE+(G_W-1))/G_W; --! Calculate the number of words required for data (rounded up)
constant CNT_TAG_WORDS : integer := (G_TAG_SIZE+(G_W-1))/G_W; --! Calculate the number of words required for tag (rounded up)
constant CNT_LOADLEN_A_WORDS : integer := get_words(G_CTR_AD_SIZE, G_W);
constant CNT_LOADLEN_D_WORDS : integer := get_words(G_CTR_D_SIZE, G_W);
constant BSHIFT_INPUT : std_logic_vector(G_W/8 -1 downto 0) := std_logic_vector(to_unsigned(1,G_W/8));
constant WCOUNT_SIZE : integer := log2_ceil(maximum(maximum(CNT_NPUB_WORDS, CNT_DATA_WORDS), CNT_TAG_WORDS)+1);
constant ZEROS : std_logic_vector(G_DBLK_SIZE-1 downto 0) := (OTHERS => '0');
constant SWSIZE : integer := log2_ceil(G_SW/8);
constant SWREMSIZE : integer := (G_SW-16)-SWSIZE;
--! Types declaration
type pstate_type is (SP_WAIT_INSTR, SP_READ_INSTR,
SP_WAIT_HDR, SP_READ_HDR, SP_WAIT_NPUB, SP_WAIT_NSEC,
SP_INIT_KEYAK0, SP_INIT_KEYAK1, SP_INIT_KEYAK2, --! Special state for Keyak
SP_LOAD_SPECIAL_AD, SP_WAIT_SPECIAL_AD_READ, --! Special state for G_PAD_AD > 1
SP_LOADLEN, --! Special LOADLEN state
SP_WAIT_DATA, SP_WAIT_DATA_READ, SP_WAIT_MSG_AUTH,
SP_ERROR);
type sstate_type is (SS_WAIT_INSTR, SS_READ_INSTR,
SS_WAIT_HDR, SS_READ_HDR,
SS_WAIT_KEY, SS_DELAY,
SS_WAIT_RDKEY, SS_WAIT_RDKEY_READ);
--! State signals
signal pstate : pstate_type;
signal sstate : sstate_type;
--! Status controls
signal set_npub_ready : std_logic;
signal set_nsec_ready : std_logic;
signal clr_nsec_ready : std_logic;
signal set_rdkey_ready : std_logic;
--! SDI related signals
signal set_key_needs_update : std_logic;
signal set_key_ready : std_logic;
signal key_ready_r : std_logic;
signal key_needs_update_r : std_logic;
signal nsec_ready_r : std_logic;
signal rdkey_ready_r : std_logic;
signal key_id_r : std_logic_vector(8 -1 downto 0); --! Key id of the key_ready signal
signal key_act_id_init_r : std_logic; --! Status signal for key_act_id (used only after reset)
signal key_act_id_r : std_logic_vector(8 -1 downto 0); --! Activated key_id
signal s_opcode : std_logic_vector(LEN_OPCODE -1 downto 0); --! Secret data opcode
signal s_stype : std_logic_vector(LEN_SMT_HDR -1 downto 0); --! Secret data segment type
signal s_size : std_logic_vector(G_SW-16 -1 downto 0); --! Secret data segment size
signal swcount : std_logic_vector(LOG2_SWORDS -1 downto 0); --! Secret data words count
signal swrem : std_logic_vector(SWREMSIZE -1 downto 0); --! Secret data byte remainder
signal s_key_id : std_logic_vector(8 -1 downto 0); --! Secret data key id
--! Public data signals and registers
signal p_reg_stype : std_logic_vector(LEN_SMT_HDR -1 downto 0); --! Segment type register
signal pwrem : std_logic_vector(CNTR_WIDTH -1 downto 0); --! Private data words remaining
signal wcount : std_logic_vector(WCOUNT_SIZE -1 downto 0); --! Word count
signal p_opcode : std_logic_vector(LEN_OPCODE -1 downto 0); --! Opcode
signal p_stype : std_logic_vector(LEN_SMT_HDR -1 downto 0); --! Segment type
signal p_eot : std_logic; --! Last segment of the specified type flag
signal p_eoi : std_logic; --! Last segment of the message flag
signal p_id : std_logic_vector(LEN_MSG_ID -1 downto 0); --! ID
signal p_size : std_logic_vector(CNTR_WIDTH -1 downto 0); --! Public data segment size
signal p_size_r : std_logic_vector(CNTR_WIDTH -1 downto 0); --! Public data segment size register
signal p_key_id : std_logic_vector(8 -1 downto 0); --! Public data key id
signal remainder : std_logic_vector(CNTR_WIDTH -1 downto 0);
--! Data block status register
signal is_ae_r : std_logic; --! Current block is an authenticated encryption block
--! Data block status registers for external modules
signal npub_ready_r : std_logic;
signal ad_passed_r : std_logic;
signal tag_passed_r : std_logic;
signal is_decrypt_r : std_logic; --! Current message is a decryption
signal is_ad_r : std_logic; --! Current block is an authenticated data block
signal is_tag_r : std_logic; --! Current segment is a tag
signal is_nsec_r : std_logic; --! Current block is a nsec
signal is_ready_r : std_logic; --! Current block is ready
signal is_eot_r : std_logic; --! Current block is the last of its type in the current segment
signal is_eoi_r : std_logic; --! Current block is the last block of message
signal is_nodata_r : std_logic; --! Current block contains no message data (used for authenticated encryption data only mode)
signal is_first_blk : std_logic;
signal is_init_r : std_logic;
--! Padding related signals
signal pad_done : std_logic;
--! Other status registers
signal exp_tag_ready_r : std_logic; --! Needs a delay
signal needs_extra_block : std_logic;
signal needs_extra_block_ad : std_logic;
signal is_extra_block : std_logic;
signal is_partial_data : std_logic;
signal total_words : std_logic_vector(WCOUNT_SIZE -1 downto 0);
--! Error registers
signal errors : std_logic_vector(8 -1 downto 0); --! Error flag (Used for debugging)
signal error_pdi : std_logic_vector(8 downto 1);
signal error_sdi : std_logic_vector(8 downto 1);
begin
--! Datapath
p_reg:
process( clk )
begin
if rising_edge( clk ) then
if rst = '1' then
key_needs_update_r <= '0';
key_ready_r <= '0';
bdi_ready <= '0';
if (G_NPUB_DISABLE = 0) then
npub_ready_r <= '0';
end if;
if (G_NSEC_ENABLE = 1) then
nsec_ready_r <= '0';
end if;
if (G_RDKEY_ENABLE = 1) then
rdkey_ready_r <= '0';
end if;
key_act_id_init_r <= '0';
key_act_id_r <= (others => '0');
else
if (set_key_needs_update = '1') then
key_needs_update_r <= '1';
elsif (key_updated = '1') then
key_needs_update_r <= '0';
end if;
if (G_NSEC_ENABLE = 1) then
if (set_nsec_ready = '1') then
nsec_ready_r <= '1';
elsif (nsec_read = '1') then
nsec_ready_r <= '0';
end if;
end if;
if (G_NPUB_DISABLE = 0) then
if (set_npub_ready = '1') then
npub_ready_r <= '1';
elsif (npub_read = '1') then
npub_ready_r <= '0';
end if;
end if;
if (G_RDKEY_ENABLE = 1) then
if (set_rdkey_ready = '1') then
rdkey_ready_r <= '1';
elsif (rdkey_read = '1') then
rdkey_ready_r <= '0';
if (swrem = 0) then
key_act_id_init_r <= '1';
key_act_id_r <= key_id_r;
end if;
end if;
else
if (set_key_ready = '1') then
key_ready_r <= '1';
elsif (key_updated = '1') then
key_ready_r <= '0';
key_act_id_init_r <= '1';
key_act_id_r <= key_id_r;
end if;
end if;
exp_tag_ready <= exp_tag_ready_r;
bdi_ready <= is_ready_r and not bdi_read;
end if;
end if;
end process;
gRdkeyEnable0: if (G_RDKEY_ENABLE = 0) generate
key_ready <= key_ready_r;
end generate;
gRdkeyEnable1: if (G_RDKEY_ENABLE = 1) generate
rdkey_ready <= rdkey_ready_r;
end generate;
gNsecEnable1: if (G_NSEC_ENABLE = 1) generate
nsec_ready <= nsec_ready_r;
end generate;
key_needs_update <= key_needs_update_r;
s_key_id <= sdi(G_SW -1 downto G_SW- 8);
s_stype <= sdi(G_SW- 8 -1 downto G_SW-12);
s_opcode <= sdi(G_SW-12 -1 downto G_SW-16);
s_size <= sdi(G_SW-16 -1 downto 0);
p_stype <= pdi(G_W - 8 -1 downto G_W -12);
p_opcode <= pdi(G_W -12 -1 downto G_W -16);
p_key_id <= pdi(G_W -16 -1 downto G_W -24);
p_size <= pdi(CNTR_WIDTH -1 downto 0);
bdi_ad <= is_ad_r;
bdi_nsec <= is_nsec_r;
bdi_decrypt <= is_decrypt_r;
bdi_pad <= '0';
bdi_eot <= is_eot_r;
bdi_eoi <= is_eoi_r;
bdi_nodata <= is_nodata_r;
npub_ready <= npub_ready_r;
errors <= error_pdi or error_sdi;
error <= nway_or(errors);
genPad: if (G_PAD = 1) generate
-- genPadShiftReverse00: if (G_REVERSE_DBLK = 0 and G_PAD_AD /= 4) generate
-- en_pad_loc <= '1' when (PARTIAL_LOAD = 0 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0') or
-- (PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount /= total_words) or
-- (PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount = total_words and is_partial_data = '0')
-- else '0';
-- end generate;
genPadShiftReverse0: if (G_REVERSE_DBLK = 0) generate
en_pad_loc <= '1' when ((PARTIAL_LOAD = 0 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0') or
(PARTIAL_LOAD = 0 and (G_PAD_AD = 2 or G_PAD_AD = 4) and pstate = SP_LOAD_SPECIAL_AD and wcount = 0) or
(PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount /= total_words) or
(PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount = total_words and is_partial_data = '0'))
else '0';
end generate;
genPadShiftReverse1: if (G_REVERSE_DBLK = 1) generate
en_pad_loc <= '1' when ((pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and is_ad_r = '1') or
(pstate = SP_WAIT_DATA and is_tag_r = '0' and is_first_blk = '1' and is_ad_r = '0')) else '0';
end generate;
end generate;
genPadShiftX: if (G_PAD = 1 and (G_PAD_D < 2 and G_PAD_AD < 2)) generate
genPadShiftReverse0: if (G_REVERSE_DBLK = 0) generate
pad_shift <= p_size_r(log2_ceil(G_W/8)-1 downto 0);
end generate;
genPadShiftReverse1: if (G_REVERSE_DBLK = 1) generate
pad_shift <= remainder(log2_ceil(G_W/8)-1 downto 0) when (remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8) else (others => '0');
end generate;
end generate;
genPadShift2: if (G_PAD = 1 and (G_PAD_D > 1 or G_PAD_AD > 1)) generate
pad_shift <= p_size_r(log2_ceil(G_W/8)-1 downto 0) when is_extra_block = '0' else (others => '0');
end generate;
--! Control for public data
p_pstate:
process( clk )
begin
if rising_edge( clk ) then
if rst = '1' then
pstate <= SP_WAIT_INSTR;
en_data <= '0';
en_npub <= '0';
error_pdi <= (others => '0');
bypass_fifo_wr <= '0';
p_eot <= '0';
p_eoi <= '0';
p_id <= (others => '0');
bdi_proc <= '0';
is_ad_r <= '0';
is_tag_r <= '0';
is_nsec_r <= '0';
is_ae_r <= '0';
is_decrypt_r <= '0';
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
is_nodata_r <= '0';
sel_blank_pdi <= '0';
exp_tag_ready_r <= '0';
ad_passed_r <= '0';
if (G_PAD = 1) then
pad_type_ad <= '0';
pad_eot <= '0';
pad_eoi <= '0';
end if;
p_reg_stype <= (others => '0');
set_key_needs_update <= '0';
if (PARTIAL_LOAD = 1) then
is_partial_data <= '0';
en_last_word <= '0';
sel_input <= (others => '0');
end if;
if (G_NSEC_ENABLE = 1) then
set_nsec_ready <= '0';
end if;
if (G_PAD = 1) then
pad_enable <= '0';
end if;
if (G_LOADLEN_ENABLE = 1) then
en_len_a_r <= '0';
en_len_d_r <= '0';
if ((G_CTR_AD_SIZE mod G_W /= 0) or (G_CTR_D_SIZE mod G_W /= 0)) then
en_len_last_r <= '0';
end if;
end if;
else
en_data <= '0';
bypass_fifo_wr <= '0';
clr_len <= '0';
sel_blank_pdi <= '0';
en_exp_tag <= '0';
set_key_needs_update <= '0';
if (G_PAD = 1) then
pad_type_ad <= '0';
pad_eot <= '0';
pad_eoi <= '0';
end if;
if (PARTIAL_LOAD = 1) then
en_last_word <= '0';
sel_input <= (others => '0');
end if;
if (G_NPUB_DISABLE = 0) then
en_npub <= '0';
set_npub_ready <= '0';
end if;
if (G_NSEC_ENABLE = 1) then
en_nsec <= '0';
set_nsec_ready <= '0';
end if;
if (G_PAD = 1) then
pad_enable <= '0';
end if;
if (G_KEYAK = 1) then
key_updated_int <= '0';
end if;
if (G_LOADLEN_ENABLE = 1) then
en_len_a_r <= '0';
en_len_d_r <= '0';
if ((G_CTR_AD_SIZE mod G_W /= 0) or (G_CTR_D_SIZE mod G_W /= 0)) then
en_len_last_r <= '0';
end if;
end if;
case pstate is
when SP_WAIT_INSTR =>
if (pdi_valid = '1' and bypass_fifo_full = '0') then
pstate <= SP_READ_INSTR;
bypass_fifo_wr <= '1';
end if;
pwrem <= (others => '0');
p_size_r <= (others => '0');
p_eot <= '0';
p_eoi <= '0';
p_id <= (others => '0');
wcount <= (others => '0');
is_ad_r <= '0';
is_tag_r <= '0';
is_nsec_r <= '0';
is_ae_r <= '0';
is_decrypt_r <= '0';
is_ready_r <= '0';
clr_len <= '1';
is_nodata_r <= '0';
exp_tag_ready_r <= '0';
bdi_size <= (others => '0');
tag_passed_r <= '0';
if G_REVERSE_DBLK = 1 then
is_first_blk <= '1';
end if;
if (G_KEYAK = 1) then
is_init_r <= '1';
end if;
if (G_PAD = 1) then
if (G_PAD_AD > 1 or G_PAD_D > 1) then
is_extra_block <= '0';
needs_extra_block <= '0';
needs_extra_block_ad <= '0';
end if;
if (G_PAD_AD > 1) then
ad_passed_r <= '0';
end if;
end if;
when SP_READ_INSTR =>
if (p_opcode /= OP_AE_ENC)
and (p_opcode /= OP_AE_DEC)
and (p_opcode /= OP_ACT_KEY)
and (p_opcode /= OP_ENC)
and (p_opcode /= OP_DEC)
then
pstate <= SP_ERROR;
error_pdi(1) <= '1'; --! Unsupported opcode
else
if (p_opcode = OP_AE_DEC) or (p_opcode = OP_DEC) then
is_decrypt_r <= '1';
end if;
if (p_opcode = OP_AE_DEC or p_opcode = OP_AE_ENC) then
is_ae_r <= '1';
end if;
if (p_opcode = OP_ACT_KEY) then
pstate <= SP_WAIT_INSTR;
if (key_act_id_init_r = '0'
or (key_act_id_init_r = '1'
and p_key_id /= key_act_id_r))
then
set_key_needs_update <= '1';
end if;
else
pstate <= SP_WAIT_HDR;
p_reg_stype <= p_stype;
bdi_proc <= '1';
end if;
end if;
when SP_WAIT_HDR =>
if (pdi_valid = '1' and bypass_fifo_full = '0') then
pstate <= SP_READ_HDR;
bypass_fifo_wr <= '1';
end if;
--! Pad
if G_PAD = 1 then
pad_done <= '0';
end if;
when SP_READ_HDR =>
if (not ((G_LOADLEN_ENABLE = 1 and p_stype = ST_LEN)
or (p_stype = ST_NPUB)
or (p_stype = ST_AD)
or (p_stype = ST_MESSAGE and is_decrypt_r = '0')
or (p_stype = ST_CIPHER and is_decrypt_r = '1')
or (p_stype = ST_TAG and is_decrypt_r = '1')))
then
pstate <= SP_ERROR;
error_pdi(7) <= '1'; --! Segment type incompatible with opcode
else
--! Store segment type
if (pdi(G_W-15-1) = '1') then
p_reg_stype <= p_stype;
end if;
--! Determining the type and appropriate sequence of inputs. Uses for debugging as well (not fully implemented).
if (p_stype = ST_NPUB) then
if (p_reg_stype = ST_INIT ) or
(G_LOADLEN_ENABLE = 1 and p_reg_stype = ST_LEN )
then
if ( p_size /= G_NPUB_SIZE/8 ) then
pstate <= SP_ERROR;
error_pdi(4) <= '1'; --! Unsupported Npub Length
else
pstate <= SP_WAIT_NPUB;
end if;
else
pstate <= SP_ERROR;
error_pdi(6) <= '1'; --! Segment out of sequence
end if;
elsif (p_stype = ST_AD) then
if ((G_PLAINTEXT_MODE = 0 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or (G_NSEC_ENABLE = 1 and (p_reg_stype = ST_NSEC or p_reg_stype = ST_NSEC_CIPH))))
or (G_PLAINTEXT_MODE = 1 and (p_reg_stype = ST_INIT or p_reg_stype = ST_AD))
or (G_PLAINTEXT_MODE = 2 and (p_reg_stype = ST_INIT or p_reg_stype = ST_AD))
or (G_PLAINTEXT_MODE = 3 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or p_reg_stype = ST_MESSAGE or p_reg_stype = ST_CIPHER))
or ((G_CIPHERTEXT_MODE = 2 and G_REVERSE_DBLK = 1) and (p_reg_stype = ST_TAG)))
then
if (G_KEYAK = 1 and is_init_r = '1') then
is_init_r <= '0';
pstate <= SP_INIT_KEYAK0;
else
pstate <= SP_WAIT_DATA;
end if;
is_ad_r <= '1';
is_tag_r <= '0';
is_nsec_r <= '0';
else
pstate <= SP_ERROR;
error_pdi(6) <= '1'; --! Segment out of sequence
end if;
if (PARTIAL_LOAD = 1) then
total_words <= std_logic_vector(to_unsigned(CNT_AD_WORDS-1, WCOUNT_SIZE));
is_partial_data <= '0';
end if;
if (G_PAD = 1) then
if (G_PAD_AD > 1) then
ad_passed_r <= '1';
end if;
if ((G_PAD_D = 2 or G_PAD_D = 4) and pdi(G_W-14-1) = '1') then --! EOI
needs_extra_block <= '1';
end if;
if ((G_ABLK_SIZE = G_DBLK_SIZE and (G_PAD_AD > 2) and G_PLAINTEXT_MODE < 3) and --! EOT and Full block
pdi(G_BS_BYTES-1 downto 0) = 0 and pdi(G_W-15-1) = '1')
then
needs_extra_block_ad <= '1';
end if;
end if;
elsif (p_stype = ST_MESSAGE or p_stype = ST_CIPHER) then
if ((G_PLAINTEXT_MODE = 0 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or p_reg_stype = ST_MESSAGE or p_reg_stype = ST_CIPHER or (G_NSEC_ENABLE = 1 and (p_reg_stype = ST_NSEC or p_reg_stype = ST_NSEC_CIPH))))
or (G_PLAINTEXT_MODE = 1)
or (G_PLAINTEXT_MODE = 2)
or (G_PLAINTEXT_MODE = 3 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or p_reg_stype = ST_MESSAGE or p_reg_stype = ST_CIPHER))
or ((G_CIPHERTEXT_MODE = 2 and G_REVERSE_DBLK = 1) and (p_reg_stype = ST_TAG)))
then
if (G_PLAINTEXT_MODE < 3 and G_PAD = 1 and (G_PAD_AD = 2 or G_PAD_AD = 4) and ad_passed_r = '0') then
--! Empty AD
pstate <= SP_LOAD_SPECIAL_AD;
is_ad_r <= '1';
is_extra_block <= '1';
else
pstate <= SP_WAIT_DATA;
is_ad_r <= '0';
end if;
is_tag_r <= '0';
is_nsec_r <= '0';
else
pstate <= SP_ERROR;
error_pdi(6) <= '1'; --! Segment out of sequence
end if;
if (PARTIAL_LOAD = 1) then
total_words <= std_logic_vector(to_unsigned(CNT_DATA_WORDS-1, WCOUNT_SIZE));
is_partial_data <= '0';
end if;
--! Calculate if additional block needs to be added (only for Message type)
if (G_PAD = 1 and G_PAD_D > 2) then
if (pdi(G_BS_BYTES-1 downto 0) = 0 and (G_CIPHERTEXT_MODE < 2 or is_decrypt_r = '0') and pdi(G_W-14-1) = '1') then
needs_extra_block <= '1';
else
needs_extra_block <= '0';
end if;
end if;
elsif (G_NSEC_ENABLE = 1 and (p_stype = ST_NSEC or p_stype = ST_NSEC_CIPH)) then
pstate <= SP_WAIT_NSEC;
elsif (p_stype = ST_TAG) then
tag_passed_r <= '1';
if (is_decrypt_r = '0') then
pstate <= SP_ERROR;
error_pdi(6) <= '1';
else
pstate <= SP_WAIT_DATA;
is_tag_r <= '1';
if (is_ready_r = '0') then
is_ad_r <= '0';
is_nsec_r <= '0';
end if;
end if;
elsif (G_LOADLEN_ENABLE = 1 and p_stype = ST_LEN) then
pstate <= SP_LOADLEN;
else --! Unsupported segment type
pstate <= SP_ERROR;
error_pdi(6) <= '1';
end if;
pwrem <= pdi(CNTR_WIDTH-1 downto 0);
p_size_r <= pdi(CNTR_WIDTH-1 downto 0);
p_eot <= pdi(G_W-15-1);
p_eoi <= pdi(G_W-14-1);
p_id <= pdi(G_W-1 downto G_W-8);
end if;
when SP_LOADLEN =>
if (pdi_valid = '1' and bypass_fifo_full = '0') then
bypass_fifo_wr <= '1';
if (wcount <= CNT_LOADLEN_A_WORDS-1) then
en_len_a_r <= '1';
else
en_len_d_r <= '1';
end if;
if ((G_CTR_AD_SIZE mod G_W /= 0) or (G_CTR_D_SIZE mod G_W /= 0)) then
if (wcount = CNT_LOADLEN_A_WORDS-1) or
(wcount = (CNT_LOADLEN_A_WORDS+CNT_LOADLEN_D_WORDS-1))
then
en_len_last_r <= '1';
end if;
end if;
if (wcount = (CNT_LOADLEN_A_WORDS+CNT_LOADLEN_D_WORDS-1)) then
pstate <= SP_WAIT_HDR;
wcount <= (others => '0');
else
wcount <= wcount + 1;
end if;
end if;
when SP_WAIT_NPUB =>
if (pdi_valid = '1' and bypass_fifo_full = '0'
and (G_NPUB_DISABLE = 1 or npub_ready_r = '0'))
then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
if (G_NPUB_DISABLE = 1) then
en_data <= '1';
else
en_npub <= '1';
end if;
bypass_fifo_wr <= '1';
if (wcount = CNT_NPUB_WORDS-1) then
pstate <= SP_WAIT_HDR;
wcount <= (others => '0');
if (G_NPUB_DISABLE = 0) then
set_npub_ready <= '1';
end if;
else
wcount <= wcount + 1;
end if;
end if;
when SP_WAIT_NSEC =>
if (pdi_valid = '1' and nsec_ready_r = '0') then
en_nsec <= '1';
if (G_NSEC_SIZE > G_W) then
if (wcount = (G_NSEC_SIZE/G_W)-1) then
pstate <= SP_WAIT_HDR;
set_nsec_ready <= '1';
else
wcount <= wcount + 1;
end if;
else
pstate <= SP_WAIT_HDR;
set_nsec_ready <= '1';
end if;
end if;
when SP_INIT_KEYAK0 =>
if (key_needs_update_r = '1' and key_ready_r = '1') then
key_updated_int <= '1';
pstate <= SP_INIT_KEYAK1;
elsif (key_needs_update_r = '0') then
pstate <= SP_INIT_KEYAK1;
end if;
when SP_INIT_KEYAK1 =>
sel_input <= "100";
en_data <= '1';
wcount <= wcount + 1;
pstate <= SP_INIT_KEYAK2;
when SP_INIT_KEYAK2 =>
sel_input <= "101";
en_data <= '1';
wcount <= wcount + 1;
pstate <= SP_WAIT_DATA;
when SP_LOAD_SPECIAL_AD =>
en_data <= '1';
sel_blank_pdi <= '1';
if (G_PAD = 1 and wcount = 0) then
pad_enable <= '1';
pad_done <= '1';
pad_eot <= p_eot;
pad_eoi <= p_eoi;
pad_type_ad <= is_ad_r;
end if;
if (wcount = CNT_DATA_WORDS-1) then
p_size_r <= p_size_r - G_DBLK_SIZE/8;
size_dword <= (others => '0');
bdi_size <= (others => '0');
wcount <= (others => '0');
is_ready_r <= '1';
is_nodata_r <= '1';
is_eot_r <= '1';
pstate <= SP_WAIT_SPECIAL_AD_READ;
else
wcount <= wcount + 1;
end if;
when SP_WAIT_SPECIAL_AD_READ =>
if (bdi_read = '1') then
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
is_ad_r <= '0';
is_nodata_r <= '0';
is_extra_block <= '0';
pad_done <= '0';
if (p_reg_stype = ST_NPUB) then
pstate <= SP_WAIT_INSTR;
bdi_proc <= '0';
elsif (p_reg_stype = ST_AD) then
pstate <= SP_WAIT_HDR;
else
pstate <= SP_WAIT_DATA;
end if;
end if;
when SP_WAIT_DATA =>
if (((is_ad_r = '1' or (is_nsec_r = '1' and G_NSEC_ENABLE = 1))
and bypass_fifo_full = '0' and pdi_valid = '1')
or (is_ad_r = '0' and pdi_valid = '1')
or (pwrem = 0 and p_eot = '1')
or (PARTIAL_LOAD = 1 and is_partial_data = '1'))
then
--! If remaining data word is 0, pad input block with 0
if (pwrem /= 0) then
if (is_ad_r = '1' and is_tag_r = '0') then
if (PARTIAL_LOAD = 0) then
bypass_fifo_wr <= '1';
elsif (PARTIAL_LOAD = 1 and
((wcount < total_words) or
(wcount = total_words and is_partial_data = '0')))
then
bypass_fifo_wr <= '1';
end if;
end if;
else
sel_blank_pdi <= '1';
end if;
--! Perform padding
if (G_PAD = 1) then
if (((PARTIAL_LOAD = 0 and p_eot = '1'
and pwrem < G_W/8 and pad_done = '0')
or (PARTIAL_LOAD = 1 and p_eot = '1'
and pwrem < G_W/8 and pad_done = '0'
and (wcount /= total_words or (wcount = total_words and is_partial_data = '0')))
or (G_REVERSE_DBLK = 1 and is_first_blk = '1'
and is_ad_r = '0' and remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8
and remainder(G_BS_BYTES-1 downto 0) /= 0 and is_decrypt_r = '1')))
then
if ((is_ad_r = '0' and G_PAD_D > 0) or
(is_ad_r = '1' and is_tag_r = '0' and G_PAD_AD > 0) or
(G_PAD_D > 0 and G_PAD_AD > 0))
then
pad_enable <= '1';
pad_done <= '1';
pad_eot <= p_eot;
pad_eoi <= p_eoi;
pad_type_ad <= is_ad_r;
end if;
end if;
end if;
if ((PARTIAL_LOAD = 1) and
((G_ABLK_SIZE = G_DBLK_SIZE and wcount = CNT_DATA_WORDS-1)
or (G_ABLK_SIZE /= G_DBLK_SIZE and wcount = total_words)))
then
if (is_partial_data = '0') then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
end if;
else
if (G_ABLK_SIZE = G_DBLK_SIZE) then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
else
if (wcount < total_words) then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
end if;
end if;
end if;
if (G_REVERSE_DBLK = 0) then
if (pwrem <= G_W/8) then
size_dword <= pwrem(log2_ceil(G_W/8) downto 0);
else
size_dword <= std_logic_vector(to_unsigned(G_W/8,log2_ceil(G_W/8)+1));
end if;
else
--! Special case for PRIMATEs-APE
if (is_ad_r = '1' and pwrem <= G_W/8) then
size_dword <= pwrem(log2_ceil(G_W/8) downto 0);
elsif (is_ad_r = '0' and is_first_blk = '1' and remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8 and remainder(G_BS_BYTES-1 downto 0) /= 0) then
size_dword <= remainder(log2_ceil(G_W/8) downto 0);
else
size_dword <= std_logic_vector(to_unsigned(G_W/8,log2_ceil(G_W/8)+1));
end if;
end if;
if (is_tag_r = '0') then
en_data <= '1';
if (wcount = CNT_DATA_WORDS-1) then
--! Handling case when AD_BLOCK /= Data_BLOCK
if (G_ABLK_SIZE /= G_DBLK_SIZE) then
if (is_ad_r = '1') then
p_size_r <= p_size_r - G_ABLK_SIZE/8;
else
p_size_r <= p_size_r - G_DBLK_SIZE/8;
end if;
else
if (G_KEYAK = 0) then
p_size_r <= p_size_r - G_DBLK_SIZE/8;
else
p_size_r <= p_size_r - (G_DBLK_SIZE/8 - 256/8);
end if;
end if;
wcount <= (others => '0');
--! Status signals and state transition
is_ready_r <= '1';
if (PARTIAL_LOAD = 1) then
en_last_word <= '1';
if ((pwrem <= (G_W/8)/2) and
(is_partial_data = '0'))
then
--! If it's decrypt and of type message, start loading tag segment
if (is_decrypt_r = '1' and p_eoi = '1') then
pstate <= SP_WAIT_HDR;
else
pstate <= SP_WAIT_DATA_READ;
end if;
else
pstate <= SP_WAIT_DATA_READ;
end if;
else
if ((G_REVERSE_DBLK = 0) or (is_decrypt_r = '0') or (is_ad_r = '1')) then
--! Case when Reverse data block is required
if (pwrem < G_W/8) then
bdi_size <= p_size_r(G_BS_BYTES-1 downto 0);
else
bdi_size <= (others => '0');
end if;
else
if (is_first_blk = '1' and is_ad_r = '0') then
if (remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8) then
bdi_size <= remainder(G_BS_BYTES-1 downto 0);
else
bdi_size <= (others => '0');
end if;
is_first_blk <= '0';
else
bdi_size <= (others => '0');
end if;
end if;
if (pwrem <= G_W/8) then
if (G_PAD = 1 and ((G_PAD_D > 1 and needs_extra_block = '1' and is_ad_r = '0') or (G_PAD_AD > 1 and needs_extra_block_ad = '1'))) then
is_eot_r <= '0';
else
is_eot_r <= p_eot;
end if;
--! If it's decrypt and of type message, start loading tag segment
if (is_decrypt_r = '1' and is_ad_r = '0' and p_eot = '1') then
is_eoi_r <= p_eot;
if ((G_REVERSE_DBLK = 1 and G_CIPHERTEXT_MODE = 2) and p_eoi = '1') then
pstate <= SP_WAIT_MSG_AUTH;
elsif (G_PAD = 1 and (G_PAD_D > 2 and needs_extra_block = '1')) then
pstate <= SP_WAIT_DATA_READ;
else
pstate <= SP_WAIT_HDR;
end if;
else
if (G_PAD = 1 and ((G_PAD_D > 1 and needs_extra_block = '1') or (G_PAD_AD > 1 and needs_extra_block_ad = '1'))) then
is_eoi_r <= '0';
else
is_eoi_r <= p_eoi;
end if;
pstate <= SP_WAIT_DATA_READ;
end if;
else
pstate <= SP_WAIT_DATA_READ;
end if;
end if;
else
wcount <= wcount + 1;
end if;
if (PARTIAL_LOAD = 1) then
--! Special case when (G_DBLK_SIZE mod G_W = G_W/2)
if (wcount < total_words) then
if (pwrem <= (G_W/8)/2) then
is_partial_data <= '0';
end if;
elsif (wcount = total_words) then
if ((is_partial_data = '0')
and ((pwrem > ((G_ABLK_SIZE/8) mod (G_W/8)) and is_ad_r = '1')
or (pwrem > ((G_DBLK_SIZE/8) mod (G_W/8)) and is_ad_r = '0')))
then
is_partial_data <= '1';
else
is_partial_data <= '0';
end if;
if (((pwrem < (G_W/8)/2 and is_partial_data = '0')
or (pwrem = 0 and is_partial_data = '1'))
and ((is_ad_r = '1' and p_size_r(G_BS_BYTES-1 downto 0) /= G_ABLK_SIZE/8)
or (is_ad_r = '0' and p_size_r(G_BS_BYTES-1 downto 0) /= G_DBLK_SIZE/8)))
then
bdi_size <= p_size_r(G_BS_BYTES-1 downto 0);
else
bdi_size <= (others => '0');
end if;
if (is_partial_data = '0' and pwrem <= (G_W/8)/2) or
(is_partial_data = '1' and pwrem = 0 )
then
is_eot_r <= p_eot;
is_eoi_r <= p_eoi;
else
is_eot_r <= '0';
end if;
end if;
if (wcount < total_words) then
if (is_partial_data = '0') then
sel_input <= "000"; --! D[HI] & D[LO]
else
if (pwrem = 0) then
sel_input <= "011"; --! D[LO] & 00..
else
sel_input <= "010"; --! D[LO] & D[HI]
end if;
end if;
elsif (wcount = total_words) then
if (is_partial_data = '0') then
sel_input <= "001"; --! D[HI] & 00..
else
sel_input <= "011"; --! D[LO] & 00..
end if;
else
sel_input <= "111"; --! "00..."
end if;
end if;
else
if (bdi_read = '1') then
is_ready_r <= '0';
end if;
en_exp_tag <= '1';
if (wcount = CNT_TAG_WORDS-1) then
wcount <= (others => '0');
if (p_eoi = '1') then
pstate <= SP_WAIT_MSG_AUTH;
else
pstate <= SP_WAIT_HDR;
end if;
exp_tag_ready_r <= '1';
else
wcount <= wcount + 1;
end if;
end if;
end if;
when SP_WAIT_DATA_READ =>
wcount <= (others => '0');
if (bdi_read = '1') then
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
is_nodata_r <= '0';
if (pwrem = 0) then
if (G_PAD = 1
and (G_PAD_AD > 1 or G_PAD_D > 1)
and (needs_extra_block = '1' or needs_extra_block_ad = '1')) then
--! Special cases
if (needs_extra_block = '1') then
pstate <= SP_WAIT_DATA;
needs_extra_block <= '0';
is_ad_r <= '0';
elsif (needs_extra_block_ad = '1') then
pstate <= SP_LOAD_SPECIAL_AD;
needs_extra_block_ad <= '0';
is_ad_r <= '1';
end if;
is_extra_block <= '1';
is_nodata_r <= '1';
pad_done <= '0';
elsif (PARTIAL_LOAD = 1 and is_partial_data = '1') then
pstate <= SP_WAIT_DATA;
else
if (G_PAD = 1 and (G_PAD_AD > 1 or G_PAD_D > 1)) then
is_extra_block <= '0';
end if;
if (p_eoi = '1') then
if (is_decrypt_r = '1') then --! If AEAD_DECRYPT, there must be a tag segment after last message segment
if (tag_passed_r = '1') then
pstate <= SP_WAIT_MSG_AUTH;
else
pstate <= SP_WAIT_HDR;
end if;
else
pstate <= SP_WAIT_INSTR;
bdi_proc <= '0';
end if;
else
pstate <= SP_WAIT_HDR;
end if;
end if;
else
if (G_PAD = 1
and (G_PAD_AD = 2 or G_PAD_AD = 4)
and is_extra_block = '1'
and is_ad_r = '1')
then --! Empty AD
is_ad_r <= '0';
is_nodata_r <= '0';
end if;
pstate <= SP_WAIT_DATA;
end if;
end if;
when SP_WAIT_MSG_AUTH =>
if (msg_auth_done = '1') then
pstate <= SP_WAIT_INSTR;
bdi_proc <= '0';
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
elsif (bdi_read = '1') then
is_ready_r <= '0';
end if;
when SP_ERROR =>
pstate <= SP_ERROR;
end case;
end if;
end if;
end process;
--! Unregistered control signals (Required for correct timing)
pdi_ready <= '1' when pdi_valid = '1' and (
(pstate = SP_WAIT_INSTR and bypass_fifo_full = '0') or
(pstate = SP_WAIT_HDR and bypass_fifo_full = '0') or
(pstate = SP_WAIT_NPUB and (npub_ready_r = '0' or G_NPUB_DISABLE = 1) and bypass_fifo_full = '0') or
(pstate = SP_WAIT_NSEC and G_NSEC_ENABLE = 1 and nsec_ready_r = '0') or
(pstate = SP_LOADLEN and G_LOADLEN_ENABLE = 1) or
(pstate = SP_WAIT_DATA and PARTIAL_LOAD = 0
and (((is_ad_r = '1' or (is_nsec_r = '1' and G_NSEC_ENABLE = 1)) and bypass_fifo_full = '0' and pwrem /= 0)
or (is_ad_r = '0' and pwrem /= 0))) or
(pstate = SP_WAIT_DATA and PARTIAL_LOAD = 1 and
(wcount < total_words or (wcount = total_words and is_partial_data = '0')) and
(((is_ad_r = '1' or (is_nsec_r = '1' and G_NSEC_ENABLE = 1)) and bypass_fifo_full = '0' and pwrem /= 0) or
(is_ad_r = '0' and pwrem /= 0)))
) else '0';
gLoadLenDisable:
if (G_LOADLEN_ENABLE /= 1) generate
en_len_a <= '1' when (pstate = SP_READ_HDR and p_stype = ST_AD) else '0';
en_len_d <= '1' when (pstate = SP_READ_HDR and (p_stype = ST_MESSAGE or p_stype = ST_CIPHER)) else '0';
end generate;
--! Division required for reverse block mode
gRB:
if (G_REVERSE_DBLK = 1) generate
remainder <= std_logic_vector(unsigned(p_size_r) MOD to_unsigned(G_DBLK_SIZE/8, CNTR_WIDTH));
end generate;
--! Control for secret data
p_sstate:
process( clk )
begin
if rising_edge( clk ) then
if rst = '1' then
sstate <= SS_WAIT_INSTR;
if (G_RDKEY_ENABLE = 1) then
set_rdkey_ready <= '0';
else
set_key_ready <= '0';
end if;
error_sdi <= (others => '0');
else
if (G_RDKEY_ENABLE = 1) then
en_rdkey <= '0';
set_rdkey_ready <= '0';
else
en_key <= '0';
set_key_ready <= '0';
end if;
case sstate is
when SS_WAIT_INSTR =>
if (sdi_valid = '1') then
sstate <= SS_READ_INSTR;
end if;
when SS_READ_INSTR =>
if (s_opcode /= OP_LD_KEY and s_opcode /= OP_LD_RKEY) then
sstate <= SS_WAIT_INSTR;
error_sdi(1) <= '1'; --! Unsupported opcode
else
sstate <= SS_WAIT_HDR;
end if;
when SS_WAIT_HDR =>
if (sdi_valid = '1'
and ((G_RDKEY_ENABLE = 0 and key_ready_r = '0') or
(G_RDKEY_ENABLE = 1 and rdkey_ready_r = '0')))
then
sstate <= SS_READ_HDR;
end if;
when SS_READ_HDR =>
swcount <= (others => '0');
key_id_r <= s_key_id;
if (G_RDKEY_ENABLE = 0 and (s_stype = ST_KEY and s_size = G_KEY_SIZE/8)) then
sstate <= SS_WAIT_KEY;
elsif (G_RDKEY_ENABLE = 1 and s_stype = ST_RDKEY) then
sstate <= SS_WAIT_RDKEY;
swrem <= sdi(SWREMSIZE+SWSIZE-1 downto SWSIZE)+nway_or(sdi(SWSIZE-1 downto 0));
else
error_sdi(7) <= '1'; --! Segment error
sstate <= SS_WAIT_INSTR;
end if;
when SS_WAIT_KEY =>
if (sdi_valid = '1') then
en_key <= '1';
if (swcount = (G_KEY_SIZE/G_SW)-1) then
sstate <= SS_DELAY;
set_key_ready <= '1';
else
swcount <= swcount + 1;
end if;
end if;
when SS_DELAY =>
sstate <= SS_WAIT_INSTR;
when SS_WAIT_RDKEY =>
if (sdi_valid = '1') then
en_rdkey <= '1';
swrem <= swrem - 1;
if (swcount = (G_KEY_SIZE/G_SW)-1) then
set_rdkey_ready <= '1';
sstate <= SS_WAIT_RDKEY_READ;
else
swcount <= swcount + 1;
end if;
end if;
when SS_WAIT_RDKEY_READ =>
swcount <= (others => '0');
if (rdkey_read = '1') then
if (swrem = 0) then
sstate <= SS_WAIT_INSTR;
else
sstate <= SS_WAIT_RDKEY;
end if;
end if;
end case;
end if;
end if;
end process;
sdi_ready <= '1' when sdi_valid = '1' and (
(sstate = SS_WAIT_INSTR) or
(sstate = SS_WAIT_HDR and ((key_ready_r = '0' and G_RDKEY_ENABLE = 0) or (rdkey_ready_r = '0' and G_RDKEY_ENABLE = 1))) or
(sstate = SS_WAIT_KEY) or
(sstate = SS_WAIT_RDKEY and rdkey_read = '1')
) else '0';
end behavior;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon128128_unrolled4/API_plus_CipherCore/PreProcessor_Control.vhd | 9 | 77328 | -------------------------------------------------------------------------------
--! @file PreProcessor_Control.vhd
--! @brief Control unit for the pre-processor
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.AEAD_pkg.all;
entity PreProcessor_Control is
generic (
G_W : integer := 32; --! Public data width (bits)
G_SW : integer := 32; --! Secret data width (bits)
G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode
G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode
G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits)
G_DBLK_SIZE : integer := 128; --! Data Block size (bits)
G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(block_size/8)
G_KEY_SIZE : integer := 128; --! Key size (bits)
G_NPUB_DISABLE : integer := 0; --! Disable Npub related port(s)
G_NPUB_SIZE : integer := 128; --! Npub width (bits)
G_NSEC_ENABLE : integer := 0; --! Enable NSEC port
G_NSEC_SIZE : integer := 128; --! NSEC width (bits)
G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port)
G_RDKEY_SIZE : integer := 128; --! Roundkey size (bits)
G_REVERSE_DBLK : integer := 0; --! Reverse block order (for message only)
G_LOADLEN_ENABLE : integer := 0; --! Enable load length section
G_CTR_AD_SIZE : integer := 16; --! Segment len size
G_CTR_D_SIZE : integer := 16; --! Segment len size
G_PAD : integer := 1; --! Enable padding
G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding
G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding
G_TAG_SIZE : integer := 128; --! Tag size (bits)
G_KEYAK : integer := 0 --! Special Keyak only mode
);
port (
--! =================
--! External Signals
--! =================
--! Global signals
clk : in std_logic;
rst : in std_logic;
--! Public signals
pdi : in std_logic_vector(G_W -1 downto 0);
pdi_valid : in std_logic;
pdi_ready : out std_logic;
--! Secret signals
sdi : in std_logic_vector(G_SW -1 downto 0);
sdi_valid : in std_logic;
sdi_ready : out std_logic;
error : out std_logic;
--! =================
--! Crypto Core Signals
--! =================
--! control signals
key_ready : out std_logic; --! Indicates that the key is ready
key_needs_update : out std_logic; --! Indicates that the key needs update and should be acknowledge by the core via key_updated signal
key_updated : in std_logic; --! Key has been updated
rdkey_ready : out std_logic; --! Round key ready
rdkey_read : in std_logic; --! Round key read
npub_ready : out std_logic; --! Npub ready
npub_read : in std_logic; --! Npub read
nsec_ready : out std_logic; --! Nsec ready
nsec_read : in std_logic; --! Nsec read
bdi_ready : out std_logic; --! Block ready
bdi_proc : out std_logic; --! Block processing
bdi_ad : out std_logic; --! Input block is an authenticated data
bdi_nsec : out std_logic; --! Input block is a secret message number
bdi_decrypt : out std_logic; --! Decryption operation
bdi_pad : out std_logic; --! Last block of segment type contain padding
bdi_eot : out std_logic; --! Last block of segment type (end-of-type)
bdi_eoi : out std_logic; --! Last block of message (end-of-input)
bdi_nodata : out std_logic; --! Control signal indicating that there's no plain-text or authenticated data. The unit should generate a tag right away.
bdi_read : in std_logic; --! Handshake signal indicating that the data block has been read
bdi_size : out std_logic_vector(G_BS_BYTES -1 downto 0); --! Block size signal. Note: 0 = Full block.
exp_tag_ready : out std_logic; --! Expected tag is ready
msg_auth_done : in std_logic; --! Tag comparison completion handshake
bypass_fifo_full : in std_logic; --! An input signal indicating that the bypass FIFO is full
bypass_fifo_wr : out std_logic; --! An output signal for writing data to bypass FIFO
--! =================
--! Internal Signals
--! =================
pad_shift : out std_logic_vector(log2_ceil(G_W/8) -1 downto 0);
en_data : out std_logic; --! Shift data SIPO
en_npub : out std_logic; --! Shift Npub SIPO
en_nsec : out std_logic; --! Shift Nsec SIPO
en_key : out std_logic; --! Shift Key SIPO
en_rdkey : out std_logic; --! Shift Round Key SIPO
sel_blank_pdi : out std_logic; --! Select input data as blank (for filling in the remaining data within a block)
clr_len : out std_logic; --! Clear stored length (len_a and len_d)
en_len_a_r : out std_logic; --! Add authenticated data counter
en_len_d_r : out std_logic; --! Add data counter
en_len_last_r : out std_logic; --! Special signal for en_len_*_r
en_len_a : out std_logic; --! Add authenticated data counter (instant)
en_len_d : out std_logic; --! Add data counter (instant)
en_exp_tag : out std_logic; --! Shift TAG SIPO
size_dword : out std_logic_vector(log2_ceil(G_W/8) downto 0); --! Size of data word
en_last_word : out std_logic; --! Last word of a block
--! Pad related control
pad_eot : out std_logic; --! Padding is EOT
pad_eoi : out std_logic; --! Padding is EOI
pad_type_ad : out std_logic; --! Padding is AD
pad_enable : out std_logic; --! Enable padding signal (indicates that the current word requires padding)
en_pad_loc : out std_logic; --! Save the padding location into a register
--! Supplemental control
key_updated_int : out std_logic; --! Only used for Keyak
sel_input : out std_logic_vector(2 downto 0) --! Sel input (used when (G_DBLK_SIZE mod G_W) > 0)
);
end PreProcessor_Control;
architecture behavior of PreProcessor_Control is
function getSwCount return integer is
variable maxval : integer := 0;
begin
if (G_NSEC_ENABLE = 1) then
maxval := G_NSEC_SIZE;
end if;
if (G_RDKEY_ENABLE = 1) then
maxval := maximum(maxval, G_RDKEY_SIZE);
else
maxval := maximum(maxval, G_KEY_SIZE);
end if;
return log2_ceil(maxval/G_SW);
end function;
--! Constants declaration
constant PARTIAL_LOAD : integer := isNotDivisible(G_DBLK_SIZE, G_W);
constant LOG2_W : integer := log2_ceil(G_W/8); --! LOG_2(G_W)
constant LOG2_SWORDS : integer := getSwCount; --! Expected key words
constant REGIV_WIDTH : integer := get_width(G_NPUB_SIZE, G_W); --! Calculate the width of Npub register
constant CNTR_WIDTH : integer := get_cntr_width(G_W); --! Calculate the length of p_size register
constant CNT_NPUB_WORDS : integer := get_words(G_NPUB_SIZE, G_W); --! Calculate the number of words required for Npub
constant CNT_AD_WORDS : integer := (G_ABLK_SIZE+(G_W-1))/G_W; --! Calculate the number of words required for data (rounded up)
constant CNT_DATA_WORDS : integer := (G_DBLK_SIZE+(G_W-1))/G_W; --! Calculate the number of words required for data (rounded up)
constant CNT_TAG_WORDS : integer := (G_TAG_SIZE+(G_W-1))/G_W; --! Calculate the number of words required for tag (rounded up)
constant CNT_LOADLEN_A_WORDS : integer := get_words(G_CTR_AD_SIZE, G_W);
constant CNT_LOADLEN_D_WORDS : integer := get_words(G_CTR_D_SIZE, G_W);
constant BSHIFT_INPUT : std_logic_vector(G_W/8 -1 downto 0) := std_logic_vector(to_unsigned(1,G_W/8));
constant WCOUNT_SIZE : integer := log2_ceil(maximum(maximum(CNT_NPUB_WORDS, CNT_DATA_WORDS), CNT_TAG_WORDS)+1);
constant ZEROS : std_logic_vector(G_DBLK_SIZE-1 downto 0) := (OTHERS => '0');
constant SWSIZE : integer := log2_ceil(G_SW/8);
constant SWREMSIZE : integer := (G_SW-16)-SWSIZE;
--! Types declaration
type pstate_type is (SP_WAIT_INSTR, SP_READ_INSTR,
SP_WAIT_HDR, SP_READ_HDR, SP_WAIT_NPUB, SP_WAIT_NSEC,
SP_INIT_KEYAK0, SP_INIT_KEYAK1, SP_INIT_KEYAK2, --! Special state for Keyak
SP_LOAD_SPECIAL_AD, SP_WAIT_SPECIAL_AD_READ, --! Special state for G_PAD_AD > 1
SP_LOADLEN, --! Special LOADLEN state
SP_WAIT_DATA, SP_WAIT_DATA_READ, SP_WAIT_MSG_AUTH,
SP_ERROR);
type sstate_type is (SS_WAIT_INSTR, SS_READ_INSTR,
SS_WAIT_HDR, SS_READ_HDR,
SS_WAIT_KEY, SS_DELAY,
SS_WAIT_RDKEY, SS_WAIT_RDKEY_READ);
--! State signals
signal pstate : pstate_type;
signal sstate : sstate_type;
--! Status controls
signal set_npub_ready : std_logic;
signal set_nsec_ready : std_logic;
signal clr_nsec_ready : std_logic;
signal set_rdkey_ready : std_logic;
--! SDI related signals
signal set_key_needs_update : std_logic;
signal set_key_ready : std_logic;
signal key_ready_r : std_logic;
signal key_needs_update_r : std_logic;
signal nsec_ready_r : std_logic;
signal rdkey_ready_r : std_logic;
signal key_id_r : std_logic_vector(8 -1 downto 0); --! Key id of the key_ready signal
signal key_act_id_init_r : std_logic; --! Status signal for key_act_id (used only after reset)
signal key_act_id_r : std_logic_vector(8 -1 downto 0); --! Activated key_id
signal s_opcode : std_logic_vector(LEN_OPCODE -1 downto 0); --! Secret data opcode
signal s_stype : std_logic_vector(LEN_SMT_HDR -1 downto 0); --! Secret data segment type
signal s_size : std_logic_vector(G_SW-16 -1 downto 0); --! Secret data segment size
signal swcount : std_logic_vector(LOG2_SWORDS -1 downto 0); --! Secret data words count
signal swrem : std_logic_vector(SWREMSIZE -1 downto 0); --! Secret data byte remainder
signal s_key_id : std_logic_vector(8 -1 downto 0); --! Secret data key id
--! Public data signals and registers
signal p_reg_stype : std_logic_vector(LEN_SMT_HDR -1 downto 0); --! Segment type register
signal pwrem : std_logic_vector(CNTR_WIDTH -1 downto 0); --! Private data words remaining
signal wcount : std_logic_vector(WCOUNT_SIZE -1 downto 0); --! Word count
signal p_opcode : std_logic_vector(LEN_OPCODE -1 downto 0); --! Opcode
signal p_stype : std_logic_vector(LEN_SMT_HDR -1 downto 0); --! Segment type
signal p_eot : std_logic; --! Last segment of the specified type flag
signal p_eoi : std_logic; --! Last segment of the message flag
signal p_id : std_logic_vector(LEN_MSG_ID -1 downto 0); --! ID
signal p_size : std_logic_vector(CNTR_WIDTH -1 downto 0); --! Public data segment size
signal p_size_r : std_logic_vector(CNTR_WIDTH -1 downto 0); --! Public data segment size register
signal p_key_id : std_logic_vector(8 -1 downto 0); --! Public data key id
signal remainder : std_logic_vector(CNTR_WIDTH -1 downto 0);
--! Data block status register
signal is_ae_r : std_logic; --! Current block is an authenticated encryption block
--! Data block status registers for external modules
signal npub_ready_r : std_logic;
signal ad_passed_r : std_logic;
signal tag_passed_r : std_logic;
signal is_decrypt_r : std_logic; --! Current message is a decryption
signal is_ad_r : std_logic; --! Current block is an authenticated data block
signal is_tag_r : std_logic; --! Current segment is a tag
signal is_nsec_r : std_logic; --! Current block is a nsec
signal is_ready_r : std_logic; --! Current block is ready
signal is_eot_r : std_logic; --! Current block is the last of its type in the current segment
signal is_eoi_r : std_logic; --! Current block is the last block of message
signal is_nodata_r : std_logic; --! Current block contains no message data (used for authenticated encryption data only mode)
signal is_first_blk : std_logic;
signal is_init_r : std_logic;
--! Padding related signals
signal pad_done : std_logic;
--! Other status registers
signal exp_tag_ready_r : std_logic; --! Needs a delay
signal needs_extra_block : std_logic;
signal needs_extra_block_ad : std_logic;
signal is_extra_block : std_logic;
signal is_partial_data : std_logic;
signal total_words : std_logic_vector(WCOUNT_SIZE -1 downto 0);
--! Error registers
signal errors : std_logic_vector(8 -1 downto 0); --! Error flag (Used for debugging)
signal error_pdi : std_logic_vector(8 downto 1);
signal error_sdi : std_logic_vector(8 downto 1);
begin
--! Datapath
p_reg:
process( clk )
begin
if rising_edge( clk ) then
if rst = '1' then
key_needs_update_r <= '0';
key_ready_r <= '0';
bdi_ready <= '0';
if (G_NPUB_DISABLE = 0) then
npub_ready_r <= '0';
end if;
if (G_NSEC_ENABLE = 1) then
nsec_ready_r <= '0';
end if;
if (G_RDKEY_ENABLE = 1) then
rdkey_ready_r <= '0';
end if;
key_act_id_init_r <= '0';
key_act_id_r <= (others => '0');
else
if (set_key_needs_update = '1') then
key_needs_update_r <= '1';
elsif (key_updated = '1') then
key_needs_update_r <= '0';
end if;
if (G_NSEC_ENABLE = 1) then
if (set_nsec_ready = '1') then
nsec_ready_r <= '1';
elsif (nsec_read = '1') then
nsec_ready_r <= '0';
end if;
end if;
if (G_NPUB_DISABLE = 0) then
if (set_npub_ready = '1') then
npub_ready_r <= '1';
elsif (npub_read = '1') then
npub_ready_r <= '0';
end if;
end if;
if (G_RDKEY_ENABLE = 1) then
if (set_rdkey_ready = '1') then
rdkey_ready_r <= '1';
elsif (rdkey_read = '1') then
rdkey_ready_r <= '0';
if (swrem = 0) then
key_act_id_init_r <= '1';
key_act_id_r <= key_id_r;
end if;
end if;
else
if (set_key_ready = '1') then
key_ready_r <= '1';
elsif (key_updated = '1') then
key_ready_r <= '0';
key_act_id_init_r <= '1';
key_act_id_r <= key_id_r;
end if;
end if;
exp_tag_ready <= exp_tag_ready_r;
bdi_ready <= is_ready_r and not bdi_read;
end if;
end if;
end process;
gRdkeyEnable0: if (G_RDKEY_ENABLE = 0) generate
key_ready <= key_ready_r;
end generate;
gRdkeyEnable1: if (G_RDKEY_ENABLE = 1) generate
rdkey_ready <= rdkey_ready_r;
end generate;
gNsecEnable1: if (G_NSEC_ENABLE = 1) generate
nsec_ready <= nsec_ready_r;
end generate;
key_needs_update <= key_needs_update_r;
s_key_id <= sdi(G_SW -1 downto G_SW- 8);
s_stype <= sdi(G_SW- 8 -1 downto G_SW-12);
s_opcode <= sdi(G_SW-12 -1 downto G_SW-16);
s_size <= sdi(G_SW-16 -1 downto 0);
p_stype <= pdi(G_W - 8 -1 downto G_W -12);
p_opcode <= pdi(G_W -12 -1 downto G_W -16);
p_key_id <= pdi(G_W -16 -1 downto G_W -24);
p_size <= pdi(CNTR_WIDTH -1 downto 0);
bdi_ad <= is_ad_r;
bdi_nsec <= is_nsec_r;
bdi_decrypt <= is_decrypt_r;
bdi_pad <= '0';
bdi_eot <= is_eot_r;
bdi_eoi <= is_eoi_r;
bdi_nodata <= is_nodata_r;
npub_ready <= npub_ready_r;
errors <= error_pdi or error_sdi;
error <= nway_or(errors);
genPad: if (G_PAD = 1) generate
-- genPadShiftReverse00: if (G_REVERSE_DBLK = 0 and G_PAD_AD /= 4) generate
-- en_pad_loc <= '1' when (PARTIAL_LOAD = 0 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0') or
-- (PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount /= total_words) or
-- (PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount = total_words and is_partial_data = '0')
-- else '0';
-- end generate;
genPadShiftReverse0: if (G_REVERSE_DBLK = 0) generate
en_pad_loc <= '1' when ((PARTIAL_LOAD = 0 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0') or
(PARTIAL_LOAD = 0 and (G_PAD_AD = 2 or G_PAD_AD = 4) and pstate = SP_LOAD_SPECIAL_AD and wcount = 0) or
(PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount /= total_words) or
(PARTIAL_LOAD = 1 and pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and wcount = total_words and is_partial_data = '0'))
else '0';
end generate;
genPadShiftReverse1: if (G_REVERSE_DBLK = 1) generate
en_pad_loc <= '1' when ((pstate = SP_WAIT_DATA and p_eot = '1' and pwrem <= G_W/8 and pad_done = '0' and is_ad_r = '1') or
(pstate = SP_WAIT_DATA and is_tag_r = '0' and is_first_blk = '1' and is_ad_r = '0')) else '0';
end generate;
end generate;
genPadShiftX: if (G_PAD = 1 and (G_PAD_D < 2 and G_PAD_AD < 2)) generate
genPadShiftReverse0: if (G_REVERSE_DBLK = 0) generate
pad_shift <= p_size_r(log2_ceil(G_W/8)-1 downto 0);
end generate;
genPadShiftReverse1: if (G_REVERSE_DBLK = 1) generate
pad_shift <= remainder(log2_ceil(G_W/8)-1 downto 0) when (remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8) else (others => '0');
end generate;
end generate;
genPadShift2: if (G_PAD = 1 and (G_PAD_D > 1 or G_PAD_AD > 1)) generate
pad_shift <= p_size_r(log2_ceil(G_W/8)-1 downto 0) when is_extra_block = '0' else (others => '0');
end generate;
--! Control for public data
p_pstate:
process( clk )
begin
if rising_edge( clk ) then
if rst = '1' then
pstate <= SP_WAIT_INSTR;
en_data <= '0';
en_npub <= '0';
error_pdi <= (others => '0');
bypass_fifo_wr <= '0';
p_eot <= '0';
p_eoi <= '0';
p_id <= (others => '0');
bdi_proc <= '0';
is_ad_r <= '0';
is_tag_r <= '0';
is_nsec_r <= '0';
is_ae_r <= '0';
is_decrypt_r <= '0';
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
is_nodata_r <= '0';
sel_blank_pdi <= '0';
exp_tag_ready_r <= '0';
ad_passed_r <= '0';
if (G_PAD = 1) then
pad_type_ad <= '0';
pad_eot <= '0';
pad_eoi <= '0';
end if;
p_reg_stype <= (others => '0');
set_key_needs_update <= '0';
if (PARTIAL_LOAD = 1) then
is_partial_data <= '0';
en_last_word <= '0';
sel_input <= (others => '0');
end if;
if (G_NSEC_ENABLE = 1) then
set_nsec_ready <= '0';
end if;
if (G_PAD = 1) then
pad_enable <= '0';
end if;
if (G_LOADLEN_ENABLE = 1) then
en_len_a_r <= '0';
en_len_d_r <= '0';
if ((G_CTR_AD_SIZE mod G_W /= 0) or (G_CTR_D_SIZE mod G_W /= 0)) then
en_len_last_r <= '0';
end if;
end if;
else
en_data <= '0';
bypass_fifo_wr <= '0';
clr_len <= '0';
sel_blank_pdi <= '0';
en_exp_tag <= '0';
set_key_needs_update <= '0';
if (G_PAD = 1) then
pad_type_ad <= '0';
pad_eot <= '0';
pad_eoi <= '0';
end if;
if (PARTIAL_LOAD = 1) then
en_last_word <= '0';
sel_input <= (others => '0');
end if;
if (G_NPUB_DISABLE = 0) then
en_npub <= '0';
set_npub_ready <= '0';
end if;
if (G_NSEC_ENABLE = 1) then
en_nsec <= '0';
set_nsec_ready <= '0';
end if;
if (G_PAD = 1) then
pad_enable <= '0';
end if;
if (G_KEYAK = 1) then
key_updated_int <= '0';
end if;
if (G_LOADLEN_ENABLE = 1) then
en_len_a_r <= '0';
en_len_d_r <= '0';
if ((G_CTR_AD_SIZE mod G_W /= 0) or (G_CTR_D_SIZE mod G_W /= 0)) then
en_len_last_r <= '0';
end if;
end if;
case pstate is
when SP_WAIT_INSTR =>
if (pdi_valid = '1' and bypass_fifo_full = '0') then
pstate <= SP_READ_INSTR;
bypass_fifo_wr <= '1';
end if;
pwrem <= (others => '0');
p_size_r <= (others => '0');
p_eot <= '0';
p_eoi <= '0';
p_id <= (others => '0');
wcount <= (others => '0');
is_ad_r <= '0';
is_tag_r <= '0';
is_nsec_r <= '0';
is_ae_r <= '0';
is_decrypt_r <= '0';
is_ready_r <= '0';
clr_len <= '1';
is_nodata_r <= '0';
exp_tag_ready_r <= '0';
bdi_size <= (others => '0');
tag_passed_r <= '0';
if G_REVERSE_DBLK = 1 then
is_first_blk <= '1';
end if;
if (G_KEYAK = 1) then
is_init_r <= '1';
end if;
if (G_PAD = 1) then
if (G_PAD_AD > 1 or G_PAD_D > 1) then
is_extra_block <= '0';
needs_extra_block <= '0';
needs_extra_block_ad <= '0';
end if;
if (G_PAD_AD > 1) then
ad_passed_r <= '0';
end if;
end if;
when SP_READ_INSTR =>
if (p_opcode /= OP_AE_ENC)
and (p_opcode /= OP_AE_DEC)
and (p_opcode /= OP_ACT_KEY)
and (p_opcode /= OP_ENC)
and (p_opcode /= OP_DEC)
then
pstate <= SP_ERROR;
error_pdi(1) <= '1'; --! Unsupported opcode
else
if (p_opcode = OP_AE_DEC) or (p_opcode = OP_DEC) then
is_decrypt_r <= '1';
end if;
if (p_opcode = OP_AE_DEC or p_opcode = OP_AE_ENC) then
is_ae_r <= '1';
end if;
if (p_opcode = OP_ACT_KEY) then
pstate <= SP_WAIT_INSTR;
if (key_act_id_init_r = '0'
or (key_act_id_init_r = '1'
and p_key_id /= key_act_id_r))
then
set_key_needs_update <= '1';
end if;
else
pstate <= SP_WAIT_HDR;
p_reg_stype <= p_stype;
bdi_proc <= '1';
end if;
end if;
when SP_WAIT_HDR =>
if (pdi_valid = '1' and bypass_fifo_full = '0') then
pstate <= SP_READ_HDR;
bypass_fifo_wr <= '1';
end if;
--! Pad
if G_PAD = 1 then
pad_done <= '0';
end if;
when SP_READ_HDR =>
if (not ((G_LOADLEN_ENABLE = 1 and p_stype = ST_LEN)
or (p_stype = ST_NPUB)
or (p_stype = ST_AD)
or (p_stype = ST_MESSAGE and is_decrypt_r = '0')
or (p_stype = ST_CIPHER and is_decrypt_r = '1')
or (p_stype = ST_TAG and is_decrypt_r = '1')))
then
pstate <= SP_ERROR;
error_pdi(7) <= '1'; --! Segment type incompatible with opcode
else
--! Store segment type
if (pdi(G_W-15-1) = '1') then
p_reg_stype <= p_stype;
end if;
--! Determining the type and appropriate sequence of inputs. Uses for debugging as well (not fully implemented).
if (p_stype = ST_NPUB) then
if (p_reg_stype = ST_INIT ) or
(G_LOADLEN_ENABLE = 1 and p_reg_stype = ST_LEN )
then
if ( p_size /= G_NPUB_SIZE/8 ) then
pstate <= SP_ERROR;
error_pdi(4) <= '1'; --! Unsupported Npub Length
else
pstate <= SP_WAIT_NPUB;
end if;
else
pstate <= SP_ERROR;
error_pdi(6) <= '1'; --! Segment out of sequence
end if;
elsif (p_stype = ST_AD) then
if ((G_PLAINTEXT_MODE = 0 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or (G_NSEC_ENABLE = 1 and (p_reg_stype = ST_NSEC or p_reg_stype = ST_NSEC_CIPH))))
or (G_PLAINTEXT_MODE = 1 and (p_reg_stype = ST_INIT or p_reg_stype = ST_AD))
or (G_PLAINTEXT_MODE = 2 and (p_reg_stype = ST_INIT or p_reg_stype = ST_AD))
or (G_PLAINTEXT_MODE = 3 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or p_reg_stype = ST_MESSAGE or p_reg_stype = ST_CIPHER))
or ((G_CIPHERTEXT_MODE = 2 and G_REVERSE_DBLK = 1) and (p_reg_stype = ST_TAG)))
then
if (G_KEYAK = 1 and is_init_r = '1') then
is_init_r <= '0';
pstate <= SP_INIT_KEYAK0;
else
pstate <= SP_WAIT_DATA;
end if;
is_ad_r <= '1';
is_tag_r <= '0';
is_nsec_r <= '0';
else
pstate <= SP_ERROR;
error_pdi(6) <= '1'; --! Segment out of sequence
end if;
if (PARTIAL_LOAD = 1) then
total_words <= std_logic_vector(to_unsigned(CNT_AD_WORDS-1, WCOUNT_SIZE));
is_partial_data <= '0';
end if;
if (G_PAD = 1) then
if (G_PAD_AD > 1) then
ad_passed_r <= '1';
end if;
if ((G_PAD_D = 2 or G_PAD_D = 4) and pdi(G_W-14-1) = '1') then --! EOI
needs_extra_block <= '1';
end if;
if ((G_ABLK_SIZE = G_DBLK_SIZE and (G_PAD_AD > 2) and G_PLAINTEXT_MODE < 3) and --! EOT and Full block
pdi(G_BS_BYTES-1 downto 0) = 0 and pdi(G_W-15-1) = '1')
then
needs_extra_block_ad <= '1';
end if;
end if;
elsif (p_stype = ST_MESSAGE or p_stype = ST_CIPHER) then
if ((G_PLAINTEXT_MODE = 0 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or p_reg_stype = ST_MESSAGE or p_reg_stype = ST_CIPHER or (G_NSEC_ENABLE = 1 and (p_reg_stype = ST_NSEC or p_reg_stype = ST_NSEC_CIPH))))
or (G_PLAINTEXT_MODE = 1)
or (G_PLAINTEXT_MODE = 2)
or (G_PLAINTEXT_MODE = 3 and (p_reg_stype = ST_NPUB or p_reg_stype = ST_AD or p_reg_stype = ST_MESSAGE or p_reg_stype = ST_CIPHER))
or ((G_CIPHERTEXT_MODE = 2 and G_REVERSE_DBLK = 1) and (p_reg_stype = ST_TAG)))
then
if (G_PLAINTEXT_MODE < 3 and G_PAD = 1 and (G_PAD_AD = 2 or G_PAD_AD = 4) and ad_passed_r = '0') then
--! Empty AD
pstate <= SP_LOAD_SPECIAL_AD;
is_ad_r <= '1';
is_extra_block <= '1';
else
pstate <= SP_WAIT_DATA;
is_ad_r <= '0';
end if;
is_tag_r <= '0';
is_nsec_r <= '0';
else
pstate <= SP_ERROR;
error_pdi(6) <= '1'; --! Segment out of sequence
end if;
if (PARTIAL_LOAD = 1) then
total_words <= std_logic_vector(to_unsigned(CNT_DATA_WORDS-1, WCOUNT_SIZE));
is_partial_data <= '0';
end if;
--! Calculate if additional block needs to be added (only for Message type)
if (G_PAD = 1 and G_PAD_D > 2) then
if (pdi(G_BS_BYTES-1 downto 0) = 0 and (G_CIPHERTEXT_MODE < 2 or is_decrypt_r = '0') and pdi(G_W-14-1) = '1') then
needs_extra_block <= '1';
else
needs_extra_block <= '0';
end if;
end if;
elsif (G_NSEC_ENABLE = 1 and (p_stype = ST_NSEC or p_stype = ST_NSEC_CIPH)) then
pstate <= SP_WAIT_NSEC;
elsif (p_stype = ST_TAG) then
tag_passed_r <= '1';
if (is_decrypt_r = '0') then
pstate <= SP_ERROR;
error_pdi(6) <= '1';
else
pstate <= SP_WAIT_DATA;
is_tag_r <= '1';
if (is_ready_r = '0') then
is_ad_r <= '0';
is_nsec_r <= '0';
end if;
end if;
elsif (G_LOADLEN_ENABLE = 1 and p_stype = ST_LEN) then
pstate <= SP_LOADLEN;
else --! Unsupported segment type
pstate <= SP_ERROR;
error_pdi(6) <= '1';
end if;
pwrem <= pdi(CNTR_WIDTH-1 downto 0);
p_size_r <= pdi(CNTR_WIDTH-1 downto 0);
p_eot <= pdi(G_W-15-1);
p_eoi <= pdi(G_W-14-1);
p_id <= pdi(G_W-1 downto G_W-8);
end if;
when SP_LOADLEN =>
if (pdi_valid = '1' and bypass_fifo_full = '0') then
bypass_fifo_wr <= '1';
if (wcount <= CNT_LOADLEN_A_WORDS-1) then
en_len_a_r <= '1';
else
en_len_d_r <= '1';
end if;
if ((G_CTR_AD_SIZE mod G_W /= 0) or (G_CTR_D_SIZE mod G_W /= 0)) then
if (wcount = CNT_LOADLEN_A_WORDS-1) or
(wcount = (CNT_LOADLEN_A_WORDS+CNT_LOADLEN_D_WORDS-1))
then
en_len_last_r <= '1';
end if;
end if;
if (wcount = (CNT_LOADLEN_A_WORDS+CNT_LOADLEN_D_WORDS-1)) then
pstate <= SP_WAIT_HDR;
wcount <= (others => '0');
else
wcount <= wcount + 1;
end if;
end if;
when SP_WAIT_NPUB =>
if (pdi_valid = '1' and bypass_fifo_full = '0'
and (G_NPUB_DISABLE = 1 or npub_ready_r = '0'))
then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
if (G_NPUB_DISABLE = 1) then
en_data <= '1';
else
en_npub <= '1';
end if;
bypass_fifo_wr <= '1';
if (wcount = CNT_NPUB_WORDS-1) then
pstate <= SP_WAIT_HDR;
wcount <= (others => '0');
if (G_NPUB_DISABLE = 0) then
set_npub_ready <= '1';
end if;
else
wcount <= wcount + 1;
end if;
end if;
when SP_WAIT_NSEC =>
if (pdi_valid = '1' and nsec_ready_r = '0') then
en_nsec <= '1';
if (G_NSEC_SIZE > G_W) then
if (wcount = (G_NSEC_SIZE/G_W)-1) then
pstate <= SP_WAIT_HDR;
set_nsec_ready <= '1';
else
wcount <= wcount + 1;
end if;
else
pstate <= SP_WAIT_HDR;
set_nsec_ready <= '1';
end if;
end if;
when SP_INIT_KEYAK0 =>
if (key_needs_update_r = '1' and key_ready_r = '1') then
key_updated_int <= '1';
pstate <= SP_INIT_KEYAK1;
elsif (key_needs_update_r = '0') then
pstate <= SP_INIT_KEYAK1;
end if;
when SP_INIT_KEYAK1 =>
sel_input <= "100";
en_data <= '1';
wcount <= wcount + 1;
pstate <= SP_INIT_KEYAK2;
when SP_INIT_KEYAK2 =>
sel_input <= "101";
en_data <= '1';
wcount <= wcount + 1;
pstate <= SP_WAIT_DATA;
when SP_LOAD_SPECIAL_AD =>
en_data <= '1';
sel_blank_pdi <= '1';
if (G_PAD = 1 and wcount = 0) then
pad_enable <= '1';
pad_done <= '1';
pad_eot <= p_eot;
pad_eoi <= p_eoi;
pad_type_ad <= is_ad_r;
end if;
if (wcount = CNT_DATA_WORDS-1) then
p_size_r <= p_size_r - G_DBLK_SIZE/8;
size_dword <= (others => '0');
bdi_size <= (others => '0');
wcount <= (others => '0');
is_ready_r <= '1';
is_nodata_r <= '1';
is_eot_r <= '1';
pstate <= SP_WAIT_SPECIAL_AD_READ;
else
wcount <= wcount + 1;
end if;
when SP_WAIT_SPECIAL_AD_READ =>
if (bdi_read = '1') then
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
is_ad_r <= '0';
is_nodata_r <= '0';
is_extra_block <= '0';
pad_done <= '0';
if (p_reg_stype = ST_NPUB) then
pstate <= SP_WAIT_INSTR;
bdi_proc <= '0';
elsif (p_reg_stype = ST_AD) then
pstate <= SP_WAIT_HDR;
else
pstate <= SP_WAIT_DATA;
end if;
end if;
when SP_WAIT_DATA =>
if (((is_ad_r = '1' or (is_nsec_r = '1' and G_NSEC_ENABLE = 1))
and bypass_fifo_full = '0' and pdi_valid = '1')
or (is_ad_r = '0' and pdi_valid = '1')
or (pwrem = 0 and p_eot = '1')
or (PARTIAL_LOAD = 1 and is_partial_data = '1'))
then
--! If remaining data word is 0, pad input block with 0
if (pwrem /= 0) then
if (is_ad_r = '1' and is_tag_r = '0') then
if (PARTIAL_LOAD = 0) then
bypass_fifo_wr <= '1';
elsif (PARTIAL_LOAD = 1 and
((wcount < total_words) or
(wcount = total_words and is_partial_data = '0')))
then
bypass_fifo_wr <= '1';
end if;
end if;
else
sel_blank_pdi <= '1';
end if;
--! Perform padding
if (G_PAD = 1) then
if (((PARTIAL_LOAD = 0 and p_eot = '1'
and pwrem < G_W/8 and pad_done = '0')
or (PARTIAL_LOAD = 1 and p_eot = '1'
and pwrem < G_W/8 and pad_done = '0'
and (wcount /= total_words or (wcount = total_words and is_partial_data = '0')))
or (G_REVERSE_DBLK = 1 and is_first_blk = '1'
and is_ad_r = '0' and remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8
and remainder(G_BS_BYTES-1 downto 0) /= 0 and is_decrypt_r = '1')))
then
if ((is_ad_r = '0' and G_PAD_D > 0) or
(is_ad_r = '1' and is_tag_r = '0' and G_PAD_AD > 0) or
(G_PAD_D > 0 and G_PAD_AD > 0))
then
pad_enable <= '1';
pad_done <= '1';
pad_eot <= p_eot;
pad_eoi <= p_eoi;
pad_type_ad <= is_ad_r;
end if;
end if;
end if;
if ((PARTIAL_LOAD = 1) and
((G_ABLK_SIZE = G_DBLK_SIZE and wcount = CNT_DATA_WORDS-1)
or (G_ABLK_SIZE /= G_DBLK_SIZE and wcount = total_words)))
then
if (is_partial_data = '0') then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
end if;
else
if (G_ABLK_SIZE = G_DBLK_SIZE) then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
else
if (wcount < total_words) then
if (pwrem <= G_W/8) then
pwrem <= (others => '0');
else
pwrem <= pwrem - G_W/8;
end if;
end if;
end if;
end if;
if (G_REVERSE_DBLK = 0) then
if (pwrem <= G_W/8) then
size_dword <= pwrem(log2_ceil(G_W/8) downto 0);
else
size_dword <= std_logic_vector(to_unsigned(G_W/8,log2_ceil(G_W/8)+1));
end if;
else
--! Special case for PRIMATEs-APE
if (is_ad_r = '1' and pwrem <= G_W/8) then
size_dword <= pwrem(log2_ceil(G_W/8) downto 0);
elsif (is_ad_r = '0' and is_first_blk = '1' and remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8 and remainder(G_BS_BYTES-1 downto 0) /= 0) then
size_dword <= remainder(log2_ceil(G_W/8) downto 0);
else
size_dword <= std_logic_vector(to_unsigned(G_W/8,log2_ceil(G_W/8)+1));
end if;
end if;
if (is_tag_r = '0') then
en_data <= '1';
if (wcount = CNT_DATA_WORDS-1) then
--! Handling case when AD_BLOCK /= Data_BLOCK
if (G_ABLK_SIZE /= G_DBLK_SIZE) then
if (is_ad_r = '1') then
p_size_r <= p_size_r - G_ABLK_SIZE/8;
else
p_size_r <= p_size_r - G_DBLK_SIZE/8;
end if;
else
if (G_KEYAK = 0) then
p_size_r <= p_size_r - G_DBLK_SIZE/8;
else
p_size_r <= p_size_r - (G_DBLK_SIZE/8 - 256/8);
end if;
end if;
wcount <= (others => '0');
--! Status signals and state transition
is_ready_r <= '1';
if (PARTIAL_LOAD = 1) then
en_last_word <= '1';
if ((pwrem <= (G_W/8)/2) and
(is_partial_data = '0'))
then
--! If it's decrypt and of type message, start loading tag segment
if (is_decrypt_r = '1' and p_eoi = '1') then
pstate <= SP_WAIT_HDR;
else
pstate <= SP_WAIT_DATA_READ;
end if;
else
pstate <= SP_WAIT_DATA_READ;
end if;
else
if ((G_REVERSE_DBLK = 0) or (is_decrypt_r = '0') or (is_ad_r = '1')) then
--! Case when Reverse data block is required
if (pwrem < G_W/8) then
bdi_size <= p_size_r(G_BS_BYTES-1 downto 0);
else
bdi_size <= (others => '0');
end if;
else
if (is_first_blk = '1' and is_ad_r = '0') then
if (remainder(G_BS_BYTES-1 downto 0) < G_DBLK_SIZE/8) then
bdi_size <= remainder(G_BS_BYTES-1 downto 0);
else
bdi_size <= (others => '0');
end if;
is_first_blk <= '0';
else
bdi_size <= (others => '0');
end if;
end if;
if (pwrem <= G_W/8) then
if (G_PAD = 1 and ((G_PAD_D > 1 and needs_extra_block = '1' and is_ad_r = '0') or (G_PAD_AD > 1 and needs_extra_block_ad = '1'))) then
is_eot_r <= '0';
else
is_eot_r <= p_eot;
end if;
--! If it's decrypt and of type message, start loading tag segment
if (is_decrypt_r = '1' and is_ad_r = '0' and p_eot = '1') then
is_eoi_r <= p_eot;
if ((G_REVERSE_DBLK = 1 and G_CIPHERTEXT_MODE = 2) and p_eoi = '1') then
pstate <= SP_WAIT_MSG_AUTH;
elsif (G_PAD = 1 and (G_PAD_D > 2 and needs_extra_block = '1')) then
pstate <= SP_WAIT_DATA_READ;
else
pstate <= SP_WAIT_HDR;
end if;
else
if (G_PAD = 1 and ((G_PAD_D > 1 and needs_extra_block = '1') or (G_PAD_AD > 1 and needs_extra_block_ad = '1'))) then
is_eoi_r <= '0';
else
is_eoi_r <= p_eoi;
end if;
pstate <= SP_WAIT_DATA_READ;
end if;
else
pstate <= SP_WAIT_DATA_READ;
end if;
end if;
else
wcount <= wcount + 1;
end if;
if (PARTIAL_LOAD = 1) then
--! Special case when (G_DBLK_SIZE mod G_W = G_W/2)
if (wcount < total_words) then
if (pwrem <= (G_W/8)/2) then
is_partial_data <= '0';
end if;
elsif (wcount = total_words) then
if ((is_partial_data = '0')
and ((pwrem > ((G_ABLK_SIZE/8) mod (G_W/8)) and is_ad_r = '1')
or (pwrem > ((G_DBLK_SIZE/8) mod (G_W/8)) and is_ad_r = '0')))
then
is_partial_data <= '1';
else
is_partial_data <= '0';
end if;
if (((pwrem < (G_W/8)/2 and is_partial_data = '0')
or (pwrem = 0 and is_partial_data = '1'))
and ((is_ad_r = '1' and p_size_r(G_BS_BYTES-1 downto 0) /= G_ABLK_SIZE/8)
or (is_ad_r = '0' and p_size_r(G_BS_BYTES-1 downto 0) /= G_DBLK_SIZE/8)))
then
bdi_size <= p_size_r(G_BS_BYTES-1 downto 0);
else
bdi_size <= (others => '0');
end if;
if (is_partial_data = '0' and pwrem <= (G_W/8)/2) or
(is_partial_data = '1' and pwrem = 0 )
then
is_eot_r <= p_eot;
is_eoi_r <= p_eoi;
else
is_eot_r <= '0';
end if;
end if;
if (wcount < total_words) then
if (is_partial_data = '0') then
sel_input <= "000"; --! D[HI] & D[LO]
else
if (pwrem = 0) then
sel_input <= "011"; --! D[LO] & 00..
else
sel_input <= "010"; --! D[LO] & D[HI]
end if;
end if;
elsif (wcount = total_words) then
if (is_partial_data = '0') then
sel_input <= "001"; --! D[HI] & 00..
else
sel_input <= "011"; --! D[LO] & 00..
end if;
else
sel_input <= "111"; --! "00..."
end if;
end if;
else
if (bdi_read = '1') then
is_ready_r <= '0';
end if;
en_exp_tag <= '1';
if (wcount = CNT_TAG_WORDS-1) then
wcount <= (others => '0');
if (p_eoi = '1') then
pstate <= SP_WAIT_MSG_AUTH;
else
pstate <= SP_WAIT_HDR;
end if;
exp_tag_ready_r <= '1';
else
wcount <= wcount + 1;
end if;
end if;
end if;
when SP_WAIT_DATA_READ =>
wcount <= (others => '0');
if (bdi_read = '1') then
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
is_nodata_r <= '0';
if (pwrem = 0) then
if (G_PAD = 1
and (G_PAD_AD > 1 or G_PAD_D > 1)
and (needs_extra_block = '1' or needs_extra_block_ad = '1')) then
--! Special cases
if (needs_extra_block = '1') then
pstate <= SP_WAIT_DATA;
needs_extra_block <= '0';
is_ad_r <= '0';
elsif (needs_extra_block_ad = '1') then
pstate <= SP_LOAD_SPECIAL_AD;
needs_extra_block_ad <= '0';
is_ad_r <= '1';
end if;
is_extra_block <= '1';
is_nodata_r <= '1';
pad_done <= '0';
elsif (PARTIAL_LOAD = 1 and is_partial_data = '1') then
pstate <= SP_WAIT_DATA;
else
if (G_PAD = 1 and (G_PAD_AD > 1 or G_PAD_D > 1)) then
is_extra_block <= '0';
end if;
if (p_eoi = '1') then
if (is_decrypt_r = '1') then --! If AEAD_DECRYPT, there must be a tag segment after last message segment
if (tag_passed_r = '1') then
pstate <= SP_WAIT_MSG_AUTH;
else
pstate <= SP_WAIT_HDR;
end if;
else
pstate <= SP_WAIT_INSTR;
bdi_proc <= '0';
end if;
else
pstate <= SP_WAIT_HDR;
end if;
end if;
else
if (G_PAD = 1
and (G_PAD_AD = 2 or G_PAD_AD = 4)
and is_extra_block = '1'
and is_ad_r = '1')
then --! Empty AD
is_ad_r <= '0';
is_nodata_r <= '0';
end if;
pstate <= SP_WAIT_DATA;
end if;
end if;
when SP_WAIT_MSG_AUTH =>
if (msg_auth_done = '1') then
pstate <= SP_WAIT_INSTR;
bdi_proc <= '0';
is_ready_r <= '0';
is_eot_r <= '0';
is_eoi_r <= '0';
elsif (bdi_read = '1') then
is_ready_r <= '0';
end if;
when SP_ERROR =>
pstate <= SP_ERROR;
end case;
end if;
end if;
end process;
--! Unregistered control signals (Required for correct timing)
pdi_ready <= '1' when pdi_valid = '1' and (
(pstate = SP_WAIT_INSTR and bypass_fifo_full = '0') or
(pstate = SP_WAIT_HDR and bypass_fifo_full = '0') or
(pstate = SP_WAIT_NPUB and (npub_ready_r = '0' or G_NPUB_DISABLE = 1) and bypass_fifo_full = '0') or
(pstate = SP_WAIT_NSEC and G_NSEC_ENABLE = 1 and nsec_ready_r = '0') or
(pstate = SP_LOADLEN and G_LOADLEN_ENABLE = 1) or
(pstate = SP_WAIT_DATA and PARTIAL_LOAD = 0
and (((is_ad_r = '1' or (is_nsec_r = '1' and G_NSEC_ENABLE = 1)) and bypass_fifo_full = '0' and pwrem /= 0)
or (is_ad_r = '0' and pwrem /= 0))) or
(pstate = SP_WAIT_DATA and PARTIAL_LOAD = 1 and
(wcount < total_words or (wcount = total_words and is_partial_data = '0')) and
(((is_ad_r = '1' or (is_nsec_r = '1' and G_NSEC_ENABLE = 1)) and bypass_fifo_full = '0' and pwrem /= 0) or
(is_ad_r = '0' and pwrem /= 0)))
) else '0';
gLoadLenDisable:
if (G_LOADLEN_ENABLE /= 1) generate
en_len_a <= '1' when (pstate = SP_READ_HDR and p_stype = ST_AD) else '0';
en_len_d <= '1' when (pstate = SP_READ_HDR and (p_stype = ST_MESSAGE or p_stype = ST_CIPHER)) else '0';
end generate;
--! Division required for reverse block mode
gRB:
if (G_REVERSE_DBLK = 1) generate
remainder <= std_logic_vector(unsigned(p_size_r) MOD to_unsigned(G_DBLK_SIZE/8, CNTR_WIDTH));
end generate;
--! Control for secret data
p_sstate:
process( clk )
begin
if rising_edge( clk ) then
if rst = '1' then
sstate <= SS_WAIT_INSTR;
if (G_RDKEY_ENABLE = 1) then
set_rdkey_ready <= '0';
else
set_key_ready <= '0';
end if;
error_sdi <= (others => '0');
else
if (G_RDKEY_ENABLE = 1) then
en_rdkey <= '0';
set_rdkey_ready <= '0';
else
en_key <= '0';
set_key_ready <= '0';
end if;
case sstate is
when SS_WAIT_INSTR =>
if (sdi_valid = '1') then
sstate <= SS_READ_INSTR;
end if;
when SS_READ_INSTR =>
if (s_opcode /= OP_LD_KEY and s_opcode /= OP_LD_RKEY) then
sstate <= SS_WAIT_INSTR;
error_sdi(1) <= '1'; --! Unsupported opcode
else
sstate <= SS_WAIT_HDR;
end if;
when SS_WAIT_HDR =>
if (sdi_valid = '1'
and ((G_RDKEY_ENABLE = 0 and key_ready_r = '0') or
(G_RDKEY_ENABLE = 1 and rdkey_ready_r = '0')))
then
sstate <= SS_READ_HDR;
end if;
when SS_READ_HDR =>
swcount <= (others => '0');
key_id_r <= s_key_id;
if (G_RDKEY_ENABLE = 0 and (s_stype = ST_KEY and s_size = G_KEY_SIZE/8)) then
sstate <= SS_WAIT_KEY;
elsif (G_RDKEY_ENABLE = 1 and s_stype = ST_RDKEY) then
sstate <= SS_WAIT_RDKEY;
swrem <= sdi(SWREMSIZE+SWSIZE-1 downto SWSIZE)+nway_or(sdi(SWSIZE-1 downto 0));
else
error_sdi(7) <= '1'; --! Segment error
sstate <= SS_WAIT_INSTR;
end if;
when SS_WAIT_KEY =>
if (sdi_valid = '1') then
en_key <= '1';
if (swcount = (G_KEY_SIZE/G_SW)-1) then
sstate <= SS_DELAY;
set_key_ready <= '1';
else
swcount <= swcount + 1;
end if;
end if;
when SS_DELAY =>
sstate <= SS_WAIT_INSTR;
when SS_WAIT_RDKEY =>
if (sdi_valid = '1') then
en_rdkey <= '1';
swrem <= swrem - 1;
if (swcount = (G_KEY_SIZE/G_SW)-1) then
set_rdkey_ready <= '1';
sstate <= SS_WAIT_RDKEY_READ;
else
swcount <= swcount + 1;
end if;
end if;
when SS_WAIT_RDKEY_READ =>
swcount <= (others => '0');
if (rdkey_read = '1') then
if (swrem = 0) then
sstate <= SS_WAIT_INSTR;
else
sstate <= SS_WAIT_RDKEY;
end if;
end if;
end case;
end if;
end if;
end process;
sdi_ready <= '1' when sdi_valid = '1' and (
(sstate = SS_WAIT_INSTR) or
(sstate = SS_WAIT_HDR and ((key_ready_r = '0' and G_RDKEY_ENABLE = 0) or (rdkey_ready_r = '0' and G_RDKEY_ENABLE = 1))) or
(sstate = SS_WAIT_KEY) or
(sstate = SS_WAIT_RDKEY and rdkey_read = '1')
) else '0';
end behavior;
| gpl-3.0 |
mgiacomini/mips-monocycle | ADD.vhd | 2 | 1366 | -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- Complete implementation of Patterson and Hennessy single cycle MIPS processor
-- Copyright (C) 2015 Darci Luiz Tomasi Junior
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- Engineer: Darci Luiz Tomasi Junior
-- E-mail: [email protected]
-- Date : 08/07/2015 - 18:58
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
ENTITY ADD IS
PORT(
IN_A : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
IN_B : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
OUT_A : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END ADD;
ARCHITECTURE ARC_ADD OF ADD IS
BEGIN
OUT_A <= STD_LOGIC_VECTOR (UNSIGNED(IN_A ) + UNSIGNED(IN_B));
END ARC_ADD;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_serialized/API_plus_CipherCore/AEAD_pkg.vhd | 9 | 7800 | -------------------------------------------------------------------------------
--! @file AEAD_pkg.vhd
--! @brief Package used for authenticated encyryption
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package AEAD_pkg is
--! Opcde
constant OP_ENC : std_logic_vector(4 -1 downto 0) := "0000"; --! Encryption only operation
constant OP_DEC : std_logic_vector(4 -1 downto 0) := "0001"; --! Decryption only operation
constant OP_AE_ENC : std_logic_vector(4 -1 downto 0) := "0010"; --! Authenticated Encryption operation
constant OP_AE_DEC : std_logic_vector(4 -1 downto 0) := "0011"; --! Authenticated Decryption operation
constant OP_LD_KEY : std_logic_vector(4 -1 downto 0) := "0100"; --! Load Key (Used by Secret Data Input)
constant OP_LD_RKEY : std_logic_vector(4 -1 downto 0) := "0101"; --! Load Round Key (Used by Public Data Input)
constant OP_ACT_KEY : std_logic_vector(4 -1 downto 0) := "0111"; --! Activate Key (Used by Public Data Input)
constant OP_AE_PASS : std_logic_vector(4 -1 downto 0) := "1110"; --! Authenticated Decryption Pass
constant OP_AE_FAIL : std_logic_vector(4 -1 downto 0) := "1111"; --! Authenticated Decryption Fail
--! Opcode extension for multi-mode operations
constant OP_MAC : std_logic_vector(4 -1 downto 0) := "0110"; --! MAC operation
constant OP_HASH : std_logic_vector(4 -1 downto 0) := "0111"; --! Hash operation
constant OP_PRNG : std_logic_vector(4 -1 downto 0) := "1000"; --! PRNG operation
--! Segment Type Encoding
constant ST_INSTR : std_logic_vector(4 -1 downto 0) := "0000"; --! Instruction type
constant ST_INIT : std_logic_vector(4 -1 downto 0) := "0000"; --! Initialization type
constant ST_NPUB : std_logic_vector(4 -1 downto 0) := "0001"; --! NPUB Type
constant ST_AD : std_logic_vector(4 -1 downto 0) := "0010"; --! Authenticated Data type
constant ST_MESSAGE : std_logic_vector(4 -1 downto 0) := "0011"; --! Message type
constant ST_CIPHER : std_logic_vector(4 -1 downto 0) := "0100"; --! Cipher type
constant ST_TAG : std_logic_vector(4 -1 downto 0) := "0101"; --! Tag type
constant ST_KEY : std_logic_vector(4 -1 downto 0) := "0110"; --! Key type
constant ST_RDKEY : std_logic_vector(4 -1 downto 0) := "0111"; --! Key type
constant ST_NSEC : std_logic_vector(4 -1 downto 0) := "1000"; --! Secret message number type
constant ST_NSEC_CIPH: std_logic_vector(4 -1 downto 0) := "1001"; --! Encrypted secret message number type
constant ST_LEN : std_logic_vector(4 -1 downto 0) := "1100"; --! Length type
--! Length specifier
constant LEN_MSG_ID : integer := 8; --! Length of message ID
constant LEN_KEY_ID : integer := 8; --! Length of Key ID
constant LEN_OPCODE : integer := 4; --! Length of opcode
constant LEN_SMT_HDR : integer := 4; --! Length of segment header
--! Other
constant CTR_SIZE_LIM : integer := 16; --! Limit to the segment counter size
--! Functions
function maximum(a, b: integer) return integer; --! Get maximum
function nway_or( x : std_logic_vector) return std_logic; --! Or all bits of an input
function get_words(size: integer; iowidth:integer) return integer; --! Calculate the number of I/O words for a particular size
function get_width(size: integer; iowidth: integer) return integer; --! Calculate the width of register (used when not divisible by I/O size, i.e. NPUB = 96 with I/O = 64-bit)
function get_cntr_width(iowidth: integer) return integer; --! Calculate the length of size register (used when I/O size < counter limit size)
function log2_ceil (N: natural) return natural; --! Log(2) ceil
function isNotDivisible(xx: integer; yy: integer) return integer; --! Determine a whether a value is divisible
end AEAD_pkg;
package body AEAD_pkg is
--! maximum
function maximum(a, b: integer) return integer is
begin
if (a > b) then
return a;
else
return b;
end if;
end function maximum;
--! Or gate to all the input
function nway_or( x : std_logic_vector) return std_logic is
variable y : std_logic;
begin
y := x(0);
for i in x'low+1 to x'high loop
y := y or x(i);
end loop;
return y;
end function nway_or;
--! Calculate the number of words
function get_words(size: integer; iowidth:integer) return integer is
begin
if (size mod iowidth) > 0 then
return size/iowidth + 1;
else
return size/iowidth;
end if;
end function get_words;
--! Calculate the expected width
function get_width(size: integer; iowidth: integer) return integer is
begin
if (iowidth >= size) then
return size;
else
return (size mod iowidth)+size;
end if;
end function get_width;
--! Get the size of the public data
function get_cntr_width(iowidth: integer) return integer is
begin
if iowidth-16 >= CTR_SIZE_LIM then
return CTR_SIZE_LIM;
else
return iowidth-16;
end if;
end function get_cntr_width;
--! Log of base 2
function log2_ceil (N: natural) return natural is
begin
if ( N = 0 ) then
return 0;
elsif N <= 2 then
return 1;
else
if (N mod 2 = 0) then
return 1 + log2_ceil(N/2);
else
return 1 + log2_ceil((N+1)/2);
end if;
end if;
end function log2_ceil;
function isNotDivisible(xx: integer; yy: integer) return integer is
begin
if (xx MOD yy) /= 0 then
return 1;
else
return 0;
end if;
end function isNotDivisible;
end package body AEAD_pkg;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon12864_unrolled6/Kernel/Ascon_block_control.vhd | 1 | 6626 | -------------------------------------------------------------------------------
--! @project Unrolled (6) hardware implementation of Asconv1286
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Ascon_StateUpdate_control is
port(
Clk : in std_logic; -- Clock
Reset : in std_logic; -- Reset (synchronous)
-- Control signals
RoundNr : out std_logic; -- biggest round is 12
sel1,sel2,sel3,sel4 : out std_logic_vector(1 downto 0);
sel0 : out std_logic_vector(2 downto 0);
selout : out std_logic;
Reg0En,Reg1En,Reg2En,Reg3En,Reg4En,RegOutEn : out std_logic;
ActivateGen : out std_logic;
GenSize : out std_logic_vector(2 downto 0);
-- External control signals
Start : in std_logic;
Mode : in std_logic_vector(3 downto 0);
Size : in std_logic_vector(2 downto 0); -- only matters for last block decryption
Busy : out std_logic
);
end entity Ascon_StateUpdate_control;
architecture structural of Ascon_StateUpdate_control is
begin
-----------------------------------------
------ The Finite state machine --------
-----------------------------------------
-- Modes: initialization, associative data, encryption, decryption, tag generation, final encryption, final decryption, seperation constant
-- 0010 0000 0110 0100 0001 0111 0101, 0011
-- case1 1000, case2 1001
fsm: process(Clk, Reset) is
type state_type is (IDLE,LOADNEW,CRYPT,TAG);
variable CurrState : state_type := IDLE;
variable RoundNrVar : std_logic_vector(1 downto 0);
begin
if Clk'event and Clk = '1' then
-- default values
sel0 <= "000";
sel1 <= "00";
sel2 <= "00";
sel3 <= "00";
sel4 <= "00";
selout <= '0';
Reg0En <= '0';
Reg1En <= '0';
Reg2En <= '0';
Reg3En <= '0';
Reg4En <= '0';
RegOutEn <= '0';
ActivateGen <= '0';
GenSize <= "000";
Busy <= '0';
if Reset = '1' then -- synchronous reset active high
-- registers used by fsm:
RoundNrVar := "00";
CurrState := IDLE;
else
FSMlogic : case CurrState is
when IDLE =>
if Start = '1' then
Busy <= '1';
if Mode = "0000" then -- AD mode
RoundNrVar := "11"; -- so starts at 0 next cycle
-- set Sel and Enables signal (Xor with DataIn)
sel0 <= "010";
Reg0En <= '1';
CurrState := CRYPT;
elsif Mode = "0100" then -- Decryption mode
RoundNrVar := "11"; -- so starts at 0 next cycle
-- set Sel and Enables signal (Generate output and xor state)
ActivateGen <= '1';
sel0 <= "010";
Reg0En <= '1';
RegOutEn <= '1';
CurrState := CRYPT;
elsif Mode = "0110" then -- Encryption
RoundNrVar := "11"; -- so starts at 0 next cycle
-- set Sel and Enables signal (Generate output and xor state)
sel0 <= "010";
Reg0En <= '1';
RegOutEn <= '1';
CurrState := CRYPT;
elsif Mode = "0001" then -- Tag mode
RoundNrVar := "11"; -- so starts at 0 next cycle
-- set Sel and Enables signal (XOR middle with key)
sel1 <= "10";
sel2 <= "11";
Reg1En <= '1';
Reg2En <= '1';
CurrState := TAG;
elsif Mode = "0111" then -- Last block encryption
-- set Sel and Enables signal (Generate output and xor state)
sel0 <= "010";
Reg0En <= '1';
RegOutEn <= '1';
CurrState := IDLE;
elsif Mode = "0101" then -- Last block decryption
-- set Sel and Enables signal (Generate output and xor state)
ActivateGen <= '1';
GenSize <= Size;
sel0 <= "010";
Reg0En <= '1';
RegOutEn <= '1';
CurrState := IDLE;
elsif Mode = "0011" then -- Seperation constant
sel4 <= "11";
Reg4En <= '1';
CurrState := IDLE;
elsif Mode = "0010" then -- Initialization mode
RoundNrVar := "11"; -- so starts at 0 next cycle
-- set Sel and Enables signal (Load in key and IV)
sel0 <= "001";
sel1 <= "01";
sel2 <= "01";
sel3 <= "01";
sel4 <= "01";
Reg0En <= '1';
Reg1En <= '1';
Reg2En <= '1';
Reg3En <= '1';
Reg4En <= '1';
CurrState := LOADNEW;
elsif Mode = "1000" then -- case1
sel0 <= "100";
Reg0En <= '1';
CurrState := IDLE;
else -- case2
sel0 <= "100";
Reg0En <= '1';
RoundNrVar := "11"; -- so starts at 0 next cycle
CurrState := CRYPT;
end if;
else
Busy <= '0';
CurrState := IDLE;
end if;
when LOADNEW =>
if RoundNrVar = "01" then -- first foesn't play a role, it's just to keep track in this if function
-- set Sel and Enables signal (Xor at the end)
sel3 <= "10";
sel4 <= "10";
Reg3En <= '1';
Reg4En <= '1';
CurrState := IDLE;
Busy <= '0';
else
RoundNrVar := std_logic_vector(unsigned(RoundNrVar) + 1);
-- set Sel and Enables signal (execute a round)
Reg0En <= '1';
Reg1En <= '1';
Reg2En <= '1';
Reg3En <= '1';
Reg4En <= '1';
CurrState := LOADNEW;
Busy <= '1';
end if;
when CRYPT =>
RoundNrVar := "00";
Reg0En <= '1';
Reg1En <= '1';
Reg2En <= '1';
Reg3En <= '1';
Reg4En <= '1';
CurrState := IDLE;
Busy <= '0';
when TAG =>
if RoundNrVar = "01" then
-- set Sel and Enables signal (connect tag to output)
selout <= '1';
RegOutEn <= '1';
CurrState := IDLE;
Busy <= '0';
else
RoundNrVar := std_logic_vector(unsigned(RoundNrVar) + 1);
-- set Sel and Enables signal (execute a round)
Reg0En <= '1';
Reg1En <= '1';
Reg2En <= '1';
Reg3En <= '1';
Reg4En <= '1';
CurrState := TAG;
Busy <= '1';
end if;
end case FSMlogic;
RoundNr <= RoundNrVar(0);
end if;
end if;
end process fsm;
end architecture structural;
| gpl-3.0 |
mgiacomini/mips-monocycle | TB_MAIN_PROCESSOR.vhd | 2 | 2119 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:35:44 08/06/2015
-- Design Name:
-- Module Name: E:/Programas_FPGA/SYNGLE_CYCLE_V3/TB_MAIN_PROCESSOR.vhd
-- Project Name: SYNGLE_CYCLE_V3
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: MAIN_PROCESSOR
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
ENTITY TB_MAIN_PROCESSOR IS
END TB_MAIN_PROCESSOR;
ARCHITECTURE behavior OF TB_MAIN_PROCESSOR IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT MAIN_PROCESSOR
PORT(
CLK : IN std_logic;
RESET : IN std_logic
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RESET : std_logic := '0';
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: MAIN_PROCESSOR PORT MAP (
CLK => CLK,
RESET => RESET
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
RESET <= '1';
wait for 10 ns;
RESET <= '0';
WAIT;
end process;
END;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon128128_unrolled2/Kernel/Sbox.vhd | 1 | 3941 | -------------------------------------------------------------------------------
--! @project Unrolled (factor 2) hardware implementation of Asconv128128
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Sbox is
port(
X0In : in std_logic_vector(63 downto 0);
X1In : in std_logic_vector(63 downto 0);
X2In : in std_logic_vector(63 downto 0);
X3In : in std_logic_vector(63 downto 0);
X4In : in std_logic_vector(63 downto 0);
RoundNr : in std_logic_vector(3 downto 0);
X0Out : out std_logic_vector(63 downto 0);
X1Out : out std_logic_vector(63 downto 0);
X2Out : out std_logic_vector(63 downto 0);
X3Out : out std_logic_vector(63 downto 0);
X4Out : out std_logic_vector(63 downto 0));
end entity Sbox;
architecture structural of Sbox is
begin
Sbox: process(X0In,X1In,X2In,X3In,X4In,RoundNr) is
-- Procedure for 5-bit Sbox
procedure doSboxPart (
variable SboxPartIn : in std_logic_vector(4 downto 0);
variable SboxPartOut : out std_logic_vector(4 downto 0)) is
-- Temp variable
variable SboxPartTemp : std_logic_vector(17 downto 0);
begin
-- Sbox Interconnections
SboxPartTemp(0) := SboxPartIn(0) xor SboxPartIn(4);
SboxPartTemp(1) := SboxPartIn(2) xor SboxPartIn(1);
SboxPartTemp(2) := SboxPartIn(4) xor SboxPartIn(3);
SboxPartTemp(3) := not SboxPartTemp(0);
SboxPartTemp(4) := not SboxPartIn(1);
SboxPartTemp(5) := not SboxPartTemp(1);
SboxPartTemp(6) := not SboxPartIn(3);
SboxPartTemp(7) := not SboxPartTemp(2);
SboxPartTemp(8) := SboxPartIn(1) and SboxPartTemp(3);
SboxPartTemp(9) := SboxPartTemp(1) and SboxPartTemp(4);
SboxPartTemp(10) := SboxPartIn(3) and SboxPartTemp(5);
SboxPartTemp(11) := SboxPartTemp(2) and SboxPartTemp(6);
SboxPartTemp(12) := SboxPartTemp(0) and SboxPartTemp(7);
SboxPartTemp(13) := SboxPartTemp(0) xor SboxPartTemp(9);
SboxPartTemp(14) := SboxPartIn(1) xor SboxPartTemp(10);
SboxPartTemp(15) := SboxPartTemp(1) xor SboxPartTemp(11);
SboxPartTemp(16) := SboxPartIn(3) xor SboxPartTemp(12);
SboxPartTemp(17) := SboxPartTemp(2) xor SboxPartTemp(8);
SboxPartOut(0) := SboxPartTemp(13) xor SboxPartTemp(17);
SboxPartOut(1) := SboxPartTemp(13) xor SboxPartTemp(14);
SboxPartOut(2) := not SboxPartTemp(15);
SboxPartOut(3) := SboxPartTemp(15) xor SboxPartTemp(16);
SboxPartOut(4) := SboxPartTemp(17);
end procedure doSboxPart;
variable X2TempIn : std_logic_vector(63 downto 0);
variable TempIn,TempOut : std_logic_vector(4 downto 0);
begin
-- Xor with round constants
X2TempIn(3 downto 0) := X2In(3 downto 0) xor RoundNr;
X2TempIn(7 downto 4) := X2In(7 downto 4) xnor RoundNr;
X2TempIn(63 downto 8) := X2In(63 downto 8);
-- Apply 5-bit Sbox 64 times
for i in X0In'range loop
TempIn(0) := X0In(i);
TempIn(1) := X1In(i);
TempIn(2) := X2TempIn(i);
TempIn(3) := X3In(i);
TempIn(4) := X4In(i);
doSboxPart(TempIn,TempOut);
X0Out(i) <= TempOut(0);
X1Out(i) <= TempOut(1);
X2Out(i) <= TempOut(2);
X3Out(i) <= TempOut(3);
X4Out(i) <= TempOut(4);
end loop;
end process Sbox;
end architecture structural;
| gpl-3.0 |
michaelfivez/ascon_hardware_implementation | ascon128128_serialized/API_plus_CipherCore/AEAD_Core.vhd | 4 | 15540 | -------------------------------------------------------------------------------
--! @file AEAD_Core.vhd
--! @brief Authenticated encryption unit core template module.
--! User should modification to the default generics based on the
--! implemented cipher.
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity AEAD_Core is
generic (
G_W : integer := 32; --! Public data width (bits)
G_SW : integer := 32; --! Secret data width (bits)
G_NPUB_SIZE : integer := 128; --! IV or Nonce size (bits)
G_NSEC_ENABLE : integer := 0; --! Enable NSEC port
G_NSEC_SIZE : integer := 1; --! NSEC width (bits)
G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits)
G_DBLK_SIZE : integer := 128; --! Data Block size (bits)
G_KEY_SIZE : integer := 128; --! Key size (bits)
G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port)
G_RDKEY_SIZE : integer := 1; --! Roundkey size (bits)
G_TAG_SIZE : integer := 128; --! Tag size (bits)
G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8)
G_LOADLEN_ENABLE : integer := 0; --! Enable load length section
G_PAD : integer := 1; --! Enable padding
G_PAD_STYLE : integer := 1; --! Padding mode
G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding
G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding
G_CTR_AD_SIZE : integer := 16; --! Maximum AD len value
G_CTR_D_SIZE : integer := 16; --! Maximum data len value
G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode
G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode
G_REVERSE_DBLK : integer := 0 --! Reverse block order (for message only)
);
port (
--! Global signals
clk : in std_logic;
rst : in std_logic;
--! Data in signals
pdi : in std_logic_vector(G_W -1 downto 0);
pdi_valid : in std_logic;
pdi_ready : out std_logic;
--! Key signals
sdi : in std_logic_vector(G_SW -1 downto 0);
sdi_valid : in std_logic;
sdi_ready : out std_logic;
--! Data out signals
do : out std_logic_vector(G_W -1 downto 0);
do_ready : in std_logic;
do_valid : out std_logic;
--! FIFO signals
bypass_fifo_wr : out std_logic;
bypass_fifo_rd : out std_logic;
bypass_fifo_full : in std_logic;
bypass_fifo_empty : in std_logic;
bypass_fifo_data : in std_logic_vector(G_W -1 downto 0);
aux_fifo_din : out std_logic_vector(G_W -1 downto 0);
aux_fifo_ctrl : out std_logic_vector(4 -1 downto 0);
aux_fifo_dout : in std_logic_vector(G_W -1 downto 0);
aux_fifo_status : in std_logic_vector(3 -1 downto 0)
);
end AEAD_Core;
-------------------------------------------------------------------------------
--! @brief Architecture definition of AEAD_Core
-------------------------------------------------------------------------------
architecture structure of AEAD_Core is
--! Signals from input processor
signal npub : std_logic_vector(G_NPUB_SIZE -1 downto 0);
signal nsec : std_logic_vector(G_NSEC_SIZE -1 downto 0);
signal key : std_logic_vector(G_KEY_SIZE -1 downto 0);
signal rdkey : std_logic_vector(G_RDKEY_SIZE -1 downto 0);
signal bdi : std_logic_vector(G_DBLK_SIZE -1 downto 0);
signal exp_tag : std_logic_vector(G_TAG_SIZE -1 downto 0);
signal len_a : std_logic_vector(G_CTR_AD_SIZE -1 downto 0);
signal len_d : std_logic_vector(G_CTR_D_SIZE -1 downto 0);
signal key_ready : std_logic;
signal key_updated : std_logic;
signal key_needs_update : std_logic;
signal rdkey_ready : std_logic;
signal rdkey_read : std_logic;
signal npub_ready : std_logic;
signal npub_read : std_logic;
signal nsec_ready : std_logic;
signal nsec_read : std_logic;
signal bdi_ready : std_logic;
signal bdi_proc : std_logic;
signal bdi_ad : std_logic;
signal bdi_nsec : std_logic;
signal bdi_pad : std_logic;
signal bdi_decrypt : std_logic;
signal bdi_eot : std_logic;
signal bdi_eoi : std_logic;
signal bdi_read : std_logic;
signal bdi_size : std_logic_vector(G_BS_BYTES -1 downto 0);
signal bdi_valid_bytes : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
signal bdi_pad_loc : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
signal bdi_nodata : std_logic;
signal exp_tag_ready : std_logic;
--! Signals to output processor
signal bdo_ready : std_logic;
signal bdo_write : std_logic;
signal bdo : std_logic_vector(G_DBLK_SIZE -1 downto 0);
signal bdo_size : std_logic_vector(G_BS_BYTES+1 -1 downto 0);
signal bdo_nsec : std_logic;
signal tag_ready : std_logic;
signal tag_write : std_logic;
signal tag : std_logic_vector(G_TAG_SIZE -1 downto 0);
signal msg_auth_done : std_logic;
signal msg_auth_valid : std_logic;
begin
u_input:
entity work.PreProcessor(structure)
generic map (
G_W => G_W ,
G_SW => G_SW ,
G_NPUB_SIZE => G_NPUB_SIZE ,
G_NSEC_ENABLE => G_NSEC_ENABLE ,
G_NSEC_SIZE => G_NSEC_SIZE ,
G_ABLK_SIZE => G_ABLK_SIZE ,
G_DBLK_SIZE => G_DBLK_SIZE ,
G_KEY_SIZE => G_KEY_SIZE ,
G_RDKEY_ENABLE => G_RDKEY_ENABLE ,
G_RDKEY_SIZE => G_RDKEY_SIZE ,
G_TAG_SIZE => G_TAG_SIZE ,
G_BS_BYTES => G_BS_BYTES ,
G_LOADLEN_ENABLE => G_LOADLEN_ENABLE ,
G_PAD => G_PAD ,
G_PAD_STYLE => G_PAD_STYLE ,
G_PAD_AD => G_PAD_AD ,
G_PAD_D => G_PAD_D ,
G_CTR_AD_SIZE => G_CTR_AD_SIZE ,
G_CTR_D_SIZE => G_CTR_D_SIZE ,
G_PLAINTEXT_MODE => G_PLAINTEXT_MODE ,
G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE,
G_REVERSE_DBLK => G_REVERSE_DBLK
)
port map (
clk => clk ,
rst => rst ,
--! External
pdi => pdi ,
pdi_valid => pdi_valid ,
pdi_ready => pdi_ready ,
sdi => sdi ,
sdi_valid => sdi_valid ,
sdi_ready => sdi_ready ,
--! Datapath
npub => npub ,
nsec => nsec ,
key => key ,
rdkey => rdkey ,
bdi => bdi ,
exp_tag => exp_tag ,
len_a => len_a ,
len_d => len_d ,
--! Controller
key_ready => key_ready ,
key_updated => key_updated ,
key_needs_update => key_needs_update ,
rdkey_ready => rdkey_ready ,
rdkey_read => rdkey_read ,
npub_ready => npub_ready ,
npub_read => npub_read ,
nsec_ready => nsec_ready ,
nsec_read => nsec_read ,
bdi_ready => bdi_ready ,
bdi_proc => bdi_proc ,
bdi_ad => bdi_ad ,
bdi_nsec => bdi_nsec ,
bdi_pad => bdi_pad ,
bdi_decrypt => bdi_decrypt ,
bdi_eot => bdi_eot ,
bdi_eoi => bdi_eoi ,
bdi_nodata => bdi_nodata ,
bdi_read => bdi_read ,
bdi_size => bdi_size ,
bdi_valid_bytes => bdi_valid_bytes ,
bdi_pad_loc => bdi_pad_loc ,
exp_tag_ready => exp_tag_ready ,
msg_auth_done => msg_auth_done ,
--! FIFO
bypass_fifo_wr => bypass_fifo_wr ,
bypass_fifo_full => bypass_fifo_full
);
u_cc:
entity work.CipherCore(structure)
generic map (
G_NPUB_SIZE => G_NPUB_SIZE ,
G_NSEC_SIZE => G_NSEC_SIZE ,
G_DBLK_SIZE => G_DBLK_SIZE ,
G_KEY_SIZE => G_KEY_SIZE ,
G_RDKEY_SIZE => G_RDKEY_SIZE ,
G_TAG_SIZE => G_TAG_SIZE ,
G_BS_BYTES => G_BS_BYTES ,
G_CTR_AD_SIZE => G_CTR_AD_SIZE ,
G_CTR_D_SIZE => G_CTR_D_SIZE
)
port map (
clk => clk ,
rst => rst ,
npub => npub ,
nsec => nsec ,
key => key ,
rdkey => rdkey ,
bdi => bdi ,
exp_tag => exp_tag ,
len_a => len_a ,
len_d => len_d ,
key_ready => key_ready ,
key_updated => key_updated ,
key_needs_update => key_needs_update ,
rdkey_ready => rdkey_ready ,
rdkey_read => rdkey_read ,
npub_ready => npub_ready ,
npub_read => npub_read ,
nsec_ready => nsec_ready ,
nsec_read => nsec_read ,
bdi_ready => bdi_ready ,
bdi_proc => bdi_proc ,
bdi_ad => bdi_ad ,
bdi_nsec => bdi_nsec ,
bdi_pad => bdi_pad ,
bdi_decrypt => bdi_decrypt ,
bdi_eot => bdi_eot ,
bdi_eoi => bdi_eoi ,
bdi_read => bdi_read ,
bdi_size => bdi_size ,
bdi_valid_bytes => bdi_valid_bytes ,
bdi_pad_loc => bdi_pad_loc ,
bdi_nodata => bdi_nodata ,
exp_tag_ready => exp_tag_ready ,
msg_auth_done => msg_auth_done ,
bdo_write => bdo_write ,
bdo_ready => bdo_ready ,
bdo => bdo ,
bdo_size => bdo_size ,
bdo_nsec => bdo_nsec ,
tag_write => tag_write ,
tag_ready => tag_ready ,
tag => tag ,
msg_auth_valid => msg_auth_valid
);
u_output:
entity work.PostProcessor(structure)
generic map (
G_W => G_W ,
G_DBLK_SIZE => G_DBLK_SIZE ,
G_BS_BYTES => G_BS_BYTES ,
G_TAG_SIZE => G_TAG_SIZE ,
G_NSEC_ENABLE => G_NSEC_ENABLE ,
G_LOADLEN_ENABLE => G_LOADLEN_ENABLE ,
G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE,
G_REVERSE_DBLK => G_REVERSE_DBLK ,
G_PAD => G_PAD ,
G_PAD_D => G_PAD_D
)
port map (
--! Global
clk => clk ,
rst => rst ,
--! External
do => do ,
do_ready => do_ready ,
do_valid => do_valid ,
--! Processor
bdo_ready => bdo_ready ,
bdo_write => bdo_write ,
bdo_data => bdo ,
bdo_size => bdo_size ,
bdo_nsec => bdo_nsec ,
tag_ready => tag_ready ,
tag_write => tag_write ,
tag_data => tag ,
msg_auth_done => msg_auth_done ,
msg_auth_valid => msg_auth_valid ,
--! FIFOs
bypass_fifo_empty => bypass_fifo_empty,
bypass_fifo_rd => bypass_fifo_rd ,
bypass_fifo_data => bypass_fifo_data ,
aux_fifo_din => aux_fifo_din ,
aux_fifo_ctrl => aux_fifo_ctrl ,
aux_fifo_dout => aux_fifo_dout ,
aux_fifo_status => aux_fifo_status
);
end structure; | gpl-3.0 |
DreamIP/GPStudio | support/process/detectroi/hdl/detectroi_slave.vhd | 1 | 3615 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library std;
entity detectroi_slave is
generic (
CLK_PROC_FREQ : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : out std_logic;
in_size_reg_in_w_reg : out std_logic_vector(11 downto 0);
in_size_reg_in_h_reg : out std_logic_vector(11 downto 0);
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(1 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end detectroi_slave;
architecture rtl of detectroi_slave is
-- Registers address
constant STATUS_REG_REG_ADDR : natural := 0;
constant IN_SIZE_REG_REG_ADDR : natural := 1;
-- Internal registers
signal status_reg_enable_bit_reg : std_logic;
signal in_size_reg_in_w_reg_reg : std_logic_vector (11 downto 0);
signal in_size_reg_in_h_reg_reg : std_logic_vector (11 downto 0);
begin
write_reg : process (clk_proc, reset_n)
begin
if(reset_n='0') then
status_reg_enable_bit_reg <= '0';
in_size_reg_in_w_reg_reg <= "000000000000";
in_size_reg_in_h_reg_reg <= "000000000000";
elsif(rising_edge(clk_proc)) then
if(wr_i='1') then
case to_integer(unsigned(addr_rel_i)) is
when STATUS_REG_REG_ADDR =>
status_reg_enable_bit_reg <= datawr_i(0);
when IN_SIZE_REG_REG_ADDR =>
in_size_reg_in_w_reg_reg <= datawr_i(11) & datawr_i(10) & datawr_i(9) & datawr_i(8) & datawr_i(7) & datawr_i(6) & datawr_i(5) & datawr_i(4) & datawr_i(3) & datawr_i(2) & datawr_i(1) & datawr_i(0);
in_size_reg_in_h_reg_reg <= datawr_i(27) & datawr_i(26) & datawr_i(25) & datawr_i(24) & datawr_i(23) & datawr_i(22) & datawr_i(21) & datawr_i(20) & datawr_i(19) & datawr_i(18) & datawr_i(17) & datawr_i(16);
when others=>
end case;
end if;
end if;
end process;
read_reg : process (clk_proc, reset_n)
begin
if(reset_n='0') then
datard_o <= (others => '0');
elsif(rising_edge(clk_proc)) then
if(rd_i='1') then
case to_integer(unsigned(addr_rel_i)) is
when STATUS_REG_REG_ADDR =>
datard_o <= "0000000000000000000000000000000" & status_reg_enable_bit_reg;
when IN_SIZE_REG_REG_ADDR =>
datard_o <= "0000" & in_size_reg_in_h_reg_reg(11) & in_size_reg_in_h_reg_reg(10) & in_size_reg_in_h_reg_reg(9) & in_size_reg_in_h_reg_reg(8) & in_size_reg_in_h_reg_reg(7) & in_size_reg_in_h_reg_reg(6) & in_size_reg_in_h_reg_reg(5) & in_size_reg_in_h_reg_reg(4) & in_size_reg_in_h_reg_reg(3) & in_size_reg_in_h_reg_reg(2) & in_size_reg_in_h_reg_reg(1) & in_size_reg_in_h_reg_reg(0) & "0000" & in_size_reg_in_w_reg_reg(11) & in_size_reg_in_w_reg_reg(10) & in_size_reg_in_w_reg_reg(9) & in_size_reg_in_w_reg_reg(8) & in_size_reg_in_w_reg_reg(7) & in_size_reg_in_w_reg_reg(6) & in_size_reg_in_w_reg_reg(5) & in_size_reg_in_w_reg_reg(4) & in_size_reg_in_w_reg_reg(3) & in_size_reg_in_w_reg_reg(2) & in_size_reg_in_w_reg_reg(1) & in_size_reg_in_w_reg_reg(0);
when others=>
datard_o <= (others => '0');
end case;
end if;
end if;
end process;
status_reg_enable_bit <= status_reg_enable_bit_reg;
in_size_reg_in_w_reg <= in_size_reg_in_w_reg_reg;
in_size_reg_in_h_reg <= in_size_reg_in_h_reg_reg;
end rtl;
| gpl-3.0 |
DreamIP/GPStudio | support/io/gps/hdl/gps_transmitter.vhd | 1 | 3432 | -----------------------------------------------------------------------
-- UART transmitter block. It sends the data to the GPS at the baud rate
-- defined. The data are sent following the Skytraq binary protocol.
-----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.gps_pkg.all;
entity gps_transmitter is
port(
clk : in std_logic;
reset : in std_logic;
enable : in std_logic;
start_flag : in std_logic;
done : out std_logic;
done_send : out std_logic;
count_bd : in unsigned(15 downto 0);
count_max : in unsigned(15 downto 0);
rst_count_bd : out std_logic;
TXD : out std_logic;
bytes : in unsigned(7 downto 0);
data_in : in std_logic_vector(7 downto 0)
);
end GPS_transmitter;
architecture RTL of gps_transmitter is
signal number_of_bits : unsigned(3 downto 0);
signal data_out_s : std_logic_vector(7 downto 0);
signal gngga : std_logic_vector(55 downto 0);
signal g_flag : std_logic;
signal data_to_send : std_logic_vector(7 downto 0);
signal count_bytes : unsigned(7 downto 0);
signal count_wait : unsigned(15 downto 0);
signal TXD_r : std_logic;
type type_state is (idle, start, data, wait_st, stop);
signal state : type_state;
begin
process(clk,reset,enable)
begin
if reset='0' then
rst_count_bd <='0';
number_of_bits <= x"0";
TXD_r <= '1';
state <= idle;
elsif clk'event and clk='1'then
if enable='1' then
case(state) is
----- Starting a communication
when(idle) =>
done <= '0';
done_send <= '0';
TXD_r <= '1';
if start_flag='1' then
state <= start;
data_to_send <= data_in;
rst_count_bd <= '1';
end if;
----- Writing start bit
when(start) =>
rst_count_bd <= '0';
data_to_send <= data_in;
done <= '0';
TXD_r <= '0';
if count_bd=count_max then
state <= data;
number_of_bits <= x"0";
end if;
----- Writing the 8 bits of data (LSB first)
when(data) =>
TXD_r <= data_to_send(0);
if count_bd=count_max then
data_to_send <= '0' & data_to_send(7 downto 1);
if number_of_bits= x"7" then
state <= stop;
else
number_of_bits <= number_of_bits +1;
end if;
end if;
----- Write stop bit
when(stop) =>
TXD_r <= '1';
if count_bd=count_max then
done <= '1';
number_of_bits <= x"0";
count_bytes <= count_bytes +1;
if count_bytes=bytes-1 then
if count_max=COUNT_BD_RATE_MAX then
count_wait <= x"0000";
else
count_wait <= x"7000";
end if;
state <= wait_st;
else
state <= start;
end if;
end if;
----- Full sequence sended, waiting before sending a new one
when wait_st =>
TXD_r <= '1';
if count_bd=count_max then
count_wait <= count_wait +1;
elsif count_wait=x"FFFF" then
state <= idle;
count_bytes <= x"00";
done_send <= '1';
end if;
when others =>
TXD_r <= '1';
state <= idle;
end case;
else
rst_count_bd <='0';
number_of_bits <= x"0";
TXD_r <= '1';
state <= idle;
end if;
end if;
end process;
TXD <= TXD_r;
end RTL;
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_async_103x16_funcsim.vhdl | 2 | 235213 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.3.1 (lin64) Build 1056140 Thu Oct 30 16:30:39 MDT 2014
-- Date : Wed Apr 8 20:38:45 2015
-- Host : parallella running 64-bit Ubuntu 14.04.2 LTS
-- Command : write_vhdl -force -mode funcsim
-- /home/aolofsson/Work_all/parallella-hw/fpga/vivado/junk/junk.srcs/sources_1/ip/fifo_async_103x16/fifo_async_103x16_funcsim.vhdl
-- Design : fifo_async_103x16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_dmem is
port (
Q : out STD_LOGIC_VECTOR ( 102 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
ADDRA : in STD_LOGIC_VECTOR ( 3 downto 0 );
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_dmem : entity is "dmem";
end fifo_async_103x16_dmem;
architecture STRUCTURE of fifo_async_103x16_dmem is
signal p_0_out : STD_LOGIC_VECTOR ( 102 downto 0 );
signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_102_102_DOA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_RAM_reg_0_15_102_102_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_102_102_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_102_102_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(1 downto 0),
DIB(1 downto 0) => din(3 downto 2),
DIC(1 downto 0) => din(5 downto 4),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(1 downto 0),
DOB(1 downto 0) => p_0_out(3 downto 2),
DOC(1 downto 0) => p_0_out(5 downto 4),
DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_102_102: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1) => '0',
DIA(0) => din(102),
DIB(1) => '0',
DIB(0) => '0',
DIC(1) => '0',
DIC(0) => '0',
DID(1) => '0',
DID(0) => '0',
DOA(1) => NLW_RAM_reg_0_15_102_102_DOA_UNCONNECTED(1),
DOA(0) => p_0_out(102),
DOB(1 downto 0) => NLW_RAM_reg_0_15_102_102_DOB_UNCONNECTED(1 downto 0),
DOC(1 downto 0) => NLW_RAM_reg_0_15_102_102_DOC_UNCONNECTED(1 downto 0),
DOD(1 downto 0) => NLW_RAM_reg_0_15_102_102_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(13 downto 12),
DIB(1 downto 0) => din(15 downto 14),
DIC(1 downto 0) => din(17 downto 16),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(13 downto 12),
DOB(1 downto 0) => p_0_out(15 downto 14),
DOC(1 downto 0) => p_0_out(17 downto 16),
DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(19 downto 18),
DIB(1 downto 0) => din(21 downto 20),
DIC(1 downto 0) => din(23 downto 22),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(19 downto 18),
DOB(1 downto 0) => p_0_out(21 downto 20),
DOC(1 downto 0) => p_0_out(23 downto 22),
DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(25 downto 24),
DIB(1 downto 0) => din(27 downto 26),
DIC(1 downto 0) => din(29 downto 28),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(25 downto 24),
DOB(1 downto 0) => p_0_out(27 downto 26),
DOC(1 downto 0) => p_0_out(29 downto 28),
DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(31 downto 30),
DIB(1 downto 0) => din(33 downto 32),
DIC(1 downto 0) => din(35 downto 34),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(31 downto 30),
DOB(1 downto 0) => p_0_out(33 downto 32),
DOC(1 downto 0) => p_0_out(35 downto 34),
DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(37 downto 36),
DIB(1 downto 0) => din(39 downto 38),
DIC(1 downto 0) => din(41 downto 40),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(37 downto 36),
DOB(1 downto 0) => p_0_out(39 downto 38),
DOC(1 downto 0) => p_0_out(41 downto 40),
DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(43 downto 42),
DIB(1 downto 0) => din(45 downto 44),
DIC(1 downto 0) => din(47 downto 46),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(43 downto 42),
DOB(1 downto 0) => p_0_out(45 downto 44),
DOC(1 downto 0) => p_0_out(47 downto 46),
DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(49 downto 48),
DIB(1 downto 0) => din(51 downto 50),
DIC(1 downto 0) => din(53 downto 52),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(49 downto 48),
DOB(1 downto 0) => p_0_out(51 downto 50),
DOC(1 downto 0) => p_0_out(53 downto 52),
DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(55 downto 54),
DIB(1 downto 0) => din(57 downto 56),
DIC(1 downto 0) => din(59 downto 58),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(55 downto 54),
DOB(1 downto 0) => p_0_out(57 downto 56),
DOC(1 downto 0) => p_0_out(59 downto 58),
DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_60_65: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(61 downto 60),
DIB(1 downto 0) => din(63 downto 62),
DIC(1 downto 0) => din(65 downto 64),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(61 downto 60),
DOB(1 downto 0) => p_0_out(63 downto 62),
DOC(1 downto 0) => p_0_out(65 downto 64),
DOD(1 downto 0) => NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_66_71: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(67 downto 66),
DIB(1 downto 0) => din(69 downto 68),
DIC(1 downto 0) => din(71 downto 70),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(67 downto 66),
DOB(1 downto 0) => p_0_out(69 downto 68),
DOC(1 downto 0) => p_0_out(71 downto 70),
DOD(1 downto 0) => NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(7 downto 6),
DIB(1 downto 0) => din(9 downto 8),
DIC(1 downto 0) => din(11 downto 10),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(7 downto 6),
DOB(1 downto 0) => p_0_out(9 downto 8),
DOC(1 downto 0) => p_0_out(11 downto 10),
DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_72_77: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(73 downto 72),
DIB(1 downto 0) => din(75 downto 74),
DIC(1 downto 0) => din(77 downto 76),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(73 downto 72),
DOB(1 downto 0) => p_0_out(75 downto 74),
DOC(1 downto 0) => p_0_out(77 downto 76),
DOD(1 downto 0) => NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_78_83: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(79 downto 78),
DIB(1 downto 0) => din(81 downto 80),
DIC(1 downto 0) => din(83 downto 82),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(79 downto 78),
DOB(1 downto 0) => p_0_out(81 downto 80),
DOC(1 downto 0) => p_0_out(83 downto 82),
DOD(1 downto 0) => NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_84_89: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(85 downto 84),
DIB(1 downto 0) => din(87 downto 86),
DIC(1 downto 0) => din(89 downto 88),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(85 downto 84),
DOB(1 downto 0) => p_0_out(87 downto 86),
DOC(1 downto 0) => p_0_out(89 downto 88),
DOD(1 downto 0) => NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_90_95: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(91 downto 90),
DIB(1 downto 0) => din(93 downto 92),
DIC(1 downto 0) => din(95 downto 94),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(91 downto 90),
DOB(1 downto 0) => p_0_out(93 downto 92),
DOC(1 downto 0) => p_0_out(95 downto 94),
DOD(1 downto 0) => NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_96_101: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(97 downto 96),
DIB(1 downto 0) => din(99 downto 98),
DIC(1 downto 0) => din(101 downto 100),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(97 downto 96),
DOB(1 downto 0) => p_0_out(99 downto 98),
DOC(1 downto 0) => p_0_out(101 downto 100),
DOD(1 downto 0) => NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
\gpr1.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(0),
Q => Q(0)
);
\gpr1.dout_i_reg[100]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(100),
Q => Q(100)
);
\gpr1.dout_i_reg[101]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(101),
Q => Q(101)
);
\gpr1.dout_i_reg[102]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(102),
Q => Q(102)
);
\gpr1.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(10),
Q => Q(10)
);
\gpr1.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(11),
Q => Q(11)
);
\gpr1.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(12),
Q => Q(12)
);
\gpr1.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(13),
Q => Q(13)
);
\gpr1.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(14),
Q => Q(14)
);
\gpr1.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(15),
Q => Q(15)
);
\gpr1.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(16),
Q => Q(16)
);
\gpr1.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(17),
Q => Q(17)
);
\gpr1.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(18),
Q => Q(18)
);
\gpr1.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(19),
Q => Q(19)
);
\gpr1.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(1),
Q => Q(1)
);
\gpr1.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(20),
Q => Q(20)
);
\gpr1.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(21),
Q => Q(21)
);
\gpr1.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(22),
Q => Q(22)
);
\gpr1.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(23),
Q => Q(23)
);
\gpr1.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(24),
Q => Q(24)
);
\gpr1.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(25),
Q => Q(25)
);
\gpr1.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(26),
Q => Q(26)
);
\gpr1.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(27),
Q => Q(27)
);
\gpr1.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(28),
Q => Q(28)
);
\gpr1.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(29),
Q => Q(29)
);
\gpr1.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(2),
Q => Q(2)
);
\gpr1.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(30),
Q => Q(30)
);
\gpr1.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(31),
Q => Q(31)
);
\gpr1.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(32),
Q => Q(32)
);
\gpr1.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(33),
Q => Q(33)
);
\gpr1.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(34),
Q => Q(34)
);
\gpr1.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(35),
Q => Q(35)
);
\gpr1.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(36),
Q => Q(36)
);
\gpr1.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(37),
Q => Q(37)
);
\gpr1.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(38),
Q => Q(38)
);
\gpr1.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(39),
Q => Q(39)
);
\gpr1.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(3),
Q => Q(3)
);
\gpr1.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(40),
Q => Q(40)
);
\gpr1.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(41),
Q => Q(41)
);
\gpr1.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(42),
Q => Q(42)
);
\gpr1.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(43),
Q => Q(43)
);
\gpr1.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(44),
Q => Q(44)
);
\gpr1.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(45),
Q => Q(45)
);
\gpr1.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(46),
Q => Q(46)
);
\gpr1.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(47),
Q => Q(47)
);
\gpr1.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(48),
Q => Q(48)
);
\gpr1.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(49),
Q => Q(49)
);
\gpr1.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(4),
Q => Q(4)
);
\gpr1.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(50),
Q => Q(50)
);
\gpr1.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(51),
Q => Q(51)
);
\gpr1.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(52),
Q => Q(52)
);
\gpr1.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(53),
Q => Q(53)
);
\gpr1.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(54),
Q => Q(54)
);
\gpr1.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(55),
Q => Q(55)
);
\gpr1.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(56),
Q => Q(56)
);
\gpr1.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(57),
Q => Q(57)
);
\gpr1.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(58),
Q => Q(58)
);
\gpr1.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(59),
Q => Q(59)
);
\gpr1.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(5),
Q => Q(5)
);
\gpr1.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(60),
Q => Q(60)
);
\gpr1.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(61),
Q => Q(61)
);
\gpr1.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(62),
Q => Q(62)
);
\gpr1.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(63),
Q => Q(63)
);
\gpr1.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(64),
Q => Q(64)
);
\gpr1.dout_i_reg[65]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(65),
Q => Q(65)
);
\gpr1.dout_i_reg[66]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(66),
Q => Q(66)
);
\gpr1.dout_i_reg[67]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(67),
Q => Q(67)
);
\gpr1.dout_i_reg[68]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(68),
Q => Q(68)
);
\gpr1.dout_i_reg[69]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(69),
Q => Q(69)
);
\gpr1.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(6),
Q => Q(6)
);
\gpr1.dout_i_reg[70]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(70),
Q => Q(70)
);
\gpr1.dout_i_reg[71]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(71),
Q => Q(71)
);
\gpr1.dout_i_reg[72]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(72),
Q => Q(72)
);
\gpr1.dout_i_reg[73]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(73),
Q => Q(73)
);
\gpr1.dout_i_reg[74]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(74),
Q => Q(74)
);
\gpr1.dout_i_reg[75]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(75),
Q => Q(75)
);
\gpr1.dout_i_reg[76]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(76),
Q => Q(76)
);
\gpr1.dout_i_reg[77]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(77),
Q => Q(77)
);
\gpr1.dout_i_reg[78]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(78),
Q => Q(78)
);
\gpr1.dout_i_reg[79]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(79),
Q => Q(79)
);
\gpr1.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(7),
Q => Q(7)
);
\gpr1.dout_i_reg[80]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(80),
Q => Q(80)
);
\gpr1.dout_i_reg[81]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(81),
Q => Q(81)
);
\gpr1.dout_i_reg[82]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(82),
Q => Q(82)
);
\gpr1.dout_i_reg[83]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(83),
Q => Q(83)
);
\gpr1.dout_i_reg[84]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(84),
Q => Q(84)
);
\gpr1.dout_i_reg[85]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(85),
Q => Q(85)
);
\gpr1.dout_i_reg[86]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(86),
Q => Q(86)
);
\gpr1.dout_i_reg[87]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(87),
Q => Q(87)
);
\gpr1.dout_i_reg[88]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(88),
Q => Q(88)
);
\gpr1.dout_i_reg[89]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(89),
Q => Q(89)
);
\gpr1.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(8),
Q => Q(8)
);
\gpr1.dout_i_reg[90]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(90),
Q => Q(90)
);
\gpr1.dout_i_reg[91]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(91),
Q => Q(91)
);
\gpr1.dout_i_reg[92]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(92),
Q => Q(92)
);
\gpr1.dout_i_reg[93]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(93),
Q => Q(93)
);
\gpr1.dout_i_reg[94]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(94),
Q => Q(94)
);
\gpr1.dout_i_reg[95]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(95),
Q => Q(95)
);
\gpr1.dout_i_reg[96]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(96),
Q => Q(96)
);
\gpr1.dout_i_reg[97]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(97),
Q => Q(97)
);
\gpr1.dout_i_reg[98]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(98),
Q => Q(98)
);
\gpr1.dout_i_reg[99]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(99),
Q => Q(99)
);
\gpr1.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(9),
Q => Q(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
O1 : out STD_LOGIC;
I6 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 2 downto 0 );
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_bin_cntr : entity is "rd_bin_cntr";
end fifo_async_103x16_rd_bin_cntr;
architecture STRUCTURE of fifo_async_103x16_rd_bin_cntr is
signal \^i6\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^o2\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal n_0_ram_empty_fb_i_i_2 : STD_LOGIC;
signal n_0_ram_empty_fb_i_i_3 : STD_LOGIC;
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of ram_empty_fb_i_i_2 : label is "soft_lutpair9";
attribute SOFT_HLUTNM of ram_empty_fb_i_i_3 : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair9";
begin
I6(3 downto 0) <= \^i6\(3 downto 0);
O2(2 downto 0) <= \^o2\(2 downto 0);
Q(3 downto 0) <= \^q\(3 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => \plusOp__0\(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
O => \plusOp__0\(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
O => \plusOp__0\(3)
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(0),
Q => \^o2\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(1),
Q => \^o2\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(2),
Q => \^o2\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(3),
Q => \^i6\(3)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => E(0),
D => \plusOp__0\(0),
PRE => I3(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__0\(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__0\(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__0\(3),
Q => \^q\(3)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => n_0_ram_empty_fb_i_i_2,
I1 => n_0_ram_empty_fb_i_i_3,
I2 => I1,
I3 => I2,
O => O1
);
ram_empty_fb_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^o2\(2),
I1 => O3(2),
I2 => \^i6\(3),
I3 => O3(3),
O => n_0_ram_empty_fb_i_i_2
);
ram_empty_fb_i_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^o2\(0),
I1 => O3(0),
I2 => \^o2\(1),
I3 => O3(1),
O => n_0_ram_empty_fb_i_i_3
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(0),
I1 => \^o2\(1),
O => \^i6\(0)
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(1),
I1 => \^o2\(2),
O => \^i6\(1)
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(2),
I1 => \^i6\(3),
O => \^i6\(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_fwft is
port (
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC;
O2 : out STD_LOGIC_VECTOR ( 0 to 0 );
O4 : out STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC;
p_18_out : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_fwft : entity is "rd_fwft";
end fifo_async_103x16_rd_fwft;
architecture STRUCTURE of fifo_async_103x16_rd_fwft is
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \n_0_gpregsm1.curr_fwft_state_reg[1]\ : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair4";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[3]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \goreg_dm.dout_i[102]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \gpr1.dout_i[102]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair5";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F540"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => empty_fwft_fb,
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty
);
\gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => O2(0)
);
\goreg_dm.dout_i[102]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D0"
)
port map (
I0 => curr_fwft_state(0),
I1 => rd_en,
I2 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
O => E(0)
);
\gpr1.dout_i[102]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => O4(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AE"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => curr_fwft_state(0),
I2 => rd_en,
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"20FF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(0),
Q => curr_fwft_state(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(1),
Q => \n_0_gpregsm1.curr_fwft_state_reg[1]\
);
ram_empty_fb_i_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"00DF0000000000DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
I4 => O3(0),
I5 => I1(0),
O => O1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_status_flags_as is
port (
p_18_out : out STD_LOGIC;
I1 : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_status_flags_as : entity is "rd_status_flags_as";
end fifo_async_103x16_rd_status_flags_as;
architecture STRUCTURE of fifo_async_103x16_rd_status_flags_as is
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => I1,
PRE => Q(0),
Q => p_18_out
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_reset_blk_ramfifo is
port (
rst_d2 : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O1 : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end fifo_async_103x16_reset_blk_ramfifo;
architecture STRUCTURE of fifo_async_103x16_reset_blk_ramfifo is
signal \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\ : STD_LOGIC;
signal \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d1 : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
signal \^rst_d2\ : STD_LOGIC;
signal rst_d3 : STD_LOGIC;
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d1 : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_d2 <= \^rst_d2\;
\grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => rst,
D => rst_d3,
Q => rst_full_gen_i
);
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => \^rst_d2\
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \^rst_d2\,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => rd_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg_d1,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => rd_clk,
CE => rd_rst_asreg_d1,
D => '0',
PRE => rst,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(2)
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => wr_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg_d1,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => wr_clk,
CE => wr_rst_asreg_d1,
D => '0',
PRE => rst,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => O1(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => O1(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(3),
Q => Q(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff_0 is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff_0 : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff_0;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff_0 is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(3),
Q => Q(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff_1 is
port (
p_0_in : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff_1 : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff_1;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff_1 is
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
signal \^p_0_in\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \wr_pntr_bin[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_bin[1]_i_1\ : label is "soft_lutpair0";
begin
p_0_in(3 downto 0) <= \^p_0_in\(3 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(3),
Q => \^p_0_in\(3)
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[1]\,
I1 => \n_0_Q_reg_reg[0]\,
I2 => \^p_0_in\(3),
I3 => \n_0_Q_reg_reg[2]\,
O => \^p_0_in\(0)
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \^p_0_in\(3),
O => \^p_0_in\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \^p_0_in\(3),
O => \^p_0_in\(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff_2 is
port (
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 2 downto 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff_2 : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff_2;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff_2 is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_bin[0]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rd_pntr_bin[1]_i_1\ : label is "soft_lutpair1";
begin
Q(0) <= \^q\(0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(3),
Q => \^q\(0)
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[1]\,
I1 => \n_0_Q_reg_reg[0]\,
I2 => \^q\(0),
I3 => \n_0_Q_reg_reg[2]\,
O => O1(0)
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \^q\(0),
O => O1(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \^q\(0),
O => O1(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
ram_full_i : out STD_LOGIC;
O3 : out STD_LOGIC_VECTOR ( 3 downto 0 );
wr_en : in STD_LOGIC;
p_1_out : in STD_LOGIC;
O1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
wr_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_bin_cntr : entity is "wr_bin_cntr";
end fifo_async_103x16_wr_bin_cntr;
architecture STRUCTURE of fifo_async_103x16_wr_bin_cntr is
signal \^o2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \n_0_gdiff.diff_pntr_pad[4]_i_2\ : STD_LOGIC;
signal \n_0_gdiff.diff_pntr_pad[4]_i_3\ : STD_LOGIC;
signal n_0_ram_full_i_i_2 : STD_LOGIC;
signal n_0_ram_full_i_i_3 : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 3 to 3 );
signal \plusOp__1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gdiff.diff_pntr_pad[4]_i_2\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of ram_full_i_i_2 : label is "soft_lutpair11";
begin
O2(3 downto 0) <= \^o2\(3 downto 0);
Q(2 downto 0) <= \^q\(2 downto 0);
\gdiff.diff_pntr_pad[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9699999966669699"
)
port map (
I0 => \^q\(1),
I1 => O1(1),
I2 => p_1_out,
I3 => wr_en,
I4 => \^q\(0),
I5 => O1(0),
O => D(0)
);
\gdiff.diff_pntr_pad[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9999669969996669"
)
port map (
I0 => p_8_out(3),
I1 => O1(3),
I2 => \n_0_gdiff.diff_pntr_pad[4]_i_2\,
I3 => \^q\(2),
I4 => O1(2),
I5 => \n_0_gdiff.diff_pntr_pad[4]_i_3\,
O => D(1)
);
\gdiff.diff_pntr_pad[4]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^q\(1),
I1 => O1(1),
O => \n_0_gdiff.diff_pntr_pad[4]_i_2\
);
\gdiff.diff_pntr_pad[4]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD0DFF0F0000DD0D"
)
port map (
I0 => wr_en,
I1 => p_1_out,
I2 => \^q\(1),
I3 => O1(1),
I4 => \^q\(0),
I5 => O1(0),
O => \n_0_gdiff.diff_pntr_pad[4]_i_3\
);
\gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^o2\(0),
O => \plusOp__1\(0)
);
\gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(0),
I1 => \^o2\(1),
O => \plusOp__1\(1)
);
\gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^o2\(2),
I1 => \^o2\(1),
I2 => \^o2\(0),
O => \plusOp__1\(2)
);
\gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^o2\(3),
I1 => \^o2\(0),
I2 => \^o2\(1),
I3 => \^o2\(2),
O => \plusOp__1\(3)
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \^o2\(0),
PRE => I3(0),
Q => \^q\(0)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o2\(1),
Q => \^q\(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o2\(2),
Q => \^q\(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o2\(3),
Q => p_8_out(3)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(0),
Q => O3(0)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(1),
Q => O3(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(2),
Q => O3(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => p_8_out(3),
Q => O3(3)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(0),
Q => \^o2\(0)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \plusOp__1\(1),
PRE => I3(0),
Q => \^o2\(1)
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(2),
Q => \^o2\(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(3),
Q => \^o2\(3)
);
ram_full_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000111111F1"
)
port map (
I0 => n_0_ram_full_i_i_2,
I1 => n_0_ram_full_i_i_3,
I2 => E(0),
I3 => I1,
I4 => I2,
I5 => rst_full_gen_i,
O => ram_full_i
);
ram_full_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^q\(1),
I1 => O1(1),
I2 => \^q\(2),
I3 => O1(2),
O => n_0_ram_full_i_i_2
);
ram_full_i_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => p_8_out(3),
I1 => O1(3),
I2 => O1(0),
I3 => \^q\(0),
O => n_0_ram_full_i_i_3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_pf_as is
port (
prog_full : out STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
p_1_out : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_pf_as : entity is "wr_pf_as";
end fifo_async_103x16_wr_pf_as;
architecture STRUCTURE of fifo_async_103x16_wr_pf_as is
signal diff_pntr : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \n_0_gpf1.prog_full_i_i_1\ : STD_LOGIC;
signal \^prog_full\ : STD_LOGIC;
begin
prog_full <= \^prog_full\;
\gdiff.diff_pntr_pad_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I3(0),
D => D(0),
Q => diff_pntr(1)
);
\gdiff.diff_pntr_pad_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I3(0),
D => D(1),
Q => diff_pntr(2)
);
\gdiff.diff_pntr_pad_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I3(0),
D => D(2),
Q => diff_pntr(3)
);
\gpf1.prog_full_i_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00FF00E0000000E0"
)
port map (
I0 => diff_pntr(1),
I1 => diff_pntr(2),
I2 => diff_pntr(3),
I3 => rst_full_gen_i,
I4 => p_1_out,
I5 => \^prog_full\,
O => \n_0_gpf1.prog_full_i_i_1\
);
\gpf1.prog_full_i_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \n_0_gpf1.prog_full_i_i_1\,
PRE => rst_d2,
Q => \^prog_full\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_status_flags_as is
port (
full : out STD_LOGIC;
p_1_out : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_full_i : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_status_flags_as : entity is "wr_status_flags_as";
end fifo_async_103x16_wr_status_flags_as;
architecture STRUCTURE of fifo_async_103x16_wr_status_flags_as is
signal \^p_1_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
p_1_out <= \^p_1_out\;
\gic0.gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => \^p_1_out\,
O => E(0)
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_d2,
Q => \^p_1_out\
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_d2,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_clk_x_pntrs is
port (
D : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O2 : out STD_LOGIC;
O3 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O4 : out STD_LOGIC;
O5 : out STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC_VECTOR ( 2 downto 0 );
I2 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I3 : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 );
I6 : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_clk_x_pntrs : entity is "clk_x_pntrs";
end fifo_async_103x16_clk_x_pntrs;
architecture STRUCTURE of fifo_async_103x16_clk_x_pntrs is
signal \^o1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^o3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \n_0_gdiff.diff_pntr_pad[3]_i_2\ : STD_LOGIC;
signal \n_0_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_0_in2_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 );
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gdiff.diff_pntr_pad[3]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of ram_full_i_i_5 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair3";
begin
O1(3 downto 0) <= \^o1\(3 downto 0);
O3(3 downto 0) <= \^o3\(3 downto 0);
\gdiff.diff_pntr_pad[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9A5959599A9A9A59"
)
port map (
I0 => \n_0_gdiff.diff_pntr_pad[3]_i_2\,
I1 => Q(1),
I2 => \^o1\(1),
I3 => E(0),
I4 => Q(0),
I5 => \^o1\(0),
O => D(0)
);
\gdiff.diff_pntr_pad[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o1\(2),
I1 => Q(2),
O => \n_0_gdiff.diff_pntr_pad[3]_i_2\
);
\gsync_stage[1].rd_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff
port map (
I1(3 downto 0) => wr_pntr_gc(3 downto 0),
I5(0) => I5(0),
Q(3) => \n_0_gsync_stage[1].rd_stg_inst\,
Q(2) => \n_1_gsync_stage[1].rd_stg_inst\,
Q(1) => \n_2_gsync_stage[1].rd_stg_inst\,
Q(0) => \n_3_gsync_stage[1].rd_stg_inst\,
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff_0
port map (
I1(3 downto 0) => rd_pntr_gc(3 downto 0),
I4(0) => I4(0),
Q(3) => \n_0_gsync_stage[1].wr_stg_inst\,
Q(2) => \n_1_gsync_stage[1].wr_stg_inst\,
Q(1) => \n_2_gsync_stage[1].wr_stg_inst\,
Q(0) => \n_3_gsync_stage[1].wr_stg_inst\,
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff_1
port map (
D(3) => \n_0_gsync_stage[1].rd_stg_inst\,
D(2) => \n_1_gsync_stage[1].rd_stg_inst\,
D(1) => \n_2_gsync_stage[1].rd_stg_inst\,
D(0) => \n_3_gsync_stage[1].rd_stg_inst\,
I5(0) => I5(0),
p_0_in(3 downto 0) => p_0_in(3 downto 0),
rd_clk => rd_clk
);
\gsync_stage[2].wr_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff_2
port map (
D(3) => \n_0_gsync_stage[1].wr_stg_inst\,
D(2) => \n_1_gsync_stage[1].wr_stg_inst\,
D(1) => \n_2_gsync_stage[1].wr_stg_inst\,
D(0) => \n_3_gsync_stage[1].wr_stg_inst\,
I4(0) => I4(0),
O1(2) => \n_1_gsync_stage[2].wr_stg_inst\,
O1(1) => \n_2_gsync_stage[2].wr_stg_inst\,
O1(0) => \n_3_gsync_stage[2].wr_stg_inst\,
Q(0) => \n_0_gsync_stage[2].wr_stg_inst\,
wr_clk => wr_clk
);
ram_empty_fb_i_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \^o3\(2),
I1 => I1(2),
I2 => \^o3\(1),
I3 => I1(1),
I4 => I1(0),
I5 => \^o3\(0),
O => O2
);
ram_full_i_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^o1\(1),
I1 => I2(1),
I2 => \^o1\(0),
I3 => I2(0),
O => O4
);
ram_full_i_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^o1\(3),
I1 => I2(3),
I2 => \^o1\(2),
I3 => I2(2),
O => O5
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_3_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(0)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_2_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_1_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_0_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(3)
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(0),
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(1),
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(2),
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(3),
Q => rd_pntr_gc(3)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(0),
Q => \^o3\(0)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(1),
Q => \^o3\(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(2),
Q => \^o3\(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(3),
Q => \^o3\(3)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(0),
I1 => I3(1),
O => p_0_in2_out(0)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(1),
I1 => I3(2),
O => p_0_in2_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(2),
I1 => I3(3),
O => p_0_in2_out(2)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in2_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in2_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in2_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I3(3),
Q => wr_pntr_gc(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_memory is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
ADDRA : in STD_LOGIC_VECTOR ( 3 downto 0 );
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I2 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_memory : entity is "memory";
end fifo_async_103x16_memory;
architecture STRUCTURE of fifo_async_103x16_memory is
signal p_0_out : STD_LOGIC_VECTOR ( 102 downto 0 );
begin
\gdm.dm\: entity work.fifo_async_103x16_dmem
port map (
ADDRA(3 downto 0) => ADDRA(3 downto 0),
E(0) => E(0),
I1(0) => Q(0),
I2(0) => I1(0),
O3(3 downto 0) => O3(3 downto 0),
Q(102 downto 0) => p_0_out(102 downto 0),
din(102 downto 0) => din(102 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(0),
Q => dout(0)
);
\goreg_dm.dout_i_reg[100]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(100),
Q => dout(100)
);
\goreg_dm.dout_i_reg[101]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(101),
Q => dout(101)
);
\goreg_dm.dout_i_reg[102]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(102),
Q => dout(102)
);
\goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(10),
Q => dout(10)
);
\goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(11),
Q => dout(11)
);
\goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(12),
Q => dout(12)
);
\goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(13),
Q => dout(13)
);
\goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(14),
Q => dout(14)
);
\goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(15),
Q => dout(15)
);
\goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(16),
Q => dout(16)
);
\goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(17),
Q => dout(17)
);
\goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(18),
Q => dout(18)
);
\goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(19),
Q => dout(19)
);
\goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(1),
Q => dout(1)
);
\goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(20),
Q => dout(20)
);
\goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(21),
Q => dout(21)
);
\goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(22),
Q => dout(22)
);
\goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(23),
Q => dout(23)
);
\goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(24),
Q => dout(24)
);
\goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(25),
Q => dout(25)
);
\goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(26),
Q => dout(26)
);
\goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(27),
Q => dout(27)
);
\goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(28),
Q => dout(28)
);
\goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(29),
Q => dout(29)
);
\goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(2),
Q => dout(2)
);
\goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(30),
Q => dout(30)
);
\goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(31),
Q => dout(31)
);
\goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(32),
Q => dout(32)
);
\goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(33),
Q => dout(33)
);
\goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(34),
Q => dout(34)
);
\goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(35),
Q => dout(35)
);
\goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(36),
Q => dout(36)
);
\goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(37),
Q => dout(37)
);
\goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(38),
Q => dout(38)
);
\goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(39),
Q => dout(39)
);
\goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(3),
Q => dout(3)
);
\goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(40),
Q => dout(40)
);
\goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(41),
Q => dout(41)
);
\goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(42),
Q => dout(42)
);
\goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(43),
Q => dout(43)
);
\goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(44),
Q => dout(44)
);
\goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(45),
Q => dout(45)
);
\goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(46),
Q => dout(46)
);
\goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(47),
Q => dout(47)
);
\goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(48),
Q => dout(48)
);
\goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(49),
Q => dout(49)
);
\goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(4),
Q => dout(4)
);
\goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(50),
Q => dout(50)
);
\goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(51),
Q => dout(51)
);
\goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(52),
Q => dout(52)
);
\goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(53),
Q => dout(53)
);
\goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(54),
Q => dout(54)
);
\goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(55),
Q => dout(55)
);
\goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(56),
Q => dout(56)
);
\goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(57),
Q => dout(57)
);
\goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(58),
Q => dout(58)
);
\goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(59),
Q => dout(59)
);
\goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(5),
Q => dout(5)
);
\goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(60),
Q => dout(60)
);
\goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(61),
Q => dout(61)
);
\goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(62),
Q => dout(62)
);
\goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(63),
Q => dout(63)
);
\goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(64),
Q => dout(64)
);
\goreg_dm.dout_i_reg[65]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(65),
Q => dout(65)
);
\goreg_dm.dout_i_reg[66]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(66),
Q => dout(66)
);
\goreg_dm.dout_i_reg[67]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(67),
Q => dout(67)
);
\goreg_dm.dout_i_reg[68]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(68),
Q => dout(68)
);
\goreg_dm.dout_i_reg[69]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(69),
Q => dout(69)
);
\goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(6),
Q => dout(6)
);
\goreg_dm.dout_i_reg[70]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(70),
Q => dout(70)
);
\goreg_dm.dout_i_reg[71]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(71),
Q => dout(71)
);
\goreg_dm.dout_i_reg[72]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(72),
Q => dout(72)
);
\goreg_dm.dout_i_reg[73]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(73),
Q => dout(73)
);
\goreg_dm.dout_i_reg[74]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(74),
Q => dout(74)
);
\goreg_dm.dout_i_reg[75]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(75),
Q => dout(75)
);
\goreg_dm.dout_i_reg[76]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(76),
Q => dout(76)
);
\goreg_dm.dout_i_reg[77]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(77),
Q => dout(77)
);
\goreg_dm.dout_i_reg[78]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(78),
Q => dout(78)
);
\goreg_dm.dout_i_reg[79]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(79),
Q => dout(79)
);
\goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(7),
Q => dout(7)
);
\goreg_dm.dout_i_reg[80]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(80),
Q => dout(80)
);
\goreg_dm.dout_i_reg[81]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(81),
Q => dout(81)
);
\goreg_dm.dout_i_reg[82]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(82),
Q => dout(82)
);
\goreg_dm.dout_i_reg[83]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(83),
Q => dout(83)
);
\goreg_dm.dout_i_reg[84]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(84),
Q => dout(84)
);
\goreg_dm.dout_i_reg[85]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(85),
Q => dout(85)
);
\goreg_dm.dout_i_reg[86]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(86),
Q => dout(86)
);
\goreg_dm.dout_i_reg[87]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(87),
Q => dout(87)
);
\goreg_dm.dout_i_reg[88]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(88),
Q => dout(88)
);
\goreg_dm.dout_i_reg[89]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(89),
Q => dout(89)
);
\goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(8),
Q => dout(8)
);
\goreg_dm.dout_i_reg[90]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(90),
Q => dout(90)
);
\goreg_dm.dout_i_reg[91]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(91),
Q => dout(91)
);
\goreg_dm.dout_i_reg[92]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(92),
Q => dout(92)
);
\goreg_dm.dout_i_reg[93]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(93),
Q => dout(93)
);
\goreg_dm.dout_i_reg[94]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(94),
Q => dout(94)
);
\goreg_dm.dout_i_reg[95]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(95),
Q => dout(95)
);
\goreg_dm.dout_i_reg[96]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(96),
Q => dout(96)
);
\goreg_dm.dout_i_reg[97]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(97),
Q => dout(97)
);
\goreg_dm.dout_i_reg[98]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(98),
Q => dout(98)
);
\goreg_dm.dout_i_reg[99]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(99),
Q => dout(99)
);
\goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(9),
Q => dout(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_logic is
port (
empty : out STD_LOGIC;
O1 : out STD_LOGIC_VECTOR ( 2 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O2 : out STD_LOGIC_VECTOR ( 0 to 0 );
I6 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O4 : out STD_LOGIC_VECTOR ( 2 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC;
I1 : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_logic : entity is "rd_logic";
end fifo_async_103x16_rd_logic;
architecture STRUCTURE of fifo_async_103x16_rd_logic is
signal \n_2_gr1.rfwft\ : STD_LOGIC;
signal n_4_rpntr : STD_LOGIC;
signal p_14_out : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 );
begin
\gr1.rfwft\: entity work.fifo_async_103x16_rd_fwft
port map (
E(0) => E(0),
I1(0) => rd_pntr_plus1(3),
O1 => \n_2_gr1.rfwft\,
O2(0) => p_14_out,
O3(0) => O3(3),
O4(0) => O2(0),
Q(0) => Q(0),
empty => empty,
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en
);
\gras.rsts\: entity work.fifo_async_103x16_rd_status_flags_as
port map (
I1 => n_4_rpntr,
Q(0) => Q(0),
p_18_out => p_18_out,
rd_clk => rd_clk
);
rpntr: entity work.fifo_async_103x16_rd_bin_cntr
port map (
E(0) => p_14_out,
I1 => I1,
I2 => \n_2_gr1.rfwft\,
I3(0) => Q(0),
I6(3 downto 0) => I6(3 downto 0),
O1 => n_4_rpntr,
O2(2 downto 0) => O4(2 downto 0),
O3(3 downto 0) => O3(3 downto 0),
Q(3) => rd_pntr_plus1(3),
Q(2 downto 0) => O1(2 downto 0),
rd_clk => rd_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_logic is
port (
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 3 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O3 : out STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC;
O1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 );
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_logic : entity is "wr_logic";
end fifo_async_103x16_wr_logic;
architecture STRUCTURE of fifo_async_103x16_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal p_1_out : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 4 downto 2 );
signal ram_full_i : STD_LOGIC;
begin
E(0) <= \^e\(0);
\gwas.gpf.wrpf\: entity work.fifo_async_103x16_wr_pf_as
port map (
D(2) => plusOp(4),
D(1) => D(0),
D(0) => plusOp(2),
I3(0) => I3(0),
p_1_out => p_1_out,
prog_full => prog_full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
\gwas.wsts\: entity work.fifo_async_103x16_wr_status_flags_as
port map (
E(0) => \^e\(0),
full => full,
p_1_out => p_1_out,
ram_full_i => ram_full_i,
rst_d2 => rst_d2,
wr_clk => wr_clk,
wr_en => wr_en
);
wpntr: entity work.fifo_async_103x16_wr_bin_cntr
port map (
D(1) => plusOp(4),
D(0) => plusOp(2),
E(0) => \^e\(0),
I1 => I1,
I2 => I2,
I3(0) => I3(0),
O1(3 downto 0) => O1(3 downto 0),
O2(3 downto 0) => O2(3 downto 0),
O3(3 downto 0) => O3(3 downto 0),
Q(2 downto 0) => Q(2 downto 0),
p_1_out => p_1_out,
ram_full_i => ram_full_i,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_fifo_generator_ramfifo is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end fifo_async_103x16_fifo_generator_ramfifo;
architecture STRUCTURE of fifo_async_103x16_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal WR_RST : STD_LOGIC;
signal \n_0_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_10_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_11_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal n_2_rstblk : STD_LOGIC;
signal \n_5_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_7_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_8_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_9_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_15_out : STD_LOGIC;
signal p_1_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_20_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_3_out : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_9_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ram_rd_en_i : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_d2 : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.fifo_async_103x16_clk_x_pntrs
port map (
D(0) => \n_0_gntv_or_sync_fifo.gcx.clkx\,
E(0) => p_3_out,
I1(2 downto 0) => rd_pntr_plus1(2 downto 0),
I2(3 downto 0) => wr_pntr_plus2(3 downto 0),
I3(3 downto 0) => p_9_out(3 downto 0),
I4(0) => \^rst\,
I5(0) => RD_RST,
I6(3) => p_20_out(3),
I6(2) => \n_7_gntv_or_sync_fifo.gl0.rd\,
I6(1) => \n_8_gntv_or_sync_fifo.gl0.rd\,
I6(0) => \n_9_gntv_or_sync_fifo.gl0.rd\,
O1(3 downto 0) => p_0_out(3 downto 0),
O2 => \n_5_gntv_or_sync_fifo.gcx.clkx\,
O3(3 downto 0) => p_1_out(3 downto 0),
O4 => \n_10_gntv_or_sync_fifo.gcx.clkx\,
O5 => \n_11_gntv_or_sync_fifo.gcx.clkx\,
Q(2 downto 0) => p_8_out(2 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.fifo_async_103x16_rd_logic
port map (
E(0) => p_15_out,
I1 => \n_5_gntv_or_sync_fifo.gcx.clkx\,
I6(3) => p_20_out(3),
I6(2) => \n_7_gntv_or_sync_fifo.gl0.rd\,
I6(1) => \n_8_gntv_or_sync_fifo.gl0.rd\,
I6(0) => \n_9_gntv_or_sync_fifo.gl0.rd\,
O1(2 downto 0) => rd_pntr_plus1(2 downto 0),
O2(0) => ram_rd_en_i,
O3(3 downto 0) => p_1_out(3 downto 0),
O4(2 downto 0) => p_20_out(2 downto 0),
Q(0) => n_2_rstblk,
empty => empty,
rd_clk => rd_clk,
rd_en => rd_en
);
\gntv_or_sync_fifo.gl0.wr\: entity work.fifo_async_103x16_wr_logic
port map (
D(0) => \n_0_gntv_or_sync_fifo.gcx.clkx\,
E(0) => p_3_out,
I1 => \n_10_gntv_or_sync_fifo.gcx.clkx\,
I2 => \n_11_gntv_or_sync_fifo.gcx.clkx\,
I3(0) => WR_RST,
O1(3 downto 0) => p_0_out(3 downto 0),
O2(3 downto 0) => wr_pntr_plus2(3 downto 0),
O3(3 downto 0) => p_9_out(3 downto 0),
Q(2 downto 0) => p_8_out(2 downto 0),
full => full,
prog_full => prog_full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.fifo_async_103x16_memory
port map (
ADDRA(3 downto 0) => p_20_out(3 downto 0),
E(0) => ram_rd_en_i,
I1(0) => p_3_out,
I2(0) => p_15_out,
O3(3 downto 0) => p_9_out(3 downto 0),
Q(0) => rd_rst_i(0),
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
rstblk: entity work.fifo_async_103x16_reset_blk_ramfifo
port map (
O1(1) => WR_RST,
O1(0) => \^rst\,
Q(2) => n_2_rstblk,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
rd_clk => rd_clk,
rst => rst,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_fifo_generator_top is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_fifo_generator_top : entity is "fifo_generator_top";
end fifo_async_103x16_fifo_generator_top;
architecture STRUCTURE of fifo_async_103x16_fifo_generator_top is
begin
\grf.rf\: entity work.fifo_async_103x16_fifo_generator_ramfifo
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_fifo_generator_v12_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth";
end fifo_async_103x16_fifo_generator_v12_0_synth;
architecture STRUCTURE of fifo_async_103x16_fifo_generator_v12_0_synth is
begin
\gconvfifo.rf\: entity work.fifo_async_103x16_fifo_generator_top
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 3 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 3 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 3 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "fifo_generator_v12_0";
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 103;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 103;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "zynq";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x72";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 11;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "1kx18";
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
end \fifo_async_103x16_fifo_generator_v12_0__parameterized0\;
architecture STRUCTURE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.fifo_async_103x16_fifo_generator_v12_0_synth
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16 is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
prog_full : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of fifo_async_103x16 : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of fifo_async_103x16 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of fifo_async_103x16 : entity is "fifo_generator_v12_0,Vivado 2014.3.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of fifo_async_103x16 : entity is "fifo_async_103x16,fifo_generator_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of fifo_async_103x16 : entity is "fifo_async_103x16,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=103,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=103,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=12,C_PROG_FULL_THRESH_NEGATE_VAL=11,C_PROG_FULL_TYPE=1,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
end fifo_async_103x16;
architecture STRUCTURE of fifo_async_103x16 is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 4;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 103;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 103;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 12;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 11;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 1;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 4;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 16;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 4;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 4;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 16;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.\fifo_async_103x16_fifo_generator_v12_0__parameterized0\
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3) => '0',
axi_ar_prog_empty_thresh(2) => '0',
axi_ar_prog_empty_thresh(1) => '0',
axi_ar_prog_empty_thresh(0) => '0',
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3) => '0',
axi_ar_prog_full_thresh(2) => '0',
axi_ar_prog_full_thresh(1) => '0',
axi_ar_prog_full_thresh(0) => '0',
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3) => '0',
axi_aw_prog_empty_thresh(2) => '0',
axi_aw_prog_empty_thresh(1) => '0',
axi_aw_prog_empty_thresh(0) => '0',
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3) => '0',
axi_aw_prog_full_thresh(2) => '0',
axi_aw_prog_full_thresh(1) => '0',
axi_aw_prog_full_thresh(0) => '0',
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3) => '0',
axi_b_prog_empty_thresh(2) => '0',
axi_b_prog_empty_thresh(1) => '0',
axi_b_prog_empty_thresh(0) => '0',
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3) => '0',
axi_b_prog_full_thresh(2) => '0',
axi_b_prog_full_thresh(1) => '0',
axi_b_prog_full_thresh(0) => '0',
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9) => '0',
axi_r_prog_empty_thresh(8) => '0',
axi_r_prog_empty_thresh(7) => '0',
axi_r_prog_empty_thresh(6) => '0',
axi_r_prog_empty_thresh(5) => '0',
axi_r_prog_empty_thresh(4) => '0',
axi_r_prog_empty_thresh(3) => '0',
axi_r_prog_empty_thresh(2) => '0',
axi_r_prog_empty_thresh(1) => '0',
axi_r_prog_empty_thresh(0) => '0',
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9) => '0',
axi_r_prog_full_thresh(8) => '0',
axi_r_prog_full_thresh(7) => '0',
axi_r_prog_full_thresh(6) => '0',
axi_r_prog_full_thresh(5) => '0',
axi_r_prog_full_thresh(4) => '0',
axi_r_prog_full_thresh(3) => '0',
axi_r_prog_full_thresh(2) => '0',
axi_r_prog_full_thresh(1) => '0',
axi_r_prog_full_thresh(0) => '0',
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9) => '0',
axi_w_prog_empty_thresh(8) => '0',
axi_w_prog_empty_thresh(7) => '0',
axi_w_prog_empty_thresh(6) => '0',
axi_w_prog_empty_thresh(5) => '0',
axi_w_prog_empty_thresh(4) => '0',
axi_w_prog_empty_thresh(3) => '0',
axi_w_prog_empty_thresh(2) => '0',
axi_w_prog_empty_thresh(1) => '0',
axi_w_prog_empty_thresh(0) => '0',
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9) => '0',
axi_w_prog_full_thresh(8) => '0',
axi_w_prog_full_thresh(7) => '0',
axi_w_prog_full_thresh(6) => '0',
axi_w_prog_full_thresh(5) => '0',
axi_w_prog_full_thresh(4) => '0',
axi_w_prog_full_thresh(3) => '0',
axi_w_prog_full_thresh(2) => '0',
axi_w_prog_full_thresh(1) => '0',
axi_w_prog_full_thresh(0) => '0',
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9) => '0',
axis_prog_empty_thresh(8) => '0',
axis_prog_empty_thresh(7) => '0',
axis_prog_empty_thresh(6) => '0',
axis_prog_empty_thresh(5) => '0',
axis_prog_empty_thresh(4) => '0',
axis_prog_empty_thresh(3) => '0',
axis_prog_empty_thresh(2) => '0',
axis_prog_empty_thresh(1) => '0',
axis_prog_empty_thresh(0) => '0',
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9) => '0',
axis_prog_full_thresh(8) => '0',
axis_prog_full_thresh(7) => '0',
axis_prog_full_thresh(6) => '0',
axis_prog_full_thresh(5) => '0',
axis_prog_full_thresh(4) => '0',
axis_prog_full_thresh(3) => '0',
axis_prog_full_thresh(2) => '0',
axis_prog_full_thresh(1) => '0',
axis_prog_full_thresh(0) => '0',
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(3 downto 0) => NLW_U0_data_count_UNCONNECTED(3 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1) => '0',
m_axi_bresp(0) => '0',
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63) => '0',
m_axi_rdata(62) => '0',
m_axi_rdata(61) => '0',
m_axi_rdata(60) => '0',
m_axi_rdata(59) => '0',
m_axi_rdata(58) => '0',
m_axi_rdata(57) => '0',
m_axi_rdata(56) => '0',
m_axi_rdata(55) => '0',
m_axi_rdata(54) => '0',
m_axi_rdata(53) => '0',
m_axi_rdata(52) => '0',
m_axi_rdata(51) => '0',
m_axi_rdata(50) => '0',
m_axi_rdata(49) => '0',
m_axi_rdata(48) => '0',
m_axi_rdata(47) => '0',
m_axi_rdata(46) => '0',
m_axi_rdata(45) => '0',
m_axi_rdata(44) => '0',
m_axi_rdata(43) => '0',
m_axi_rdata(42) => '0',
m_axi_rdata(41) => '0',
m_axi_rdata(40) => '0',
m_axi_rdata(39) => '0',
m_axi_rdata(38) => '0',
m_axi_rdata(37) => '0',
m_axi_rdata(36) => '0',
m_axi_rdata(35) => '0',
m_axi_rdata(34) => '0',
m_axi_rdata(33) => '0',
m_axi_rdata(32) => '0',
m_axi_rdata(31) => '0',
m_axi_rdata(30) => '0',
m_axi_rdata(29) => '0',
m_axi_rdata(28) => '0',
m_axi_rdata(27) => '0',
m_axi_rdata(26) => '0',
m_axi_rdata(25) => '0',
m_axi_rdata(24) => '0',
m_axi_rdata(23) => '0',
m_axi_rdata(22) => '0',
m_axi_rdata(21) => '0',
m_axi_rdata(20) => '0',
m_axi_rdata(19) => '0',
m_axi_rdata(18) => '0',
m_axi_rdata(17) => '0',
m_axi_rdata(16) => '0',
m_axi_rdata(15) => '0',
m_axi_rdata(14) => '0',
m_axi_rdata(13) => '0',
m_axi_rdata(12) => '0',
m_axi_rdata(11) => '0',
m_axi_rdata(10) => '0',
m_axi_rdata(9) => '0',
m_axi_rdata(8) => '0',
m_axi_rdata(7) => '0',
m_axi_rdata(6) => '0',
m_axi_rdata(5) => '0',
m_axi_rdata(4) => '0',
m_axi_rdata(3) => '0',
m_axi_rdata(2) => '0',
m_axi_rdata(1) => '0',
m_axi_rdata(0) => '0',
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1) => '0',
m_axi_rresp(0) => '0',
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(3) => '0',
prog_empty_thresh(2) => '0',
prog_empty_thresh(1) => '0',
prog_empty_thresh(0) => '0',
prog_empty_thresh_assert(3) => '0',
prog_empty_thresh_assert(2) => '0',
prog_empty_thresh_assert(1) => '0',
prog_empty_thresh_assert(0) => '0',
prog_empty_thresh_negate(3) => '0',
prog_empty_thresh_negate(2) => '0',
prog_empty_thresh_negate(1) => '0',
prog_empty_thresh_negate(0) => '0',
prog_full => prog_full,
prog_full_thresh(3) => '0',
prog_full_thresh(2) => '0',
prog_full_thresh(1) => '0',
prog_full_thresh(0) => '0',
prog_full_thresh_assert(3) => '0',
prog_full_thresh_assert(2) => '0',
prog_full_thresh_assert(1) => '0',
prog_full_thresh_assert(0) => '0',
prog_full_thresh_negate(3) => '0',
prog_full_thresh_negate(2) => '0',
prog_full_thresh_negate(1) => '0',
prog_full_thresh_negate(0) => '0',
rd_clk => rd_clk,
rd_data_count(3 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(3 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arcache(3) => '0',
s_axi_arcache(2) => '0',
s_axi_arcache(1) => '0',
s_axi_arcache(0) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arlock(0) => '0',
s_axi_arprot(2) => '0',
s_axi_arprot(1) => '0',
s_axi_arprot(0) => '0',
s_axi_arqos(3) => '0',
s_axi_arqos(2) => '0',
s_axi_arqos(1) => '0',
s_axi_arqos(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3) => '0',
s_axi_arregion(2) => '0',
s_axi_arregion(1) => '0',
s_axi_arregion(0) => '0',
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awcache(3) => '0',
s_axi_awcache(2) => '0',
s_axi_awcache(1) => '0',
s_axi_awcache(0) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awlock(0) => '0',
s_axi_awprot(2) => '0',
s_axi_awprot(1) => '0',
s_axi_awprot(0) => '0',
s_axi_awqos(3) => '0',
s_axi_awqos(2) => '0',
s_axi_awqos(1) => '0',
s_axi_awqos(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3) => '0',
s_axi_awregion(2) => '0',
s_axi_awregion(1) => '0',
s_axi_awregion(0) => '0',
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63) => '0',
s_axi_wdata(62) => '0',
s_axi_wdata(61) => '0',
s_axi_wdata(60) => '0',
s_axi_wdata(59) => '0',
s_axi_wdata(58) => '0',
s_axi_wdata(57) => '0',
s_axi_wdata(56) => '0',
s_axi_wdata(55) => '0',
s_axi_wdata(54) => '0',
s_axi_wdata(53) => '0',
s_axi_wdata(52) => '0',
s_axi_wdata(51) => '0',
s_axi_wdata(50) => '0',
s_axi_wdata(49) => '0',
s_axi_wdata(48) => '0',
s_axi_wdata(47) => '0',
s_axi_wdata(46) => '0',
s_axi_wdata(45) => '0',
s_axi_wdata(44) => '0',
s_axi_wdata(43) => '0',
s_axi_wdata(42) => '0',
s_axi_wdata(41) => '0',
s_axi_wdata(40) => '0',
s_axi_wdata(39) => '0',
s_axi_wdata(38) => '0',
s_axi_wdata(37) => '0',
s_axi_wdata(36) => '0',
s_axi_wdata(35) => '0',
s_axi_wdata(34) => '0',
s_axi_wdata(33) => '0',
s_axi_wdata(32) => '0',
s_axi_wdata(31) => '0',
s_axi_wdata(30) => '0',
s_axi_wdata(29) => '0',
s_axi_wdata(28) => '0',
s_axi_wdata(27) => '0',
s_axi_wdata(26) => '0',
s_axi_wdata(25) => '0',
s_axi_wdata(24) => '0',
s_axi_wdata(23) => '0',
s_axi_wdata(22) => '0',
s_axi_wdata(21) => '0',
s_axi_wdata(20) => '0',
s_axi_wdata(19) => '0',
s_axi_wdata(18) => '0',
s_axi_wdata(17) => '0',
s_axi_wdata(16) => '0',
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7) => '0',
s_axi_wstrb(6) => '0',
s_axi_wstrb(5) => '0',
s_axi_wstrb(4) => '0',
s_axi_wstrb(3) => '0',
s_axi_wstrb(2) => '0',
s_axi_wstrb(1) => '0',
s_axi_wstrb(0) => '0',
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7) => '0',
s_axis_tdata(6) => '0',
s_axis_tdata(5) => '0',
s_axis_tdata(4) => '0',
s_axis_tdata(3) => '0',
s_axis_tdata(2) => '0',
s_axis_tdata(1) => '0',
s_axis_tdata(0) => '0',
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3) => '0',
s_axis_tuser(2) => '0',
s_axis_tuser(1) => '0',
s_axis_tuser(0) => '0',
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(3 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(3 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/loopback/uart_rx.vhd | 5 | 4974 | -- UART Receiver with integral 16 byte FIFO buffer
--
-- 8 bit, no parity, 1 stop bit
--
-- Version : 1.00
-- Version Date : 16th October 2002
--
-- Start of design entry : 16th October 2002
--
-- Ken Chapman
-- Xilinx Ltd
-- Benchmark House
-- 203 Brooklands Road
-- Weybridge
-- Surrey KT13 ORH
-- United Kingdom
--
-- [email protected]
--
------------------------------------------------------------------------------------
--
-- NOTICE:
--
-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
-- third parties. By providing this core as one possible implementation of a standard,
-- Xilinx is making no representation that the provided implementation of this standard
-- is free from any claims of infringement by any third party. Xilinx expressly
-- disclaims any warranty with respect to the adequacy of the implementation, including
-- but not limited to any warranty or representation that the implementation is free
-- from claims of any third party. Futhermore, Xilinx is providing this core as a
-- courtesy to you and suggests that you contact all third parties to obtain the
-- necessary rights to use this implementation.
--
------------------------------------------------------------------------------------
--
-- Library declarations
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
--
------------------------------------------------------------------------------------
--
-- Main Entity for UART_RX
--
entity uart_rx is
Port ( serial_in : in std_logic;
data_out : out std_logic_vector(7 downto 0);
read_buffer : in std_logic;
reset_buffer : in std_logic;
en_16_x_baud : in std_logic;
buffer_data_present : out std_logic;
buffer_full : out std_logic;
buffer_half_full : out std_logic;
clk : in std_logic);
end uart_rx;
--
------------------------------------------------------------------------------------
--
-- Start of Main Architecture for UART_RX
--
architecture macro_level_definition of uart_rx is
--
------------------------------------------------------------------------------------
--
-- Components used in UART_RX and defined in subsequent entities.
--
------------------------------------------------------------------------------------
--
-- Constant (K) Compact UART Receiver
--
component kcuart_rx
Port ( serial_in : in std_logic;
data_out : out std_logic_vector(7 downto 0);
data_strobe : out std_logic;
en_16_x_baud : in std_logic;
clk : in std_logic);
end component;
--
-- 'Bucket Brigade' FIFO
--
component bbfifo_16x8
Port ( data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
reset : in std_logic;
write : in std_logic;
read : in std_logic;
full : out std_logic;
half_full : out std_logic;
data_present : out std_logic;
clk : in std_logic);
end component;
--
------------------------------------------------------------------------------------
--
-- Signals used in UART_RX
--
------------------------------------------------------------------------------------
--
signal uart_data_out : std_logic_vector(7 downto 0);
signal fifo_write : std_logic;
--
------------------------------------------------------------------------------------
--
-- Start of UART_RX circuit description
--
------------------------------------------------------------------------------------
--
begin
-- 8 to 1 multiplexer to convert parallel data to serial
kcuart: kcuart_rx
port map ( serial_in => serial_in,
data_out => uart_data_out,
data_strobe => fifo_write,
en_16_x_baud => en_16_x_baud,
clk => clk );
buf: bbfifo_16x8
port map ( data_in => uart_data_out,
data_out => data_out,
reset => reset_buffer,
write => fifo_write,
read => read_buffer,
full => buffer_full,
half_full => buffer_half_full,
data_present => buffer_data_present,
clk => clk);
end macro_level_definition;
------------------------------------------------------------------------------------
--
-- END OF FILE UART_RX.VHD
--
------------------------------------------------------------------------------------
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/blk_mem_gen_v8_2/hdl/blk_mem_min_area_pkg.vhd | 8 | 20310 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_axi_regs_fwd.vhd | 8 | 9545 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/window_3x3_x.vhd | 3 | 12483 | --------------------------------------------------------------------------
-- Autor: Jorge Márquez
-- Archivo adaptado para la generación de ventanas de 3x3 píxeles para
-- imágenes de tamaño 512x512. El funcionamiento que se expone con detalle
-- en el capítulo 2 del informe.
--
-- Este código se encuentra también en la sección de
-- Apéndices del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity window_3x3 is
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
w11 : out std_logic_vector(vwidth -1 downto 0);
w12 : out std_logic_vector(vwidth -1 downto 0);
w13 : out std_logic_vector(vwidth -1 downto 0);
w21 : out std_logic_vector(vwidth -1 downto 0);
w22 : out std_logic_vector(vwidth -1 downto 0);
w23 : out std_logic_vector(vwidth -1 downto 0);
w31 : out std_logic_vector(vwidth -1 downto 0);
w32 : out std_logic_vector(vwidth -1 downto 0);
w33 : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic:='0'
);
end window_3x3;
architecture window_3x3 of window_3x3 is
component fifo_512x8x
port (
din : IN std_logic_VECTOR(7 downto 0);
wr_en : IN std_logic;
wr_clk : IN std_logic;
rd_en : IN std_logic;
rd_clk : IN std_logic;
rst : IN std_logic;
dout : OUT std_logic_VECTOR(7 downto 0);
full : OUT std_logic;
empty : OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
end component;
signal a00 : std_logic_vector(vwidth-1 downto 0);
signal a11 : std_logic_vector(vwidth-1 downto 0);
signal a12 : std_logic_vector(vwidth-1 downto 0);
signal a13 : std_logic_vector(vwidth-1 downto 0);
signal a21 : std_logic_vector(vwidth-1 downto 0);
signal a22 : std_logic_vector(vwidth-1 downto 0);
signal a23 : std_logic_vector(vwidth-1 downto 0);
signal a31 : std_logic_vector(vwidth-1 downto 0);
signal a32 : std_logic_vector(vwidth-1 downto 0);
signal a33 : std_logic_vector(vwidth-1 downto 0);
--fifoa signals
signal clear : std_logic;
signal wrreqa : std_logic:='1';
signal rdreqa : std_logic:='0';
signal ofulla : std_logic;
signal oemptya : std_logic;
signal ofifoa : std_logic_vector(vwidth-1 downto 0);
signal ousedwa : std_logic_VECTOR(8 downto 0);
--fifob signals
signal wrreqb : std_logic:='0';
signal rdreqb : std_logic:='0';
signal ofullb : std_logic;
signal oemptyb : std_logic;
signal ofifob : std_logic_vector(vwidth-1 downto 0);
signal ousedwb : std_logic_VECTOR(8 downto 0);
signal dwrreqb: std_logic:='0';
-- signals for DV coordination
signal dddddddDV: std_logic :='0';
signal ddddddDV: std_logic:='0';
signal dddddDV: std_logic:='0';
signal ddddDV: std_logic:='0';
signal dddDV: std_logic:='0';
signal ddDV: std_logic:='0';
signal dDV: std_logic:='0';
signal ousedwa_temp: integer:=0;
signal ousedwb_temp: integer:=0;
begin
fifoa: fifo_512x8x
port map ( -- port map fifo a
din => a13, -- port map fifo a
wr_en => wrreqa, -- port map fifo a
wr_clk => Clk, -- port map fifo a
rd_en => rdreqa, -- port map fifo a
rd_clk => Clk, -- port map fifo a
rst => clear, -- port map fifo a
dout => ofifoa, -- port map fifo a
full => ofulla, -- port map fifo a
empty => oemptya, -- port map fifo a
wr_data_count => ousedwa -- port map fifo a
); -- port map fifo a
fifob: fifo_512x8x
port map ( -- port map fifo b
din => a23, -- port map fifo b
wr_en => wrreqb, -- port map fifo b
wr_clk => Clk, -- port map fifo b
rd_en => rdreqb, -- port map fifo b
rd_clk => Clk, -- port map fifo b
rst => clear, -- port map fifo b
dout => ofifob, -- port map fifo b
full => ofullb, -- port map fifo b
empty => oemptyb, -- port map fifo b
wr_data_count => ousedwb -- port map fifo b
); -- port map fifo b
clear <= not(RSTn);
clock: process(Clk,RSTn)
begin --clock
if RSTn = '0' then --clock
a11 <= (others=>'0'); --clock
a12 <= (others=>'0'); --clock
a13 <= (others=>'0'); --clock
a21 <= (others=>'0'); --clock
a22 <= (others=>'0'); --clock
a23 <= (others=>'0'); --clock
a31 <= (others=>'0'); --clock
a32 <= (others=>'0'); --clock
a33 <= (others=>'0'); --clock
w11 <= (others=>'0'); --clock
w12 <= (others=>'0'); --clock
w13 <= (others=>'0'); --clock
w21 <= (others=>'0'); --clock
w22 <= (others=>'0'); --clock
w23 <= (others=>'0'); --clock
w31 <= (others=>'0'); --clock
w32 <= (others=>'0'); --clock
w33 <= (others=>'0'); --clock
wrreqa <= '0'; --clock
wrreqb <= '0'; --clock
-- dddddddDV <= '0'; -- 7 ds --clock
ddddddDV <= '0'; --clock
dddddDV <= '0'; --clock
ddddDV <= '0'; --clock
dddDV <= '0'; --clock
ddDV <= '0'; --clock
dDV <= '0'; --clock
DV <= '0'; --clock
elsif rising_edge(Clk) then --clock
--clock
a00 <= D; --clock
--clock
a11 <= a00; --clock
w11 <= a00; --clock
--clock
--clock
w12 <= a11; --clock
a12 <= a11; --clock
--clock
w13 <= a12; --clock
a13 <= a12; --clock
--clock
--clock
w21 <= ofifoa; --clock
a21 <= ofifoa; --clock
--clock
w22 <= a21; --clock
a22 <= a21; --clock
--clock
w23 <= a22; --clock
a23 <= a22; --clock
--clock
w31 <= ofifob; --clock
a31 <= ofifob; --clock
--clock
w32 <= a31; --clock
a32 <= a31; --clock
--clock
w33 <= a32; --clock
a33 <= a32; --clock
--clock
wrreqa <= '1'; --clock
wrreqb <= dwrreqb; --clock
--clock
ddddddDV <= dddddddDV; --04/06/08 --clock
dddddDV <= ddddddDV; --clock
ddddDV <= dddddDV; --clock
dddDV <= ddddDV; --clock
ddDV <= dddDV; --clock
dDV <= ddDV; --clock
DV <= dDV; --clock
end if; --clock
end process; --clock
req: process(Clk) -- req
begin -- req
if rising_edge(Clk) then -- req
if ousedwa = "111111010" then -- req
rdreqa <= '1'; -- req
dwrreqb <= '1'; -- req
end if; -- req
if ousedwb = "111111010" then -- req
rdreqb <= '1'; -- req
dddddddDV <= '1'; --04/06/08 ds -- req
end if; -- req
end if; -- req
end process; -- req
end window_3x3;
| gpl-3.0 |
viccuad/fpga-lunarLanderGame | lunarLander.vhd | 1 | 60653 |
library IEEE;
library UNISIM;
use UNISIM.vcomponents.all;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- juego original de atari lunar lander en: http://my.ign.com/atari/lunar-lander
entity lunarLander is
port (
ps2Clk: IN std_logic;
ps2Data: IN std_logic;
clk: IN std_logic;
reset: IN std_logic; --reset activo a baja!
modoSiloIN: IN std_logic;
hSync: OUT std_logic;
Vsync: OUT std_logic;
segs: OUT std_logic_vector (6 downto 0);
R: OUT std_logic_vector (2 downto 0); -- alconversor D/A
G: OUT std_logic_vector (2 downto 0); -- alconversor D/A
B: OUT std_logic_vector (2 downto 0) -- alconversor D/A
);
end lunar-lander;
architecture Behavioral of lunarLander is
component ps2KeyboardInterface
port ( clk: IN std_logic;
rst: IN std_logic;
ps2Clk: IN std_logic;
ps2Data: IN std_logic;
data: OUT std_logic_vector (7 DOWNTO 0);
newData: OUT std_logic;
newDataAck: IN std_logic
);
end component;
--seniales estados
type fsmEstados is (pulsadas, despulsadas);
signal estadoTeclado: fsmEstados;
type fsmEstados2 is (iniciando, jugando, parado, reseteo);
signal estadoJuego: fsmEstados2;
type fsmEstados3 is (generaAleatOBase, guardaPixelVer, pintarCol);
signal estadoGenMundo: fsmEstados3;
--señales PS2
signal newData, newDataAck: std_logic;
signal scancode: std_logic_vector (7 downto 0);
--señales VGA
signal senialHSync, senialVSync: std_logic;
signal finPixelCont: std_logic;
signal cuentaPixelCont: std_logic_vector (10 downto 0);
signal cuentaLineCont: std_logic_vector (9 downto 0);
signal comp1, comp2, comp3, comp4, comp5, comp6: std_logic;
signal Rnave,Rmundo,Rbase,R_ml,R_l,R_r,R_mr,Rvel,Rfuego,Rfuel,Rboom: std_logic_vector (2 downto 0);
signal Gnave,Gmundo,Gbase,G_ml,G_l,G_r,G_mr,Gvel,Gfuego,Gfuel,Gboom: std_logic_vector (2 downto 0);
signal Bnave,Bmundo,Bbase,B_ml,B_l,B_r,B_mr,Bvel,Bfuego,Bfuel,Bboom: std_logic_vector (2 downto 0);
--seniales registro lsfr
signal D,Q: std_logic_vector (14 downto 0);
signal puertaAND: std_logic_vector (0 downto 0);
--señales mundo
signal pixelMundoHor, pixelNaveHor: std_logic_vector (7 downto 0); --153 pixeles (10011001)
signal pixelMundoVer, pixelNaveVer,pixelAnteriorVer: std_logic_vector (6 downto 0); --102 pixeles
signal regBaseDificil1,regBaseDificil2,regBaseFacil: std_logic_vector (6 downto 0);
signal contMod3: std_logic_vector(1 downto 0);
signal clContMod3,generarBases: std_logic;
--señales nave
signal haAterrizado,BiestableHaAterrizado: std_logic;
signal posNave: std_logic_vector (14 downto 0); --pixelNaveHor catenado pixelNaveVer
signal cuentaVelVertical, cuentaVelHorizontal: std_logic_vector (6 downto 0);
signal muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical: std_logic;
signal muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal: std_logic;
signal movNave: std_logic_vector (2 downto 0); -- 000 = no se mueve , 001 = arriba , 010 = abajo , 011 = izquierda, 100 = derecha
signal moverNave: std_logic;
--señales juego
signal teclaSPC,teclaW,teclaS,teclaA,teclaD: std_logic;
signal clTeclaSPC,clTeclaW,clTeclaS,clTeclaA,clTeclaD: std_logic;
signal ldTeclaSPC,ldTeclaW,ldTeclaS,ldTeclaA,ldTeclaD: std_logic;
signal cuentaMuyRapido: STD_LOGIC_VECTOR(20 downto 0);
signal cuentaRapido: STD_LOGIC_VECTOR(21 downto 0);
signal cuentaLento: STD_LOGIC_VECTOR(22 downto 0);
signal cuentaMuyLento: STD_LOGIC_VECTOR(23 downto 0);
signal cuentaGasolina: STD_LOGIC_VECTOR(30 downto 0);
signal finCuentaMuyRapido,finCuentaRapido,finCuentaLento,finCuentaMuyLento,finCuentaGasolina: STD_LOGIC;
signal cuentaContBarrido: std_logic_vector(14 downto 0);
signal finCuentaBarrido,enableContBarrido,hayColision: std_logic;
signal finGenerarMundo: std_logic;
signal ModoSilo: std_logic;
--seniales memorias
signal DOAmundoMenosSig,DOAmundoMasSig,DOBmundoMenosSig,DOBmundoMasSig: std_logic_vector(0 downto 0);
signal selPixelPantalla: std_logic_vector (14 downto 0); -- pixeles logicos hor (120) concatenado con pixeles logicos ver (153): cuentaPixelCont(10 downto 3)++cuentaLineCont(8 downto 2)
signal selPixelMundo: std_logic_vector (14 downto 0); --pixelMundoHor catenado pixelMundoVer
signal WEBmenosSig,WEBmasSig,senialWEB,senialWEA: std_logic;
signal DIB,DOBmundo,DOAmundo: std_logic_vector(0 downto 0);
signal senialADDRA: std_logic_vector(13 downto 0);
--señales de depuracion
signal st : std_logic_vector (2 downto 0);
begin
--entradas:
modoSilo <= modoSiloIN;
--------------------------- RAM ------------------------------------------------
selPixelMundo(14 downto 7) <= pixelMundoHor;
selPixelMundo(6 downto 0) <= pixelMundoVer;
selPixelPantalla(14 downto 7) <= cuentaPixelCont(10 downto 3);
selPixelPantalla(6 downto 0) <= cuentaLineCont(8 downto 2);
--http://www.xilinx.com/itp/xilinx10/books/docs/spartan3_hdl/spartan3_hdl.pdf
memMenosSignif: RAMB16_S1_S1
generic map(
WRITE_MODE_B => "READ_FIRST"
)
port map (
DOA => DOAmundoMenosSig, -- Port A 1-bit Data Output
DOB => DOBmundoMenosSig, -- Port B 2-bit Data Output
ADDRA => senialADDRA, -- Port A 14-bit Address Input
ADDRB => selPixelMundo(13 downto 0), -- Port B 14-bit Address Input
CLKA => clk, -- Port A Clock
CLKB => clk, -- Port B Clock
DIA => "0", -- Port A 1-bit Data Input
DIB => DIB, -- Port B 1-bit Data Input --pintamos azul
ENA => '1', -- Port A RAM Enable Input
ENB => '1', -- PortB RAM Enable Input
SSRA => '0', -- Port A Synchronous Set/Reset Input
SSRB => '0', -- Port B Synchronous Set/Reset Input
WEA => senialWEA, -- Port A Write Enable Input
WEB => WEBmenosSig -- Port B Write Enable Input
);
memMasSignif: RAMB16_S1_S1
generic map(
WRITE_MODE_B => "READ_FIRST"
)
port map (
DOA => DOAmundoMasSig, -- Port A 1-bit Data Output
DOB => DOBmundoMasSig, -- Port B 1-bit Data Output
ADDRA => senialADDRA, -- Port A 14-bit Address Input
ADDRB => selPixelMundo(13 downto 0), -- Port B 14-bit Address Input
CLKA => clk, -- Port A Clock
CLKB => clk, -- Port B Clock
DIA => "0", -- Port A 1-bit Data Input
DIB => DIB, -- Port B 1-bit Data Input --pintamos azul
ENA => '1', -- Port A RAM Enable Input
ENB => '1', -- PortB RAM Enable Input
SSRA => '0', -- Port A Synchronous Set/Reset Input
SSRB => '0', -- Port B Synchronous Set/Reset Input
WEA => senialWEA, -- Port A Write Enable Input
WEB => WEBmasSig -- Port B Write Enable Input
);
interfazPS2: ps2KeyboardInterface port map (
rst => reset,
clk => clk,
ps2Clk => ps2Clk,
ps2Data => ps2Data,
data => scancode,
newData => newData,
newDataAck => newDataAck
);
--multiplexores
WEBmenosSig <= senialWEB when (selPixelMundo(14) = '0') else '0';
WEBmasSig <= senialWEB when (selPixelMundo(14) = '1') else '0';
DOBmundo <= DOBmundoMenosSig when (selPixelMundo(14) = '0') else DOBmundoMasSig;
DOAmundo <= DOAmundoMenosSig when (selPixelPantalla(14) = '0') else DOAmundoMasSig;
senialADDRA <= selPixelPantalla(13 downto 0) when (enableContBarrido = '0') else cuentaContBarrido(13 downto 0);
----------------------- PANTALLA -----------------------------------------------
hSync <= senialHSync;
vSync <= senialVSync;
pantalla: process(clk, reset,cuentaPixelCont,cuentaLineCont,Rnave,Rmundo,Gnave,Gmundo,
Bnave,Bmundo,Rbase,Gbase,Bbase,Rvel,Gvel,Bvel,Rfuego,Gfuego,Bfuego,
Rfuel,Gfuel,Bfuel,Rboom,Gboom,Bboom,teclaW,cuentaMuyLento,teclaA,teclaD)
begin
--cont mod 1589 (pixelCont para sincronismo horizontal)
if (cuentaPixelCont = "11000110100") then
finPixelCont <= '1';
else
finPixelCont <= '0';
end if;
if(reset = '0')then
cuentaPixelCont <= (others => '0');
finPixelCont <= '0';
elsif(clk'event and clk = '1') then
if (cuentaPixelCont /= "11000110100") then --1588
cuentaPixelCont <= cuentaPixelCont + '1';
elsif (cuentaPixelCont = "11000110100") then
cuentaPixelCont <= (others => '0');
end if;
end if;
--cont mod 528 (lineCont para sincronismo vertical)
if(reset = '0')then
cuentaLineCont <= (others => '0');
elsif(clk'event and clk = '1') then
if (finPixelCont = '1' and cuentaLineCont /= "1000001111") then --527
cuentaLineCont <= cuentaLineCont + '1';
elsif (finPixelCont = '1' and cuentaLineCont = "1000001111") then
cuentaLineCont <= (others => '0');
end if;
end if;
--comparaciones para pintar dentro de los limites
if (cuentaPixelCont > 1257) then comp1 <= '1'; else comp1 <= '0'; end if;
if (cuentaPixelCont > 1304) then comp2 <= '1'; else comp2 <= '0'; end if;
if (cuentaPixelCont <= 1493) then comp3 <= '1'; else comp3 <= '0'; end if;
if (cuentaLineCont > 479) then comp4 <= '1'; else comp4 <= '0'; end if;
if (cuentaLineCont > 493) then comp5 <= '1'; else comp5 <= '0'; end if;
if (cuentaLineCont <= 495) then comp6 <= '1'; else comp6 <= '0'; end if;
senialHSync <= comp2 nand comp3;
senialVSync <= comp5 nand comp6;
if (senialHSync = '0' or senialVSync = '0') then --no pinta
R <= "000";
G <= "000";
B <= "000";
else --pintamos lo que tengamos que pintar
R(2) <= ( (not (comp1 or comp4)) and (Rnave(2) or Rmundo(2) or Rbase(2) or Rvel(2) or Rfuego(2) or Rfuel(2) or Rboom(2)) );
R(1) <= ( (not (comp1 or comp4)) and (Rnave(1) or Rmundo(1) or Rbase(1) or Rvel(1) or Rfuego(1) or Rfuel(1) or Rboom(1)) );
R(0) <= ( (not (comp1 or comp4)) and (Rnave(0) or Rmundo(0) or Rbase(0) or Rvel(0) or Rfuego(0) or Rfuel(0) or Rboom(0)) );
G(2) <= ( (not (comp1 or comp4)) and (Gnave(2) or Gmundo(2) or Gbase(2) or Gvel(2) or Gfuego(2) or Gfuel(2) or Gboom(2)) );
G(1) <= ( (not (comp1 or comp4)) and (Gnave(1) or Gmundo(1) or Gbase(1) or Gvel(1) or Gfuego(1) or Gfuel(1) or Gboom(1)) );
G(0) <= ( (not (comp1 or comp4)) and (Gnave(0) or Gmundo(0) or Gbase(0) or Gvel(0) or Gfuego(0) or Gfuel(0) or Gboom(0)) );
B(2) <= ( (not (comp1 or comp4)) and (Bnave(2) or Bmundo(2) or Bbase(2) or Bvel(2) or Bfuego(2) or Bfuel(2) or Bboom(2)) );
B(1) <= ( (not (comp1 or comp4)) and (Bnave(1) or Bmundo(1) or Bbase(1) or Bvel(1) or Bfuego(1) or Bfuel(1) or Bboom(1)) );
B(0) <= ( (not (comp1 or comp4)) and (Bnave(0) or Bmundo(0) or Bbase(0) or Bvel(0) or Bfuego(0) or Bfuel(0) or Bboom(0)) );
end if;
end process;
------------------ PINTAR JUEGO ------------------------------------------------
-- vertical: 479 limite de pixeles visibles
-- 120 pixeles -> 479 x= (479*1)/120 = 3.99 = aprox 4
-- 1 pixeles -> x
-- horizontal: 1257 limite de pixeles visibles
-- 153 pixeles -> 1257 x= (1257*1)/153 = 8.21 = aprox 8
-- 1 pixeles -> x
pintarNave: process(cuentaLineCont,cuentaPixelCont,pixelNaveVer,pixelNaveHor)
begin
-- inicializacion
Rnave <= "000";
Gnave <= "000";
Bnave <= "000";
--pintar
if ( (cuentaLineCont(9 downto 2) = pixelNaveVer-3 or cuentaLineCont(9 downto 2) = pixelNaveVer-1)
and (cuentaPixelCont(10 downto 3) = pixelNaveHor) ) then
Rnave <= "000";
Gnave <= "110";
Bnave <= "000";
end if;
if ((cuentaLineCont(9 downto 2) = pixelNaveVer-2)
and (cuentaPixelCont(10 downto 3) = pixelNaveHor)) then
Rnave <= "000";
Gnave <= "000";
Bnave <= "110";
end if;
if (((cuentaLineCont(9 downto 2) = pixelNaveVer) or (cuentaLineCont(9 downto 2) = pixelNaveVer-2))
and (cuentaPixelCont(10 downto 3) = pixelNaveHor-1 )) then
Rnave <= "000";
Gnave <= "110";
Bnave <= "000";
end if;
if (((cuentaLineCont(9 downto 2) = pixelNaveVer) or (cuentaLineCont(9 downto 2) = pixelNaveVer-2))
and (cuentaPixelCont(10 downto 3) = pixelNaveHor+1 )) then
Rnave <= "000";
Gnave <= "110";
Bnave <= "000";
end if;
end process pintarNave;
pintarFuego: process(cuentaLineCont,cuentaPixelCont,pixelNaveVer,pixelNaveHor,
teclaW,cuentaMuyLento,teclaA,teclaD,cuentaGasolina,moverNave)
begin
-- inicializacion
Rfuego <= "000";
Gfuego <= "000";
Bfuego <= "000";
if (cuentaGasolina(30 downto 24) /= "0000000" and moverNave = '1') then
if (teclaW = '1' and cuentaMuyLento(20 downto 20) = "1") then
--pintar amarillo:abajo
if ( cuentaLineCont(9 downto 2) = pixelNaveVer and cuentaPixelCont(10 downto 3) = pixelNaveHor) then
Rfuego <= "111";
Gfuego <= "111";
Bfuego <= "000";
end if;
--pintar amarillo:abajo
if (cuentaLineCont(9 downto 2) = pixelNaveVer+1 and cuentaPixelCont(10 downto 3) = pixelNaveHor) then
Rfuego <= "111";
Gfuego <= "111";
Bfuego <= "000";
end if;
--pintar rojo:abajo
if (((cuentaLineCont(9 downto 2) = pixelNaveVer+1))
and ((cuentaPixelCont(10 downto 3) = pixelNaveHor+1 ) or (cuentaPixelCont(10 downto 3) = pixelNaveHor-1 ))) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
if (cuentaLineCont(9 downto 2) = pixelNaveVer+2 and cuentaPixelCont(10 downto 3) = pixelNaveHor) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
end if;
--pintar fuego lateral a la derecha (voy a la izquierda), he apretado izq
if (teclaA = '1' and cuentaMuyLento(20 downto 20) = "1") then
if (cuentaLineCont(9 downto 2) = pixelNaveVer-2 and cuentaPixelCont(10 downto 3) = pixelNaveHor+2) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
end if;
--pintar fuego lateral a la izquierda (voy a la derecha) he apretado der
if (teclaD = '1' and cuentaMuyLento(20 downto 20) = "1") then
if (cuentaLineCont(9 downto 2) = pixelNaveVer-2 and cuentaPixelCont(10 downto 3) = pixelNaveHor-2) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
end if;
end if;
end process pintarFuego;
pintarMundo: process(DOAmundo)
begin
-- inicializacion
Rmundo <= "000";
Gmundo <= "000";
Bmundo <= "000";
--pintar
if (DOAmundo = "1") then
Rmundo <= "011";
Gmundo <= "011";
Bmundo <= "011";
end if;
end process pintarMundo;
pintarBases: process(cuentaLineCont,cuentaPixelCont,DOAmundo,regBaseDificil1,
regBaseDificil2,regBaseFacil,modoSilo)
begin
-- inicializacion
Rbase <= "000";
Gbase <= "000";
Bbase <= "000";
--pintar baseDificil1
if (DOAmundo = "1" and
(cuentaPixelCont(10 downto 3) >= regBaseDificil1 and
cuentaPixelCont(10 downto 3) <= regBaseDificil1 +4) ) then
if (modoSilo = '1') then
Rbase <= "000";
Gbase <= "000";
Bbase <= "100";
else
--pintar lineas
if ( cuentaLineCont(9 downto 2) <= 105 and
(cuentaPixelCont(10 downto 3) = regBaseDificil1 or
cuentaPixelCont(10 downto 3) = regBaseDificil1 + 4) )then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 110 and cuentaLineCont(9 downto 2) <= 113 and
cuentaPixelCont(10 downto 3) = regBaseDificil1 +1) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 110 or cuentaLineCont(9 downto 2) = 112) and
cuentaPixelCont(10 downto 3) = regBaseDificil1 + 2) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
end if;
end if;
--pintar baseDificil2
if (DOAmundo = "1" and
(cuentaPixelCont(10 downto 3) >= regBaseDificil2 and
cuentaPixelCont(10 downto 3) <= regBaseDificil2 +4) ) then
if (modoSilo = '1') then
Rbase <= "000";
Gbase <= "000";
Bbase <= "100";
else
--pintar lineas
if ( cuentaLineCont(9 downto 2) <= 105 and
(cuentaPixelCont(10 downto 3) = regBaseDificil2 or
cuentaPixelCont(10 downto 3) = regBaseDificil2 + 4) )then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 110 and cuentaLineCont(9 downto 2) <= 113 and
cuentaPixelCont(10 downto 3) = regBaseDificil2 +1) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 110 or cuentaLineCont(9 downto 2) = 112) and
cuentaPixelCont(10 downto 3) = regBaseDificil2 + 2) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
end if;
end if;
--pintar base facil
if (DOAmundo = "1" and
(cuentaPixelCont(10 downto 3) >= regBaseFacil and
cuentaPixelCont(10 downto 3) <= regBaseFacil +8) ) then
if (modoSilo = '1') then
Rbase <= "100";
Gbase <= "000";
Bbase <= "000";
else
--pintar lineas
if ( cuentaLineCont(9 downto 2) <= 105 and
(cuentaPixelCont(10 downto 3) = regBaseFacil or
cuentaPixelCont(10 downto 3) = regBaseFacil + 8) )then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 110 and cuentaLineCont(9 downto 2) <= 113 and
cuentaPixelCont(10 downto 3) = regBaseFacil + 3) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 110 or cuentaLineCont(9 downto 2) = 112) and
cuentaPixelCont(10 downto 3) = regBaseFacil + 4) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
end if;
end if;
end process pintarBases;
pintarBoom: process(estadoJuego,cuentaLineCont,cuentaPixelCont)
begin
-- inicializacion
Rboom <= "000";
Gboom <= "000";
Bboom <= "000";
--pintar boom!
if (estadoJuego = parado) then
--pintar B
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 66 or cuentaPixelCont(10 downto 3) = 68)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ((cuentaLineCont(9 downto 2) = 36 or
cuentaLineCont(9 downto 2) = 38 or cuentaLineCont(9 downto 2) = 40 ) and
cuentaPixelCont(10 downto 3) = 67 ) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar primera O
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 70 or cuentaPixelCont(10 downto 3) = 72)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 36 or cuentaLineCont(9 downto 2) = 40) and
cuentaPixelCont(10 downto 3) = 71) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar segunda O
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 74 or cuentaPixelCont(10 downto 3) = 76)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 36 or cuentaLineCont(9 downto 2) = 40) and
cuentaPixelCont(10 downto 3) = 75) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar M
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 78 or cuentaPixelCont(10 downto 3) = 82)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 37) and
(cuentaPixelCont(10 downto 3) = 79 or cuentaPixelCont(10 downto 3) = 81)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 38) and
cuentaPixelCont(10 downto 3) = 80) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar '!'
if ( ((cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 38) or
cuentaLineCont(9 downto 2) = 40 ) and
(cuentaPixelCont(10 downto 3) = 85)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
end if;
end process pintarBoom;
Rvel(2) <= (R_ml(2) or R_l(2) or R_r(2) or R_mr(2));
Rvel(1) <= (R_ml(1) or R_l(1) or R_r(1) or R_mr(1));
Rvel(0) <= (R_ml(0) or R_l(0) or R_r(0) or R_mr(0));
Gvel(2) <= (G_ml(2) or G_l(2) or G_r(2) or G_mr(2));
Gvel(1) <= (G_ml(1) or G_l(1) or G_r(1) or G_mr(1));
Gvel(0) <= (G_ml(0) or G_l(0) or G_r(0) or G_mr(0));
Bvel(2) <= (B_ml(2) or B_l(2) or B_r(2) or B_mr(2));
Bvel(1) <= (B_ml(1) or B_l(1) or B_r(1) or B_mr(1));
Bvel(0) <= (B_ml(0) or B_l(0) or B_r(0) or B_mr(0));
pintarVelMuyLento: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_ml <= "000";
G_ml <= "000";
B_ml <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6)
and (cuentaPixelCont(10 downto 3) >= 144 and cuentaPixelCont(10 downto 3) <= 146)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_ml <= "001";
G_ml <= "001";
B_ml <= "001";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel muyLento
if (muyLentoHorizontal = '1' or lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 144) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel muyLento
if (muyLentoHorizontal = '1' or lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 146) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel muyLento
if (muyLentoVertical = '1' or lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 4) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel muyLento
if (muyLentoVertical = '1' or lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 6) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
end if;
end process pintarVelMuyLento;
pintarVelLento: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_l <= "000";
G_l <= "000";
B_l <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 3 and cuentaLineCont(9 downto 2) <= 7)
and (cuentaPixelCont(10 downto 3) >= 143 and cuentaPixelCont(10 downto 3) <= 147)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_l <= "011";
G_l <= "011";
B_l <= "011";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel Lento
if (lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 143) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel Lento
if (lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 147) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel Lento
if (lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 3) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel Lento
if (lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 7) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
end if;
end process pintarVelLento;
pintarVelRapido: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_r <= "000";
G_r <= "000";
B_r <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 2 and cuentaLineCont(9 downto 2) <= 8)
and (cuentaPixelCont(10 downto 3) >= 142 and cuentaPixelCont(10 downto 3) <= 148)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_r <= "010";
G_r <= "010";
B_r <= "010";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel
if (rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 142) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel
if (rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 148) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel
if (rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 2) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel
if (rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 8) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
end if;
end process pintarVelRapido;
pintarVelMuyRapido: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_mr <= "000";
G_mr <= "000";
B_mr <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 1 and cuentaLineCont(9 downto 2) <= 9)
and (cuentaPixelCont(10 downto 3) >= 141 and cuentaPixelCont(10 downto 3) <= 149)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_mr <= "000";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel
if (muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 141) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel muyLento
if (muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 149) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel muyLento
if (muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 1) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel muyLento
if (muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 9) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
end if;
end process pintarVelMuyRapido;
pintarGasolina: process(cuentaLineCont,cuentaPixelCont,cuentaGasolina)
begin
-- inicializacion
Rfuel <= "000";
Gfuel <= "000";
Bfuel <= "000";
--linea gris:
if ( cuentaLineCont(9 downto 2) = 7 and
cuentaPixelCont(10 downto 3) >= 116 and cuentaPixelCont(10 downto 3) <= 127) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 7 and
cuentaPixelCont(10 downto 3) = 112) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 4 or cuentaLineCont(9 downto 2) = 6) and
cuentaPixelCont(10 downto 3) = 113) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "111";
end if;
--lineas rojas
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 116 and
(cuentaGasolina(30 downto 24) > "0000000" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "000";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 117 and
(cuentaGasolina(30 downto 24) > "0001010" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "000";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 118 and
(cuentaGasolina(30 downto 24) > "0010100" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "000";
Bfuel <= "000";
end if;
--lineas naranjas
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 119 and
(cuentaGasolina(30 downto 24) > "0011110" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "011";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 120 and
(cuentaGasolina(30 downto 24) > "0101000" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "011";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 121 and
(cuentaGasolina(30 downto 24) > "0110010" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "011";
Bfuel <= "000";
end if;
--lineas amarillas
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 122 and
(cuentaGasolina(30 downto 24) > "0111100" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 123 and
(cuentaGasolina(30 downto 24) > "1000110" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 124 and
(cuentaGasolina(30 downto 24) > "1010000" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "000";
end if;
--lineas verdes
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 125 and
(cuentaGasolina(30 downto 24) > "1011010" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "000";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 126 and
(cuentaGasolina(30 downto 24) > "1100100" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "000";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 127 and
(cuentaGasolina(30 downto 24) > "1101110" and cuentaGasolina(30 downto 24) <= "1110111") ) then
Rfuel <= "000";
Gfuel <= "111";
Bfuel <= "000";
end if;
end process pintarGasolina;
--#################### CONTROL JUEGO #########################################--
contadorMuyRapido: process(reset,clk,cuentaMuyRapido) --contador mod 2.000.000 (de 0 a 1.999.999)
begin
if (cuentaMuyRapido = "111101000010001111111") then
finCuentaMuyRapido <= '1';
else
finCuentaMuyRapido <= '0';
end if;
if(reset = '0')then
cuentaMuyRapido <= (others => '0');
finCuentaMuyRapido <= '0';
elsif(clk'event and clk = '1') then
if (cuentaMuyRapido /= "111101000010001111111") then
cuentaMuyRapido <= cuentaMuyRapido + 1;
elsif (cuentaMuyRapido = "111101000010001111111") then
cuentaMuyRapido <= (others => '0');
end if;
end if;
end process contadorMuyRapido;
contadorRapido: process(reset,clk,cuentaRapido) --contador mod 4.000.000 (de 0 a 3.999.999)
begin
if (cuentaRapido = "1111010000100011111111") then
finCuentaRapido <= '1';
else
finCuentaRapido <= '0';
end if;
if(reset = '0')then
cuentaRapido <= (others => '0');
finCuentaRapido <= '0';
elsif(clk'event and clk = '1') then
if (cuentaRapido /= "1111010000100011111111") then
cuentaRapido <= cuentaRapido + 1;
elsif (cuentaRapido = "1111010000100011111111") then
cuentaRapido <= (others => '0');
end if;
end if;
end process contadorRapido;
contadorLento: process(reset,clk,cuentaLento) --contador mod 8.000.000 (de 0 a 7.999.999)
begin
if (cuentaLento = "11110100001000111111111") then
finCuentaLento <= '1';
else
finCuentaLento <= '0';
end if;
if(reset = '0')then
cuentaLento <= (others => '0');
finCuentaLento <= '0';
elsif(clk'event and clk = '1') then
if (cuentaLento /= "11110100001000111111111") then
cuentaLento <= cuentaLento + 1;
elsif (cuentaLento = "11110100001000111111111") then
cuentaLento <= (others => '0');
end if;
end if;
end process contadorLento;
contadorMuyLento: process(reset,clk,cuentaMuyLento) --contador mod 16.000.000 (de 0 a 15.999.999)
begin
if (cuentaMuyLento = "11110100001000111111111111") then
finCuentaMuyLento <= '1';
else
finCuentaMuyLento <= '0';
end if;
if(reset = '0')then
cuentaMuyLento <= (others => '0');
finCuentaMuyLento <= '0';
elsif(clk'event and clk = '1') then
if (cuentaMuyLento /= "11110100001000111111111111") then
cuentaMuyLento <= cuentaMuyLento + 1;
elsif (cuentaMuyLento = "11110100001000111111111111") then
cuentaMuyLento <= (others => '0');
end if;
end if;
end process contadorMuyLento;
contadorGasolina: process(reset,clk,cuentaGasolina,teclaSPC,haAterrizado) --contador mod 32.000.000 (de 0 a 31.999.999)
begin
if (cuentaGasolina = "0000000000000000000000000000") then
finCuentaGasolina <= '1';
else
finCuentaGasolina <= '0';
end if;
if(reset = '0')then
cuentaGasolina <= "1111010000100011111111111111111";
finCuentaGasolina <= '0';
elsif(clk'event and clk = '1') then
if (cuentaGasolina /= "0000000000000000000000000000") then
cuentaGasolina <= cuentaGasolina - 1;
end if;
if (modoSilo = '0') then
if (haAterrizado = '1' and cuentaGasolina(30 downto 24) < "1101110") then --recarga gasolina
cuentaGasolina <= cuentaGasolina +20;
end if;
else
if (pixelNaveVer = "1110111" and cuentaGasolina(30 downto 24) < "1101110") then --recarga gasolina
cuentaGasolina <= cuentaGasolina +20;
end if;
end if;
if (teclaSPC = '1') then
cuentaGasolina <= "1111010000100011111111111111111";
end if;
end if;
end process contadorGasolina;
contVelVertical: process(reset,clk,cuentaVelVertical,finCuentaLento,teclaSPC,
movNave,moverNave) --contador mod
begin
-- de 0 a 63, sube (para subir hay que restar)
-- de 64 a 127, baja (para bajar hay que sumar)
if(reset = '0')then
cuentaVelVertical <= "1000110"; --70: cae a poca velocidad
elsif(clk'event and clk = '1') then
if (finCuentaLento = '1' ) then--and moverNave = '1') then
--127: con el bit mas sig, si es 0 el resto de bits seran la vel de caida; lo mismo para la de subida cuando bit mas sig igual 1
--hay gravedad:
if ((haAterrizado = '0' or hayColision = '0') and cuentaVelVertical <= "1111101") then
cuentaVelVertical <= cuentaVelVertical +2;
end if;
if (haAterrizado = '1') then
cuentaVelVertical <= "1000000"; --no tiene velocidad de caida
end if;
if (movNave = "001") then --nave hacia arriba
if (cuentaVelVertical >= "0000100") then --4
cuentaVelVertical <= cuentaVelVertical - 4;
end if;
if (haAterrizado = '1') then --hemos aterrizado, si encendemos motores despegamos fuerte
cuentaVelVertical <= "0001111";
end if;
end if;
end if;
end if;
--generacion de velocidad
muyLentoVertical <= '0';
lentoVertical <= '0';
rapidoVertical <= '0';
muyRapidoVertical <= '0';
if ((cuentaVelVertical >= 0 and cuentaVelVertical < 15) or --subiendo muy rapido
(cuentaVelVertical >= 109 and cuentaVelVertical <= 127)) then --bajando muy rapido
muyRapidoVertical <= '1';
elsif ((cuentaVelVertical >=15 and cuentaVelVertical < 30) or --subiendo rapido
(cuentaVelVertical >= 94 and cuentaVelVertical < 109)) then --bajando rapido
rapidoVertical <= '1';
elsif ((cuentaVelVertical >= 30 and cuentaVelVertical < 45) or --subiendo lento
(cuentaVelVertical >= 79 and cuentaVelVertical < 94)) then --bajando lento
lentoVertical <= '1';
elsif ((cuentaVelVertical >= 45 and cuentaVelVertical < 64) or --subiendo muy lento
(cuentaVelVertical >= 64 and cuentaVelVertical <= 79)) then --bajando muy lento
muyLentoVertical <= '1';
end if;
if (teclaSPC = '1') then
cuentaVelVertical <= "1000110"; --70: cae a poca velocidad
end if;
end process contVelVertical;
contVelHorizontal: process(reset,clk,cuentaVelHorizontal,finCuentaLento,teclaSPC,
movNave,moverNave) --contador mod
begin
-- de 0 a 63, izquierda (para ir izquierda hay que restar)
-- de 64 a 127, derecha (para ir derecha hay que sumar)
if(reset = '0')then
cuentaVelHorizontal <= "1000110"; --70: cae a poca velocidad
elsif(clk'event and clk = '1') then
if (finCuentaLento = '1' and moverNave = '1') then
--127: con el bit mas sig, si es 0 el resto de bits seran la vel de izquiera; lo mismo para la derecha cuando bit mas sig igual 1
--si usamos los motores, cambiamos la velocidad
if (movNave = "100") then --nave hacia derecha
if (cuentaVelHorizontal < "1111011") then --123
cuentaVelHorizontal <= cuentaVelHorizontal + 4;
end if;
end if;
if (movNave = "011") then --nave hacia la izquierda
if (cuentaVelHorizontal >= "0000100") then --4
cuentaVelHorizontal <= cuentaVelHorizontal - 4;
end if;
end if;
end if;
end if;
--generacion de velocidad
muyLentoHorizontal <= '0';
lentoHorizontal <= '0';
rapidoHorizontal <= '0';
muyRapidoHorizontal <= '0';
if ((cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 15) or --izq muy rapido
(cuentaVelHorizontal >= 109 and cuentaVelHorizontal <= 127)) then --der muy rapido
muyRapidoHorizontal <= '1';
elsif ((cuentaVelHorizontal >=15 and cuentaVelHorizontal < 30) or --izq rapido
(cuentaVelHorizontal >= 94 and cuentaVelHorizontal < 109)) then --der rapido
rapidoHorizontal <= '1';
elsif ((cuentaVelHorizontal >= 30 and cuentaVelHorizontal < 45) or --izq lento
(cuentaVelHorizontal >= 79 and cuentaVelHorizontal < 94)) then --der lento
lentoHorizontal <= '1';
elsif ((cuentaVelHorizontal >= 45 and cuentaVelHorizontal < 64) or --izq muy lento
(cuentaVelHorizontal >= 64 and cuentaVelHorizontal <= 79)) then --der muy lento
muyLentoHorizontal <= '1';
end if;
if (teclaSPC = '1') then
cuentaVelHorizontal <= "1000110"; --70: cae a poca velocidad
end if;
end process contVelHorizontal;
nave: process(clk,reset,moverNave,finCuentaLento,pixelNaveHor,pixelNaveVer,movNave,
cuentaVelVertical,cuentaVelHorizontal,muyLentoHorizontal,
lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal,muyLentoVertical,
lentoVertical,rapidoVertical,muyRapidoVertical)
begin
posNave(14 downto 7) <= pixelNaveHor;
posNave(6 downto 0) <= pixelNaveVer;
--vertical: cont mod 102 y horizontal: cont mod 153
if (reset = '0')then --pos inicial coche1
pixelNaveVer <= "0001000"; --en 9
pixelNaveHor <= "00000011"; --en 3
elsif (clk'event and clk = '1' and moverNave = '1') then
--movimiento de la nave vertical
if (cuentaVelVertical < "1000000") then --64 limite: va hacia arriba
if (pixelNaveVer >= "0000001") then
if (muyLentoVertical = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
elsif (lentoVertical = '1') then
if (finCuentaLento = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
elsif (rapidoVertical = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
elsif (muyRapidoVertical = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
end if;
end if;
elsif (cuentaVelVertical >= "1000000") then --va hacia abajo
if (pixelNaveVer < "1110111") then
if (muyLentoVertical = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
elsif (lentoVertical = '1') then
if (finCuentaLento = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
elsif (rapidoVertical = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
elsif (muyRapidoVertical = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
end if;
end if;
end if;
--movimiento de la nave horizontal
if (cuentaVelHorizontal <"1000000") then --va hacia la izq
if (muyLentoHorizontal = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
elsif (lentoHorizontal = '1') then
if (finCuentaLento = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
elsif (rapidoHorizontal = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
elsif (muyRapidoHorizontal = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
end if;
elsif (cuentaVelHorizontal >= "1000000") then --va hacia la der
if (muyLentoHorizontal = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
elsif (lentoHorizontal = '1') then
if (finCuentaLento = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
elsif (rapidoHorizontal = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
elsif (muyRapidoHorizontal = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
end if;
end if;
if (teclaSPC = '1') then
pixelNaveVer <= "0001000"; --en 9
pixelNaveHor <= "00000011"; --en 3
end if;
end if;
end process nave;
asigMovNave: process(teclaA,teclaW,teclaS,teclaD,cuentaGasolina)
begin
movNave <= "000";
if (cuentaGasolina(30 downto 24) /= "0000000") then --si queda gasolina, enciendes motores
if (teclaW = '1') then movNave <= "001"; end if;
if (teclaS = '1') then movNave <= "010"; end if;
if (teclaA = '1') then movNave <= "011"; end if;
if (teclaD = '1') then movNave <= "100"; end if;
end if;
end process asigMovNave;
generacionBases: process(clk,reset,Q,contMod3,generarBases,clContMod3,selPixelMundo,
selPixelPantalla)
begin
if (reset = '0') then
regBaseDificil1 <= "0000000";
regBaseDificil2 <= "0000000";
regBaseFacil <= "0000000";
contMod3 <= "00";
elsif (clk'event and clk = '1') then
if (generarBases = '1' and contMod3 /= "11") then
case contMod3 is
when "00" => regBaseDificil1 <= Q(6 downto 0); --7 bits porque 100="1100100". A la dir base de nuestras bases vamos a sumar un num aleatorio
when "01" => regBaseDificil2 <= Q(6 downto 0);
when "10" => regBaseFacil <= Q(6 downto 0);
when others => null;
end case;
contMod3 <= contMod3 + 1;
end if;
if (clContMod3 = '1') then
contMod3 <= "00";
end if;
end if;
end process generacionBases;
colision: process(DOAmundo,posNave,selPixelPantalla,pixelNaveHor,regBaseDificil1,
regBaseDificil2,regBaseFacil,muyRapidoVertical,modoSilo)
begin
hayColision <= '0';
BiestablehaAterrizado <= '0';
if ((DOAmundo = "1" and posNave = selPixelPantalla) and not
((pixelNaveHor >= regBaseDificil1 and pixelNaveHor < regBaseDificil1 +5) or --en baseDificil1
(pixelNaveHor >= regBaseDificil2 and pixelNaveHor < regBaseDificil2 +5) or --en baseDificil2
(pixelNaveHor >= regBaseFacil and pixelNaveHor < regBaseFacil +9) --en baseFacil
)) then
hayColision <= '1';
end if;
if ((DOAmundo = "1" and modoSilo = '0' and
(posNave(14 downto 7) = selPixelPantalla(14 downto 7)) and --posicion de la nave
(posNave(6 downto 0) = selPixelPantalla(6 downto 0))
) and
((pixelNaveHor >= regBaseDificil1 and pixelNaveHor < regBaseDificil1 +5) or --en baseDificil1
(pixelNaveHor >= regBaseDificil2 and pixelNaveHor < regBaseDificil2 +5) or --en baseDificil2
(pixelNaveHor >= regBaseFacil and pixelNaveHor < regBaseFacil +9) --en baseFacil
)) then --si estamos donde la base
if (muyRapidoVertical = '0') then --no voy muy rapido hacia abajo
BiestablehaAterrizado <= '1';
else
hayColision <= '1';
end if;
end if;
end process colision;
biestable_D_haAterrizado: process(reset,clk,BiestablehaAterrizado,movNave) --con este biestableD conseguimos que continue el juego si ha aterrizado
begin
if(reset = '0')then
haAterrizado <= '0';
elsif(clk'event and clk = '1' ) then
if (teclaSPC = '1') then
haAterrizado <= '0';
end if;
if (posNave = selPixelPantalla) then
haAterrizado <= BiestablehaAterrizado;
end if;
end if;
end process biestable_D_haAterrizado;
--maquina de estados de la generacion de mundo -------------------------------------------------
controladorFSMgeneracionMundo: process (clk, reset, estadoJuego, pixelMundoVer)
begin
if(reset = '0') then
estadoGenMundo <= generaAleatOBase;
elsif (clk'event and clk = '1' and estadoJuego = iniciando) then
estadoGenMundo <= generaAleatOBase; -- estado por defecto, puede ser sobreescrito luego
case estadoGenMundo is
when generaAleatOBase =>
estadoGenMundo <= guardaPixelVer;
when guardaPixelVer =>
estadoGenMundo <= pintarCol;
when pintarCol =>
estadoGenMundo <= pintarCol;
if (pixelMundoVer = "1111000") then --ver 120
estadoGenMundo <= generaAleatOBase;
end if;
end case;
end if;
end process controladorFSMgeneracionMundo;
generadorMealyFSMgeneracionMundo: process (clk,reset,pixelMundoHor,pixelMundoVer,regBaseDificil1,regBaseDificil2,
regBaseFacil,pixelAnteriorVer,Q,estadoGenMundo)
begin
pixelMundoVer <= pixelMundoVer;
pixelMundoHor <= pixelMundoHor;
pixelAnteriorVer <= pixelAnteriorVer;
if (reset = '0') then
pixelMundoVer <= "1011010"; --90
pixelAnteriorVer <= "1011010"; --90
pixelMundoHor <= "00000000";
finGenerarMundo <= '0';
elsif (clk'event and clk = '1') then
case estadoGenMundo is
when generaAleatOBase =>
finGenerarMundo <= '0';
--si es base
if ((pixelMundoHor >= regBaseDificil1 and pixelMundoHor < regBaseDificil1 +5) or
(pixelMundoHor >= regBaseDificil2 and pixelMundoHor < regBaseDificil2 +5) or
(pixelMundoHor >= regBaseFacil and pixelMundoHor < regBaseFacil +9 ) ) then
pixelAnteriorVer <= pixelAnteriorVer;
--no es base
--sube y baja aleatoriamente dependiendo de unos valores fijados
-- 0 <= Q <= 32767 (num de pixeles fisicos) 1/4 = 8191 2/4 = 16383 3/4 = 24573
elsif (Q>=0 and Q <= 8191) then
pixelAnteriorVer <= pixelAnteriorVer - 2;
if (pixelAnteriorVer <= "0100111") then pixelAnteriorVer <= "0100111"; end if; --0100111=pixel logico 39 (el mundo no podrá subir más alla del tercio de la pantalla, para que entre la nave)
elsif (Q>8191 and Q <= 16383) then
pixelAnteriorVer <= pixelAnteriorVer - 1;
if (pixelAnteriorVer <= "0100111") then pixelAnteriorVer <= "0100111"; end if; --0100111=pixel logico 39
elsif (Q>16383 and Q <= 24573) then
pixelAnteriorVer <= pixelAnteriorVer + 2;
if (pixelAnteriorVer >= "1101110") then pixelAnteriorVer <= "1101110"; end if; --1101110=pixel logico 110 (el mundo no podrá bajar más alla del pixel 110 de la pantalla, para que se vea)
elsif (Q>24573 and Q <= 32767) then
pixelAnteriorVer <= pixelAnteriorVer + 1;
if (pixelAnteriorVer >= "1101110") then pixelAnteriorVer <= "1101110"; end if; --1101110=pixel logico 110
end if;
when guardaPixelVer =>
pixelMundoVer <= pixelAnteriorVer;
when pintarCol =>
if (pixelMundoVer /= "1111000") then --ver 120: si es distinto me pintas la columna
pixelMundoVer <= pixelMundoVer + 1;
elsif (pixelMundoVer = "1111000" and pixelMundoHor /= "10011001") then --ver 120 / hor 153: pasar a siguiente columna
pixelMundoHor <= pixelMundoHor + 1;
elsif (pixelMundoVer = "1111000" and pixelMundoHor = "10011001") then --ver 120 / hor 153: acabo de generar mundo, reinicio tambien para la sig vez
finGenerarMundo <= '1';
pixelMundoVer <= "1011010"; --90
pixelAnteriorVer <= "1011010"; --90
pixelMundoHor <= "00000000";
end if;
when others =>
pixelMundoHor <= pixelMundoHor;
pixelMundoVer <= pixelMundoVer;
pixelAnteriorVer <= pixelAnteriorVer;
end case;
end if;
end process generadorMealyFSMgeneracionMundo;
--------------------------------------------------------------------------------
--maquina de estados con registros de flags para el teclado---------------------
controladorFSMteclado: process (clk, reset, newData, scancode)
begin
if(reset = '0') then
estadoTeclado <= pulsadas;
elsif (clk'event and clk = '1') then
estadoTeclado <= pulsadas; -- estado por defecto, puede ser sobreescrito luego
case estadoTeclado is
when pulsadas =>
estadoTeclado <= pulsadas;
if (newData = '1' and scancode = "11110000") then --11110000: F0
estadoTeclado <= despulsadas;
end if;
when despulsadas =>
estadoTeclado <= despulsadas;
if (newData = '1') then
estadoTeclado <= pulsadas;
end if;
end case;
end if;
end process controladorFSMteclado;
generadorMealyFSMteclado: process (newDataAck, scancode, estadoTeclado, newData)
begin
newDataAck <= '0';
clTeclaW <= '0';
clTeclaS <= '0';
clTeclaA <= '0';
clTeclaD <= '0';
clTeclaSPC <= '0';
ldTeclaW <= '0';
ldTeclaS <= '0';
ldTeclaA <= '0';
ldTeclaD <= '0';
ldTeclaSPC <= '0';
case estadoTeclado is
when pulsadas =>
if (newData = '1') then --11110000: F0
case scancode is --registros de flags:
when "00011101" => ldTeclaW <= '1'; clTeclaW <= '0'; --W=1D
when "00011011" => ldTeclaS <= '1'; clTeclaS <= '0'; --S=1B
when "00011100" => ldTeclaA <= '1'; clTeclaA <= '0'; --A=1C
when "00100011" => ldTeclaD <= '1'; clTeclaD <= '0'; --D=23
when "00101001" => ldTeclaSPC <= '1'; clTeclaSPC <= '0'; --SPC=29
when others => null;
end case;
newDataAck <= '1';
end if;
when despulsadas =>
if (newData = '1') then
case scancode is --registros de flags:
when "00011101" => ldTeclaW <= '0'; clTeclaW <= '1'; --W=1D
when "00011011" => ldTeclaS <= '0'; clTeclaS <= '1'; --S=1B
when "00011100" => ldTeclaA <= '0'; clTeclaA <= '1'; --A=1C
when "00100011" => ldTeclaD <= '0'; clTeclaD <= '1'; --D=23
when "00101001" => ldTeclaSPC <= '0'; clTeclaSPC <= '1'; --SPC=29
when others => null;
end case;
newDataAck <= '1';
end if;
when others => null;
end case;
end process generadorMealyFSMteclado;
biestableDteclaSPC: process(reset,clk,ldTeclaSPC,clTeclaSPC)
begin
if(reset = '0')then
teclaSPC <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaSPC = '1') then
teclaSPC <= '0';
elsif (ldTeclaSPC = '1') then
teclaSPC <= '1';
end if;
end if;
end process biestableDteclaSPC;
biestableDteclaW: process(reset,clk,ldTeclaW,clTeclaW)
begin
if(reset = '0')then
teclaW <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaW = '1') then
teclaW <= '0';
elsif (ldTeclaW = '1') then
teclaW <= '1';
end if;
end if;
end process biestableDteclaW;
biestableDteclaS: process(reset,clk,ldTeclaS,clTeclaS)
begin
if(reset = '0')then
teclaS <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaS = '1') then
teclaS <= '0';
elsif (ldTeclaS = '1') then
teclaS <= '1';
end if;
end if;
end process biestableDteclaS;
biestableDteclaA: process(reset,clk,ldTeclaA,clTeclaA)
begin
if(reset = '0')then
teclaA <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaA = '1') then
teclaA <= '0';
elsif (ldTeclaA = '1') then
teclaA <= '1';
end if;
end if;
end process biestableDteclaA;
biestableDteclaD: process(reset,clk,ldTeclaD,clTeclaD)
begin
if(reset = '0')then
teclaD <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaD = '1') then
teclaD <= '0';
elsif (ldTeclaD = '1') then
teclaD <= '1';
end if;
end if;
end process biestableDteclaD;
--maquina de estados del juego -------------------------------------------------
controladorFSMjuego: process (clk, reset, finGenerarMundo, finCuentaBarrido,
hayColision, teclaSPC)
begin
if(reset = '0') then
estadoJuego <= iniciando;
elsif (clk'event and clk = '1') then
estadoJuego <= iniciando; -- estado por defecto, puede ser sobreescrito luego
case estadoJuego is
when iniciando =>
estadoJuego <= iniciando;
if (finGenerarMundo = '1') then
estadoJuego <= jugando;
end if;
when jugando =>
estadoJuego <= jugando;
if (hayColision = '1') then
estadoJuego <= parado;
end if;
if (teclaSPC = '1') then
estadoJuego <= reseteo;
end if;
when parado =>
estadoJuego <= parado;
if (teclaSPC = '1') then
estadoJuego <= reseteo;
end if;
when reseteo =>
estadoJuego <= reseteo;
if (finCuentaBarrido = '1') then
estadoJuego <= iniciando;
end if;
end case;
end if;
end process controladorFSMjuego;
generadorMooreJuego: process (estadoJuego)
begin
--memorias
senialWEA <= '0';
senialWEB <= '0';
DIB <= "1";
enableContBarrido <= '0';
--juego:generar
clContMod3 <= '1';
generarBases <= '0';
--juego:estado
moverNave <= '0';
st <= "000";
case estadoJuego is
when iniciando =>
-- escribo por puerto B
--memorias
senialWEA <= '0';
senialWEB <= '1';
DIB <= "1";
enableContBarrido <= '0';
--juego:generar
clContMod3 <= '0';
generarBases <= '1';
--juego:estado
moverNave <= '0';
st <= "000";
when jugando =>
-- leo por puerto A, escribo por puerto B
--memorias
senialWEA <= '0';
senialWEB <= '0';
DIB <= "0";
enableContBarrido <= '0';--resetea contBarrido
--juego:generar
clContMod3 <= '0';
generarBases <= '0';
--juego:estado
moverNave <= '1';
st <= "001";
when parado =>
--memorias
senialWEA <= '0';
senialWEB <= '0';
DIB <= "0";
enableContBarrido <= '0'; --resetea contBarrido
--juego:generar
clContMod3 <= '0'; --no se toca, se necesitan los reg para calcular colisiones
generarBases <= '0';
--juego:estado
moverNave <= '0';
st <= "010";
when reseteo =>
-- reseteo por puerto A
--memorias
senialWEA <= '1';
senialWEB <= '0';
DIB <= "0";
enableContBarrido <= '1';
--juego:generar
clContMod3 <= '1'; --para que en iniciando se vuelvan a generar las bases
generarBases <= '0';
--juego:estado
moverNave <= '0';
st <= "011";
when others => null;
end case;
end process generadorMooreJuego;
conversor7seg: process(st)
begin
case st is
--gfedcba
when "000" => segs <= "0111111";
when "001" => segs <= "0000110";
when "010" => segs <= "1011011";
when "011" => segs <= "1001111";
when OTHERS => segs <= "1111001"; -- error
end case;
end process;
--------------------------------------------------------------------------------
--contador para limpiar la ram
contBarrido: process(reset,clk,cuentaContBarrido,enableContBarrido) --contador mod 2^15=32768 (120 x 153 pixeles)
begin
if (cuentaContBarrido = "111111111111111") then --32768 "111111111111111") then --70000 10001000101110000
finCuentaBarrido <= '1';
else
finCuentaBarrido <= '0';
end if;
if(reset = '0')then
cuentaContBarrido <= (others => '0');
finCuentaBarrido <= '0';
elsif(clk'event and clk = '1') then
if(enableContBarrido = '1') then
if (cuentaContBarrido /= "111111111111111") then --32768 "111111111111111") then
cuentaContBarrido <= cuentaContBarrido + 1;
end if;
elsif (enableContBarrido = '0') then
cuentaContBarrido <= (others => '0');
end if;
end if;
end process contBarrido;
--------------------------------------------------------------------------------
-- lsfr para la generacion aleatoria
lsfr: process(reset,clk,D,Q)
begin
--conexiones entre biestables
D(14 downto 1) <= Q(13 downto 0); --D(X) es Q(X-1)
--entrada de D1
puertaAND <= (Q(14 downto 14) and Q(13 downto 13) and Q(12 downto 12) and
Q(11 downto 11) and Q(10 downto 10) and Q(9 downto 9) and
Q(8 downto 8) and Q(7 downto 7) and Q(6 downto 6) and
Q(5 downto 5) and Q(4 downto 4) and Q(3 downto 3) and
Q(2 downto 2) and Q(1 downto 1) and Q(0 downto 0));
D(0 downto 0) <= ( (not (Q(14 downto 14) xor Q(13 downto 13)))
xor (puertaAND or puertaAND) );
if(reset = '0')then
Q(14 downto 0) <= (others => '0');
elsif(clk'event and clk = '1' ) then
Q <= D;
end if;
end process lsfr;
end Behavioral;
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_as.vhd | 6 | 20484 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/builtin_extdepth_low_latency.vhd | 6 | 43742 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/axi_bram_ctrl_16b/axi_bram_ctrl_v4_0/hdl/vhdl/xor18.vhd | 7 | 8446 | -------------------------------------------------------------------------------
-- xor18.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: xor18.vhd
--
-- Description: Basic 18-bit input XOR function.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 3/17/2011 v1.03a
-- ~~~~~~
-- Add default on C_USE_LUT6 parameter.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity XOR18 is
generic (
C_USE_LUT6 : boolean := FALSE );
port (
InA : in std_logic_vector(0 to 17);
res : out std_logic);
end entity XOR18;
architecture IMP of XOR18 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
begin -- architecture IMP
Using_LUT6: if (C_USE_LUT6) generate
signal xor6_1 : std_logic;
signal xor6_2 : std_logic;
signal xor6_3 : std_logic;
signal xor18_c1 : std_logic;
signal xor18_c2 : std_logic;
begin -- generate Using_LUT6
XOR6_1_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => xor6_1,
I0 => InA(17),
I1 => InA(16),
I2 => InA(15),
I3 => InA(14),
I4 => InA(13),
I5 => InA(12));
XOR_1st_MUXCY : MUXCY_L
port map (
DI => '1',
CI => '0',
S => xor6_1,
LO => xor18_c1);
XOR6_2_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => xor6_2,
I0 => InA(11),
I1 => InA(10),
I2 => InA(9),
I3 => InA(8),
I4 => InA(7),
I5 => InA(6));
XOR_2nd_MUXCY : MUXCY_L
port map (
DI => xor6_1,
CI => xor18_c1,
S => xor6_2,
LO => xor18_c2);
XOR6_3_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => xor6_3,
I0 => InA(5),
I1 => InA(4),
I2 => InA(3),
I3 => InA(2),
I4 => InA(1),
I5 => InA(0));
XOR18_XORCY : XORCY
port map (
LI => xor6_3,
CI => xor18_c2,
O => res);
end generate Using_LUT6;
Not_Using_LUT6: if (not C_USE_LUT6) generate
begin -- generate Not_Using_LUT6
res <= InA(17) xor InA(16) xor InA(15) xor InA(14) xor InA(13) xor InA(12) xor
InA(11) xor InA(10) xor InA(9) xor InA(8) xor InA(7) xor InA(6) xor
InA(5) xor InA(4) xor InA(3) xor InA(2) xor InA(1) xor InA(0);
end generate Not_Using_LUT6;
end architecture IMP;
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_synth.vhd | 8 | 79859 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/rd_fwft.vhd | 6 | 38466 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/synth/fifo_async_103x16.vhd | 2 | 38595 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY fifo_async_103x16 IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(102 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(102 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END fifo_async_103x16;
ARCHITECTURE fifo_async_103x16_arch OF fifo_async_103x16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_async_103x16_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(102 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(102 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF fifo_async_103x16_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.3.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF fifo_async_103x16_arch : ARCHITECTURE IS "fifo_async_103x16,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF fifo_async_103x16_arch: ARCHITECTURE IS "fifo_async_103x16,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=103,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=103,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=12,C_PROG_FULL_THRESH_NEGATE_VAL=11,C_PROG_FULL_TYPE=1,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 103,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 103,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 12,
C_PROG_FULL_THRESH_NEGATE_VAL => 11,
C_PROG_FULL_TYPE => 1,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
prog_full => prog_full,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END fifo_async_103x16_arch;
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/Anexos/VHDL/ro_filt_3x3.vhd | 3 | 6378 | -------------------------------------------------------------- ------------
-- Autor original: Antony Nelson.
-- Modificaciones de esta versión: Jorge Márquez
--
-- Esta rutina contiene las modificaciones indicadas en la sección de
-- Anexos del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
----------------------------------- ----------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity ro_filt_3x3 is
generic (
vwidth: integer:=8;
order: integer:=5;
num_cols: integer:=512;
num_rows: integer:=512
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
Dout : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic;
FColPos : out integer;
FRowPos : out integer
);
end ro_filt_3x3;
architecture ro_filt_3x3 of ro_filt_3x3 is
component sort_3x3
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
w11 : in std_logic_vector((vwidth -1) downto 0);
w12 : in std_logic_vector((vwidth -1) downto 0);
w13 : in std_logic_vector((vwidth -1) downto 0);
w21 : in std_logic_vector((vwidth-1) downto 0);
w22 : in std_logic_vector((vwidth -1) downto 0);
w23 : in std_logic_vector((vwidth -1) downto 0);
w31 : in std_logic_vector((vwidth -1) downto 0);
w32 : in std_logic_vector((vwidth -1) downto 0);
w33 : in std_logic_vector((vwidth-1) downto 0);
DVw : in std_logic;
DVs : out std_logic;
s1 : out std_logic_vector(vwidth -1 downto 0);
s2 : out std_logic_vector(vwidth -1 downto 0);
s3 : out std_logic_vector(vwidth -1 downto 0);
s4 : out std_logic_vector(vwidth-1 downto 0);
s5 : out std_logic_vector(vwidth -1 downto 0);
s6 : out std_logic_vector(vwidth -1 downto 0);
s7 : out std_logic_vector(vwidth -1 downto 0);
s8 : out std_logic_vector(vwidth -1 downto 0);
s9 : out std_logic_vector(vwidth -1 downto 0)
);
end component sort_3x3;
signal w11: std_logic_vector((vwidth -1) downto 0);
signal w12: std_logic_vector((vwidth -1) downto 0);
signal w13: std_logic_vector((vwidth -1) downto 0);
signal w21: std_logic_vector((vwidth -1) downto 0);
signal w22: std_logic_vector((vwidth-1) downto 0);
signal w23: std_logic_vector((vwidth -1) downto 0);
signal w31: std_logic_vector((vwidth -1) downto 0);
signal w32: std_logic_vector((vwidth -1) downto 0);
signal w33: std_logic_vector((vwidth -1) downto 0);
signal DVw: std_logic;
signal DVs: std_logic;
signal s1: std_logic_vector(vwidth -1 downto 0);
signal s2: std_logic_vector(vwidth -1 downto 0);
signal s3: std_logic_vector(vwidth -1 downto 0);
signal s4: std_logic_vector(vwidth -1 downto 0);
signal s5: std_logic_vector(vwidth-1 downto 0);
signal s6: std_logic_vector(vwidth -1 downto 0);
signal s7: std_logic_vector(vwidth -1 downto 0);
signal s8: std_logic_vector(vwidth -1 downto 0);
signal s9: std_logic_vector(vwidth -1 downto 0);
component window_3x3
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
w11 : out std_logic_vector(vwidth -1 downto 0);
w12 : out std_logic_vector(vwidth -1 downto 0);
w13 : out std_logic_vector(vwidth-1 downto 0);
w21 : out std_logic_vector(vwidth -1 downto 0);
w22 : out std_logic_vector(vwidth -1 downto 0);
w23 : out std_logic_vector(vwidth -1 downto 0);
w31 : out std_logic_vector(vwidth -1 downto 0);
w32 : out std_logic_vector(vwidth-1 downto 0);
w33 : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic:='0'
);
end component window_3x3;
component rc_counter
generic (
num_cols: integer:=512;
num_rows: integer:=512
);
port (
Clk : in std_logic;
RSTn : in std_logic;
En : in std_logic;
ColPos : out integer;
RowPos : out integer
);
end component rc_counter;
signal ColPos: integer:=0;
signal RowPos: integer:=0;
signal ColPos_c: integer:=0; -- corrected positions
signal RowPos_c: integer:=0;
signal rt1: integer:=0;
signal rt2: integer:=0;
signal rt3: integer:=0;
signal rt4: integer:=0;
signal rt5: integer:=0;
signal rt6: integer:=0;
signal rt7: integer:=0;
signal rt8: integer:=0;
signal rt9: integer:=0;
signal rt10: integer:=0;
signal rt11: integer:=0;
signal rt12: integer:=0;
signal rt13: integer:=0;
signal rt14: integer:=0;
signal rt15: integer:=0;
signal rt16: integer:=0;
signal flag: std_logic:='0';
begin
sort_3x3x: sort_3x3
generic map (
vwidth => 8
)
port map (
Clk => Clk,
RSTn => RSTn,
w11 => w11,
w12 => w12,
w13 => w13,
w21 => w21,
w22 => w22,
w23 => w23,
w31 => w31,
w32 => w32,
w33 => w33,
DVw => DVw,
DVs => DVs,
s1 => s1,
s2 => s2,
s3 => s3,
s4 => s4,
s5 => s5,
s6 => s6,
s7 => s7,
s8 => s8,
s9 => s9
);
window_3x3x: window_3x3
generic map (
vwidth => 8
)
port map (
Clk => Clk,
RSTn => RSTn,
D => D,
w11 => w11,
w12 => w12,
w13 => w13,
w21 => w21,
w22 => w22,
w23 => w23,
w31 => w31,
w32 => w32,
w33 => w33,
DV => DVw
);
rc_counterx: rc_counter
generic map (
num_cols => 512,
num_rows => 512
)
port map (
Clk => Clk,
RSTn => RSTn,
En => RSTn,
ColPos => ColPos,
RowPos => RowPos
);
FColPos <= ColPos;
FRowPos <= RowPos;
ro_filt_proc: process(RSTn,Clk)
begin
if RSTn = '0' then
ColPos_c <= 0;
rt1 <= 0;
rt2 <= 0;
rt3 <= 0;
rt4 <= 0;
rt5 <= 0;
rt6 <= 0;
rt7 <= 0;
rt8 <= 0;
rt9 <= 0;
rt10 <= 0;
rt11 <= 0;
rt12 <= 0;
rt13 <= 0;
rt14 <= 0;
rt15 <= 0;
rt16 <= 0;
RowPos_c <= 0;
Dout <= (others=>'0');
DV <= '0';
flag <= '0';
elsif rising_edge(Clk) then
-- counter correction
ColPos_c <= ((ColPos-17) mod 512);
rt1 <= ((RowPos-1) mod 512);
rt2 <= rt1;
rt3 <= rt2;
rt4 <= rt3;
rt5 <= rt4;
rt6 <= rt5;
rt7 <= rt6;
rt8 <= rt7;
rt9 <= rt8;
rt10 <= rt9;
rt11 <= rt10;
rt12 <= rt11;
rt13 <= rt12;
rt14 <= rt13;
rt15 <= rt14;
rt16 <= rt15;
RowPos_c <= rt16;
-- screen edge detection
if (ColPos_c = num_cols-1) or (RowPos_c = num_rows-1) or (ColPos_c
= num_cols-2) or (RowPos_c = 0) then
Dout <= (others=>'0');
else
if order = 1 then
Dout <= s1;
elsif order = 2 then
Dout <= s2;
elsif order = 3 then
Dout <= s3;
elsif order = 4 then
Dout <= s4;
elsif order = 5 then
Dout <= s5;
elsif order = 6 then
Dout <= s6;
elsif order = 7 then
Dout <= s7;
elsif order = 8 then
Dout <= s8;
elsif order = 9 then
Dout <= s9;
end if;
end if;
if ColPos >= 17 and RowPos >= 1 then
DV <= '1';
flag <= '1';
elsif flag = '1' then
DV <= '1';
else
DV <= '0';
end if;
end if;
end process;
end ro_filt_3x3; | gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/axi_reg_slice.vhd | 6 | 17522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/axi_reg_slice.vhd | 6 | 17522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/blk_mem_gen_v8_2/hdl/blk_mem_output_block.vhd | 8 | 17242 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11024)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_output_block.vhd | 8 | 17242 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11024)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/fifo_generator_top.vhd | 6 | 36480 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25264)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/logic_sshft.vhd | 6 | 30599 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912)
`protect data_block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=
`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_bindec.vhd | 8 | 10218 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/axi_bram_ctrl_16b/blk_mem_gen_v8_2/hdl/blk_mem_gen_bindec.vhd | 8 | 10218 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824)
`protect data_block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`protect end_protected
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/rc_counter.vhd | 3 | 1352 | --------------------------------------------------------------------------
-- Autor original: Antony Nelson.
-- Modificaciones de esta versión: Jorge Márquez
--
-- Esta rutina contiene las modificaciones indicadas en la sección de
-- Anexos del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
--
------------------------------------------- --------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity rc_counter is
generic (
num_cols: integer:=512;
num_rows: integer:=512
);
port (
Clk : in std_logic;
RSTn : in std_logic;
En : in std_logic;
ColPos : out integer;
RowPos : out integer
);
end rc_counter;
architecture rc_counter of rc_counter is
begin
process(RSTn,Clk,En)
variable ColPos_var: integer:=0;
variable RowPos_var: integer:=0;
begin
if RSTn = '0' then
ColPos_var := -1;
ColPos <= 0;
RowPos_var := 0;
RowPos <= 0;
elsif rising_edge(Clk) then
if En = '1' then
ColPos_var := ColPos_var +1;
if ColPos_var = num_cols then
RowPos_var := RowPos_var +1;
ColPos_var := 0;
if RowPos_var = num_rows then
RowPos_var := 0;
end if;
end if;
ColPos <= ColPos_var;
RowPos <= RowPos_var;
end if;
end if;
end process;
end rc_counter;
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/Anexos/VHDL/rc_counter.vhd | 3 | 1352 | --------------------------------------------------------------------------
-- Autor original: Antony Nelson.
-- Modificaciones de esta versión: Jorge Márquez
--
-- Esta rutina contiene las modificaciones indicadas en la sección de
-- Anexos del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
--
------------------------------------------- --------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity rc_counter is
generic (
num_cols: integer:=512;
num_rows: integer:=512
);
port (
Clk : in std_logic;
RSTn : in std_logic;
En : in std_logic;
ColPos : out integer;
RowPos : out integer
);
end rc_counter;
architecture rc_counter of rc_counter is
begin
process(RSTn,Clk,En)
variable ColPos_var: integer:=0;
variable RowPos_var: integer:=0;
begin
if RSTn = '0' then
ColPos_var := -1;
ColPos <= 0;
RowPos_var := 0;
RowPos <= 0;
elsif rising_edge(Clk) then
if En = '1' then
ColPos_var := ColPos_var +1;
if ColPos_var = num_cols then
RowPos_var := RowPos_var +1;
ColPos_var := 0;
if RowPos_var = num_rows then
RowPos_var := 0;
end if;
end if;
ColPos <= ColPos_var;
RowPos <= RowPos_var;
end if;
end if;
end process;
end rc_counter;
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | VHDL/ImageProcessingModule/bbfifo_16x8.vhd | 2 | 8392 | -- 'Bucket Brigade' FIFO
-- 16 deep
-- 8-bit data
--
-- Version : 1.10
-- Version Date : 3rd December 2003
-- Reason : '--translate' directives changed to '--synthesis translate' directives
--
-- Version : 1.00
-- Version Date : 14th October 2002
--
-- Start of design entry : 14th October 2002
--
-- Ken Chapman
-- Xilinx Ltd
-- Benchmark House
-- 203 Brooklands Road
-- Weybridge
-- Surrey KT13 ORH
-- United Kingdom
--
-- [email protected]
--
------------------------------------------------------------------------------------
--
-- NOTICE:
--
-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
-- third parties. By providing this core as one possible implementation of a standard,
-- Xilinx is making no representation that the provided implementation of this standard
-- is free from any claims of infringement by any third party. Xilinx expressly
-- disclaims any warranty with respect to the adequacy of the implementation, including
-- but not limited to any warranty or representation that the implementation is free
-- from claims of any third party. Futhermore, Xilinx is providing this core as a
-- courtesy to you and suggests that you contact all third parties to obtain the
-- necessary rights to use this implementation.
--
------------------------------------------------------------------------------------
--
-- Library declarations
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
--
------------------------------------------------------------------------------------
--
-- Main Entity for BBFIFO_16x8
--
entity bbfifo_16x8 is
Port ( data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
reset : in std_logic;
write : in std_logic;
read : in std_logic;
full : out std_logic;
half_full : out std_logic;
data_present : out std_logic;
clk : in std_logic);
end bbfifo_16x8;
--
------------------------------------------------------------------------------------
--
-- Start of Main Architecture for BBFIFO_16x8
--
architecture low_level_definition of bbfifo_16x8 is
--
------------------------------------------------------------------------------------
--
------------------------------------------------------------------------------------
--
-- Signals used in BBFIFO_16x8
--
------------------------------------------------------------------------------------
--
signal pointer : std_logic_vector(3 downto 0);
signal next_count : std_logic_vector(3 downto 0);
signal half_count : std_logic_vector(3 downto 0);
signal count_carry : std_logic_vector(2 downto 0);
signal pointer_zero : std_logic;
signal pointer_full : std_logic;
signal decode_data_present : std_logic;
signal data_present_int : std_logic;
signal valid_write : std_logic;
--
--
------------------------------------------------------------------------------------
--
-- Attributes to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation--
--
------------------------------------------------------------------------------------
--
attribute INIT : string;
attribute INIT of zero_lut : label is "0001";
attribute INIT of full_lut : label is "8000";
attribute INIT of dp_lut : label is "BFA0";
attribute INIT of valid_lut : label is "C4";
--
------------------------------------------------------------------------------------
--
-- Start of BBFIFO_16x8 circuit description
--
------------------------------------------------------------------------------------
--
begin
-- SRL16E data storage
data_width_loop: for i in 0 to 7 generate
--
attribute INIT : string;
attribute INIT of data_srl : label is "0000";
--
begin
data_srl: SRL16E
--synthesis translate_off
generic map (INIT => X"0000")
--synthesis translate_on
port map( D => data_in(i),
CE => valid_write,
CLK => clk,
A0 => pointer(0),
A1 => pointer(1),
A2 => pointer(2),
A3 => pointer(3),
Q => data_out(i) );
end generate data_width_loop;
-- 4-bit counter to act as data pointer
-- Counter is clock enabled by 'data_present'
-- Counter will be reset when 'reset' is active
-- Counter will increment when 'valid_write' is active
count_width_loop: for i in 0 to 3 generate
--
attribute INIT : string;
attribute INIT of count_lut : label is "6606";
--
begin
register_bit: FDRE
port map ( D => next_count(i),
Q => pointer(i),
CE => data_present_int,
R => reset,
C => clk);
count_lut: LUT4
--synthesis translate_off
generic map (INIT => X"6606")
--synthesis translate_on
port map( I0 => pointer(i),
I1 => read,
I2 => pointer_zero,
I3 => write,
O => half_count(i));
lsb_count: if i=0 generate
begin
count_muxcy: MUXCY
port map( DI => pointer(i),
CI => valid_write,
S => half_count(i),
O => count_carry(i));
count_xor: XORCY
port map( LI => half_count(i),
CI => valid_write,
O => next_count(i));
end generate lsb_count;
mid_count: if i>0 and i<3 generate
begin
count_muxcy: MUXCY
port map( DI => pointer(i),
CI => count_carry(i-1),
S => half_count(i),
O => count_carry(i));
count_xor: XORCY
port map( LI => half_count(i),
CI => count_carry(i-1),
O => next_count(i));
end generate mid_count;
upper_count: if i=3 generate
begin
count_xor: XORCY
port map( LI => half_count(i),
CI => count_carry(i-1),
O => next_count(i));
end generate upper_count;
end generate count_width_loop;
-- Detect when pointer is zero and maximum
zero_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0001")
--synthesis translate_on
port map( I0 => pointer(0),
I1 => pointer(1),
I2 => pointer(2),
I3 => pointer(3),
O => pointer_zero );
full_lut: LUT4
--synthesis translate_off
generic map (INIT => X"8000")
--synthesis translate_on
port map( I0 => pointer(0),
I1 => pointer(1),
I2 => pointer(2),
I3 => pointer(3),
O => pointer_full );
-- Data Present status
dp_lut: LUT4
--synthesis translate_off
generic map (INIT => X"BFA0")
--synthesis translate_on
port map( I0 => write,
I1 => read,
I2 => pointer_zero,
I3 => data_present_int,
O => decode_data_present );
dp_flop: FDR
port map ( D => decode_data_present,
Q => data_present_int,
R => reset,
C => clk);
-- Valid write signal
valid_lut: LUT3
--synthesis translate_off
generic map (INIT => X"C4")
--synthesis translate_on
port map( I0 => pointer_full,
I1 => write,
I2 => read,
O => valid_write );
-- assign internal signals to outputs
full <= pointer_full;
half_full <= pointer(3);
data_present <= data_present_int;
end low_level_definition;
------------------------------------------------------------------------------------
--
-- END OF FILE BBFIFO_16x8.VHD
--
------------------------------------------------------------------------------------
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/rd_status_flags_ss.vhd | 6 | 20269 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13264)
`protect data_block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`protect end_protected
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/FIFO 512x8/fifo_512x8x/fifo_512x8x.vhd | 4 | 5494 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_512x8x.vhd when simulating
-- the core, fifo_512x8x. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_512x8x IS
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
END fifo_512x8x;
ARCHITECTURE fifo_512x8x_a OF fifo_512x8x IS
-- synthesis translate_off
component wrapped_fifo_512x8x
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
end component;
-- Configuration specification
for all : wrapped_fifo_512x8x use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
generic map(
c_rd_freq => 100,
c_wr_response_latency => 1,
c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 8,
c_has_wr_data_count => 1,
c_implementation_type => 2,
c_family => "spartan3",
c_has_wr_rst => 0,
c_wr_freq => 100,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 8,
c_rd_depth => 512,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 9,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 9,
c_has_almost_empty => 0,
c_rd_data_count_width => 9,
c_enable_rlocs => 0,
c_wr_pntr_width => 9,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 9,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 509,
c_wr_depth => 512,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 510,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "2kx9",
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_512x8x
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
full => full,
wr_data_count => wr_data_count);
-- synthesis translate_on
END fifo_512x8x_a;
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/fifo_generator_top_bi_sim.vhd | 6 | 28804 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584)
`protect data_block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`protect end_protected
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/fifo_16x8x.vhd | 1 | 5480 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_16x8x.vhd when simulating
-- the core, fifo_16x8x. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_16x8x IS
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(3 downto 0));
END fifo_16x8x;
ARCHITECTURE fifo_16x8x_a OF fifo_16x8x IS
-- synthesis translate_off
component wrapped_fifo_16x8x
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(3 downto 0));
end component;
-- Configuration specification
for all : wrapped_fifo_16x8x use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
generic map(
c_rd_freq => 100,
c_wr_response_latency => 1,
c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 8,
c_has_wr_data_count => 1,
c_implementation_type => 2,
c_family => "spartan3",
c_has_wr_rst => 0,
c_wr_freq => 100,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 8,
c_rd_depth => 16,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 4,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 4,
c_has_almost_empty => 0,
c_rd_data_count_width => 4,
c_enable_rlocs => 0,
c_wr_pntr_width => 4,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 4,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 13,
c_wr_depth => 16,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 14,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "2kx9",
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_16x8x
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
full => full,
wr_data_count => wr_data_count);
-- synthesis translate_on
END fifo_16x8x_a;
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/wr_logic.vhd | 6 | 44735 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31376)
`protect data_block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`protect end_protected
| gpl-3.0 |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/memory.vhd | 6 | 114225 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 82816)
`protect data_block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==
`protect end_protected
| gpl-3.0 |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/Adicionales/Programador_StrataFlash_modificado/Ensamblador/ROM_form.vhd | 1 | 12433 | ROM_form.vhd
Ken Chapman (Xilinx Ltd) July 2003
This is the VHDL template file for the KCPSM3 assembler.
It is used to configure a Spartan-3, Virtex-II or Virtex-IIPRO block RAM to act as
a single port program ROM.
This VHDL file is not valid as input directly into a synthesis or simulation tool.
The assembler will read this template and insert the data required to complete the
definition of program ROM and write it out to a new '.vhd' file associated with the
name of the original '.psm' file being assembled.
This template can be modified to define alternative memory definitions such as dual port.
However, you are responsible for ensuring the template is correct as the assembler does
not perform any checking of the VHDL.
The assembler identifies all text enclosed by {} characters, and replaces these
character strings. All templates should include these {} character strings for
the assembler to work correctly.
****************************************************************************************
This template defines a block RAM configured in 1024 x 18-bit single port mode and
conneceted to act as a single port ROM.
****************************************************************************************
The next line is used to determine where the template actually starts and must exist.
{begin template}
--
-- Definition of a single port ROM for KCPSM3 program defined by {name}.psm
-- and assmbled using KCPSM3 assembler.
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
--
entity {name} is
Port ( address : in std_logic_vector(9 downto 0);
instruction : out std_logic_vector(17 downto 0);
clk : in std_logic);
end {name};
--
architecture low_level_definition of {name} is
--
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation
--
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
--
-- Attributes to define ROM contents during implementation synthesis.
--
attribute INIT_00 of ram_1024_x_18 : label is "{INIT_00}";
attribute INIT_01 of ram_1024_x_18 : label is "{INIT_01}";
attribute INIT_02 of ram_1024_x_18 : label is "{INIT_02}";
attribute INIT_03 of ram_1024_x_18 : label is "{INIT_03}";
attribute INIT_04 of ram_1024_x_18 : label is "{INIT_04}";
attribute INIT_05 of ram_1024_x_18 : label is "{INIT_05}";
attribute INIT_06 of ram_1024_x_18 : label is "{INIT_06}";
attribute INIT_07 of ram_1024_x_18 : label is "{INIT_07}";
attribute INIT_08 of ram_1024_x_18 : label is "{INIT_08}";
attribute INIT_09 of ram_1024_x_18 : label is "{INIT_09}";
attribute INIT_0A of ram_1024_x_18 : label is "{INIT_0A}";
attribute INIT_0B of ram_1024_x_18 : label is "{INIT_0B}";
attribute INIT_0C of ram_1024_x_18 : label is "{INIT_0C}";
attribute INIT_0D of ram_1024_x_18 : label is "{INIT_0D}";
attribute INIT_0E of ram_1024_x_18 : label is "{INIT_0E}";
attribute INIT_0F of ram_1024_x_18 : label is "{INIT_0F}";
attribute INIT_10 of ram_1024_x_18 : label is "{INIT_10}";
attribute INIT_11 of ram_1024_x_18 : label is "{INIT_11}";
attribute INIT_12 of ram_1024_x_18 : label is "{INIT_12}";
attribute INIT_13 of ram_1024_x_18 : label is "{INIT_13}";
attribute INIT_14 of ram_1024_x_18 : label is "{INIT_14}";
attribute INIT_15 of ram_1024_x_18 : label is "{INIT_15}";
attribute INIT_16 of ram_1024_x_18 : label is "{INIT_16}";
attribute INIT_17 of ram_1024_x_18 : label is "{INIT_17}";
attribute INIT_18 of ram_1024_x_18 : label is "{INIT_18}";
attribute INIT_19 of ram_1024_x_18 : label is "{INIT_19}";
attribute INIT_1A of ram_1024_x_18 : label is "{INIT_1A}";
attribute INIT_1B of ram_1024_x_18 : label is "{INIT_1B}";
attribute INIT_1C of ram_1024_x_18 : label is "{INIT_1C}";
attribute INIT_1D of ram_1024_x_18 : label is "{INIT_1D}";
attribute INIT_1E of ram_1024_x_18 : label is "{INIT_1E}";
attribute INIT_1F of ram_1024_x_18 : label is "{INIT_1F}";
attribute INIT_20 of ram_1024_x_18 : label is "{INIT_20}";
attribute INIT_21 of ram_1024_x_18 : label is "{INIT_21}";
attribute INIT_22 of ram_1024_x_18 : label is "{INIT_22}";
attribute INIT_23 of ram_1024_x_18 : label is "{INIT_23}";
attribute INIT_24 of ram_1024_x_18 : label is "{INIT_24}";
attribute INIT_25 of ram_1024_x_18 : label is "{INIT_25}";
attribute INIT_26 of ram_1024_x_18 : label is "{INIT_26}";
attribute INIT_27 of ram_1024_x_18 : label is "{INIT_27}";
attribute INIT_28 of ram_1024_x_18 : label is "{INIT_28}";
attribute INIT_29 of ram_1024_x_18 : label is "{INIT_29}";
attribute INIT_2A of ram_1024_x_18 : label is "{INIT_2A}";
attribute INIT_2B of ram_1024_x_18 : label is "{INIT_2B}";
attribute INIT_2C of ram_1024_x_18 : label is "{INIT_2C}";
attribute INIT_2D of ram_1024_x_18 : label is "{INIT_2D}";
attribute INIT_2E of ram_1024_x_18 : label is "{INIT_2E}";
attribute INIT_2F of ram_1024_x_18 : label is "{INIT_2F}";
attribute INIT_30 of ram_1024_x_18 : label is "{INIT_30}";
attribute INIT_31 of ram_1024_x_18 : label is "{INIT_31}";
attribute INIT_32 of ram_1024_x_18 : label is "{INIT_32}";
attribute INIT_33 of ram_1024_x_18 : label is "{INIT_33}";
attribute INIT_34 of ram_1024_x_18 : label is "{INIT_34}";
attribute INIT_35 of ram_1024_x_18 : label is "{INIT_35}";
attribute INIT_36 of ram_1024_x_18 : label is "{INIT_36}";
attribute INIT_37 of ram_1024_x_18 : label is "{INIT_37}";
attribute INIT_38 of ram_1024_x_18 : label is "{INIT_38}";
attribute INIT_39 of ram_1024_x_18 : label is "{INIT_39}";
attribute INIT_3A of ram_1024_x_18 : label is "{INIT_3A}";
attribute INIT_3B of ram_1024_x_18 : label is "{INIT_3B}";
attribute INIT_3C of ram_1024_x_18 : label is "{INIT_3C}";
attribute INIT_3D of ram_1024_x_18 : label is "{INIT_3D}";
attribute INIT_3E of ram_1024_x_18 : label is "{INIT_3E}";
attribute INIT_3F of ram_1024_x_18 : label is "{INIT_3F}";
attribute INITP_00 of ram_1024_x_18 : label is "{INITP_00}";
attribute INITP_01 of ram_1024_x_18 : label is "{INITP_01}";
attribute INITP_02 of ram_1024_x_18 : label is "{INITP_02}";
attribute INITP_03 of ram_1024_x_18 : label is "{INITP_03}";
attribute INITP_04 of ram_1024_x_18 : label is "{INITP_04}";
attribute INITP_05 of ram_1024_x_18 : label is "{INITP_05}";
attribute INITP_06 of ram_1024_x_18 : label is "{INITP_06}";
attribute INITP_07 of ram_1024_x_18 : label is "{INITP_07}";
--
begin
--
--Instantiate the Xilinx primitive for a block RAM
ram_1024_x_18: RAMB16_S18
--synthesis translate_off
--INIT values repeated to define contents for functional simulation
generic map ( INIT_00 => X"{INIT_00}",
INIT_01 => X"{INIT_01}",
INIT_02 => X"{INIT_02}",
INIT_03 => X"{INIT_03}",
INIT_04 => X"{INIT_04}",
INIT_05 => X"{INIT_05}",
INIT_06 => X"{INIT_06}",
INIT_07 => X"{INIT_07}",
INIT_08 => X"{INIT_08}",
INIT_09 => X"{INIT_09}",
INIT_0A => X"{INIT_0A}",
INIT_0B => X"{INIT_0B}",
INIT_0C => X"{INIT_0C}",
INIT_0D => X"{INIT_0D}",
INIT_0E => X"{INIT_0E}",
INIT_0F => X"{INIT_0F}",
INIT_10 => X"{INIT_10}",
INIT_11 => X"{INIT_11}",
INIT_12 => X"{INIT_12}",
INIT_13 => X"{INIT_13}",
INIT_14 => X"{INIT_14}",
INIT_15 => X"{INIT_15}",
INIT_16 => X"{INIT_16}",
INIT_17 => X"{INIT_17}",
INIT_18 => X"{INIT_18}",
INIT_19 => X"{INIT_19}",
INIT_1A => X"{INIT_1A}",
INIT_1B => X"{INIT_1B}",
INIT_1C => X"{INIT_1C}",
INIT_1D => X"{INIT_1D}",
INIT_1E => X"{INIT_1E}",
INIT_1F => X"{INIT_1F}",
INIT_20 => X"{INIT_20}",
INIT_21 => X"{INIT_21}",
INIT_22 => X"{INIT_22}",
INIT_23 => X"{INIT_23}",
INIT_24 => X"{INIT_24}",
INIT_25 => X"{INIT_25}",
INIT_26 => X"{INIT_26}",
INIT_27 => X"{INIT_27}",
INIT_28 => X"{INIT_28}",
INIT_29 => X"{INIT_29}",
INIT_2A => X"{INIT_2A}",
INIT_2B => X"{INIT_2B}",
INIT_2C => X"{INIT_2C}",
INIT_2D => X"{INIT_2D}",
INIT_2E => X"{INIT_2E}",
INIT_2F => X"{INIT_2F}",
INIT_30 => X"{INIT_30}",
INIT_31 => X"{INIT_31}",
INIT_32 => X"{INIT_32}",
INIT_33 => X"{INIT_33}",
INIT_34 => X"{INIT_34}",
INIT_35 => X"{INIT_35}",
INIT_36 => X"{INIT_36}",
INIT_37 => X"{INIT_37}",
INIT_38 => X"{INIT_38}",
INIT_39 => X"{INIT_39}",
INIT_3A => X"{INIT_3A}",
INIT_3B => X"{INIT_3B}",
INIT_3C => X"{INIT_3C}",
INIT_3D => X"{INIT_3D}",
INIT_3E => X"{INIT_3E}",
INIT_3F => X"{INIT_3F}",
INITP_00 => X"{INITP_00}",
INITP_01 => X"{INITP_01}",
INITP_02 => X"{INITP_02}",
INITP_03 => X"{INITP_03}",
INITP_04 => X"{INITP_04}",
INITP_05 => X"{INITP_05}",
INITP_06 => X"{INITP_06}",
INITP_07 => X"{INITP_07}")
--synthesis translate_on
port map( DI => "0000000000000000",
DIP => "00",
EN => '1',
WE => '0',
SSR => '0',
CLK => clk,
ADDR => address,
DO => instruction(15 downto 0),
DOP => instruction(17 downto 16));
--
end low_level_definition;
--
------------------------------------------------------------------------------------
--
-- END OF FILE {name}.vhd
--
------------------------------------------------------------------------------------
| gpl-3.0 |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/if_statement/rule_011_test_input.vhd | 1 | 957 |
architecture RTL of FIFO is
begin
process
begin
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
else
if x = '1' then
z <= '0';
elsif x = '0' then
z <= '1';
else
z <= 'Z';
end if;
end if;
-- Violations below
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
else
if x = '1' then
z <= '0';
elsif x = '0' then
z <= '1';
else
z <= 'Z';
end if;
end if;
-- Check loop statements
if a = '1' then
else
LOOP_LABEL : loop
end loop;
end if;
if a = '1' then
else
loop
end loop;
end if;
if a = '1' then
else
while a = 0
loop
end loop;
end if;
if a = '1' then
else
for i in 0 to 13
loop
end loop;
end if;
end process;
end architecture RTL;
| gpl-3.0 |
rjarzmik/mips_processor | DI/RegisterFile.vhd | 1 | 4023 | -------------------------------------------------------------------------------
-- Title : Register File
-- Project :
-------------------------------------------------------------------------------
-- File : RegisterFile.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-11-12
-- Last update: 2017-01-03
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: MIPS Register File, 32 registers
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-11-12 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
entity RegisterFile is
generic (
DATA_WIDTH : positive := 32;
NB_REGISTERS : positive := 32; -- r0 to r31
NB_REGISTERS_SPECIAL : positive := 2 -- mflo and mfhi
);
port (
clk : in std_logic;
rst : in std_logic;
stall_req : in std_logic;
a_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
b_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
-- Writeback register
rwb_reg1_we : in std_logic;
rwb_reg1_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
rwb_reg1_data : in std_logic_vector(DATA_WIDTH - 1 downto 0);
rwb_reg2_we : in std_logic;
rwb_reg2_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
rwb_reg2_data : in std_logic_vector(DATA_WIDTH - 1 downto 0);
-- Output read registers, set on clk rising edge
a : out std_logic_vector(DATA_WIDTH - 1 downto 0);
b : out std_logic_vector(DATA_WIDTH - 1 downto 0)
);
end entity RegisterFile;
-------------------------------------------------------------------------------
architecture rtl of RegisterFile is
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
type r_array is array (0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1) of
std_logic_vector(DATA_WIDTH -1 downto 0);
signal registers : r_array := (
x"00000000", -- r0
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000");
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
process(rst, clk, rwb_reg1_we, rwb_reg2_we)
begin
if rst = '1' then
a <= (others => 'X');
b <= (others => 'X');
elsif rising_edge(clk) then
if rwb_reg1_we = '1' then
registers(rwb_reg1_idx) <= rwb_reg1_data;
end if;
if rwb_reg2_we = '1' then
registers(rwb_reg2_idx) <= rwb_reg2_data;
end if;
if stall_req = '0' then
a <= registers(a_idx);
b <= registers(b_idx);
end if;
end if;
end process;
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/function/rule_017_test_input.fixed_lower.vhd | 1 | 552 |
architecture RTL of FIFO is
function func1 return integer;
pure function func1 return integer;
impure function func1 return integer;
function func1 return integer is
begin
end function func1;
-- Violations follow
function func1 return integer;
function func1 return integer;
pure function func1 return integer;
pure function func1 return integer;
impure function func1 return integer;
impure function func1 return integer;
-- This should be ignored
function "=" return boolean;
begin
end architecture RTL;
| gpl-3.0 |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/signal/rule_006_test_input.vhd | 1 | 194 |
architecture RTL of FIFO is
signal sig1 : std_logic;
signal sig2 : std_logic;
-- Violations below
signal sig1: std_logic;
signal sig2: std_logic;
begin
end architecture RTL;
| gpl-3.0 |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/process/rule_027_test_input.vhd | 1 | 485 |
architecture RTL of FIFO is
begin
process
variable var1 : integer;
begin
end process;
process (a, b)
variable var1 : integer;
begin
end process;
process is
variable var1 : integer;
begin
end process;
-- Violations below
process
variable var1 : integer;
begin
end process;
process (a, b)
variable var1 : integer;
begin
end process;
process is
variable var1 : integer;
begin
end process;
end architecture RTL;
| gpl-3.0 |
lelongdunet/dspunit | rtl/dspalu_acc.vhd | 2 | 13985 | -- ----------------------------------------------------------------------
-- DspUnit : Advanced So(P)C Sequential Signal Processor
-- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com)
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the
-- Free Software Foundation, Inc.,
-- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
-- ----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.dspalu_pac.all;
use work.dsputil_pac.all;
-------------------------------------------------------------------------------
entity dspalu_acc is
generic (
sig_width : integer := 16;
acc_width : integer := 32;
acc_reduce_width : integer := 32);
port (
--@inputs
a1 : in std_logic_vector((sig_width - 1) downto 0);
b1 : in std_logic_vector((sig_width - 1) downto 0);
a2 : in std_logic_vector((sig_width - 1) downto 0);
b2 : in std_logic_vector((sig_width - 1) downto 0);
clk : in std_logic;
clr_acc : in std_logic;
acc_mode1 : in std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
acc_mode2 : in std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
alu_select : in std_logic_vector((alu_select_width - 1) downto 0); -- t_alu_select;
cmp_mode : in std_logic_vector((cmp_mode_width - 1) downto 0); -- t_cmp_mode;
cmp_pol : in std_logic;
cmp_store : in std_logic;
chain_acc : in std_logic;
--@outputs
result1 : out std_logic_vector((sig_width - 1) downto 0);
result_acc1 : out std_logic_vector((acc_width - 1) downto 0);
result2 : out std_logic_vector((sig_width - 1) downto 0);
result_acc2 : out std_logic_vector((acc_width - 1) downto 0);
cmp_reg : out std_logic_vector((acc_width - 1) downto 0);
cmp_greater : out std_logic;
cmp_out : out std_logic
);
end dspalu_acc;
--=----------------------------------------------------------------------------
architecture archi_dspalu_acc of dspalu_acc is
-----------------------------------------------------------------------------
-- @constants definition
-----------------------------------------------------------------------------
--=--------------------------------------------------------------------------
--
-- @component declarations
--
-----------------------------------------------------------------------------
--=--------------------------------------------------------------------------
-- @signals definition
-----------------------------------------------------------------------------
signal s_result1 : signed((2*sig_width - 1) downto 0);
signal s_result2 : signed((2*sig_width - 1) downto 0);
signal s_mul_out1 : signed((2*sig_width - 1) downto 0);
signal s_mul_out2 : signed((2*sig_width - 1) downto 0);
signal s_result_acc1 : signed((acc_width - 1) downto 0);
signal s_result_acc2 : signed((acc_width - 1) downto 0);
signal s_back_acc1 : signed((acc_width - 1) downto 0);
signal s_back_acc2 : signed((acc_width - 1) downto 0);
signal s_cmp_reg : signed((acc_width - 1) downto 0);
signal s_cmp_in : signed((acc_width - 1) downto 0);
signal s_cmp_reg_r : unsigned((acc_reduce_width - 2) downto 0);
signal s_cmp_in_r : unsigned((acc_reduce_width - 2) downto 0);
signal s_acc_mode1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode2 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode1_n1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode2_n1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode1_inreg : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_acc_mode2_inreg : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_cmul_acc_mode1 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_cmul_acc_mode2 : std_logic_vector((acc_mode_width - 1) downto 0); -- t_acc_mode;
signal s_mul_a1 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_a2 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b1 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b2 : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_a1_in : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_a2_in : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b1_in : std_logic_vector((sig_width - 1) downto 0);
signal s_mul_b2_in : std_logic_vector((sig_width - 1) downto 0);
type t_cmul_state is (cmul_step, cmul_end);
signal s_cmul_state : t_cmul_state;
signal s_cmp_greater : std_logic;
signal s_cmp_greater_inreg : std_logic;
signal s_b2 : std_logic_vector((sig_width - 1) downto 0);
signal s_cmp_store : std_logic;
begin -- archs_dspalu_acc
-----------------------------------------------------------------------------
--
-- @instantiations
--
-----------------------------------------------------------------------------
--=---------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- First accumulator
-------------------------------------------------------------------------------
p_acc1 : process (clk)
variable v_tmp_acc1 : signed((acc_width - 1) downto 0);
begin -- process p_acc
if rising_edge(clk) then -- rising clock edge
if(clr_acc = '1') then
s_result_acc1 <= (others => '0');
else
v_tmp_acc1 := resize(s_result1, acc_width);
-- Accumulation mode
case s_acc_mode1 is
when acc_store =>
s_result_acc1 <= v_tmp_acc1;
when acc_sumstore =>
s_result_acc1 <= resize(signed(s_result1) + signed(s_result2), acc_width);
when acc_add =>
s_result_acc1 <= s_result_acc1 + v_tmp_acc1;
when acc_sub =>
s_result_acc1 <= s_result_acc1 - v_tmp_acc1;
when acc_back_add =>
s_result_acc1 <= s_back_acc1 + v_tmp_acc1;
when acc_minback_sub =>
s_result_acc1 <= - v_tmp_acc1 - s_back_acc1;
when others =>
s_result_acc1 <= (others => '0');
end case;
-- backup of accumulator content
s_back_acc1 <= s_result_acc1;
end if;
end if;
end process p_acc1;
-------------------------------------------------------------------------------
-- Second accumulator
-------------------------------------------------------------------------------
p_acc2 : process (clk)
variable v_tmp_acc2 : signed((acc_width - 1) downto 0);
begin -- process p_acc
if rising_edge(clk) then -- rising clock edge
if(clr_acc = '1') then
s_result_acc2 <= (others => '0');
else
v_tmp_acc2 := resize(s_result2, acc_width);
-- Accumulation mode
case s_acc_mode2 is
when acc_store =>
s_result_acc2 <= v_tmp_acc2;
when acc_diff =>
-- s_result_acc2 <= resize(signed(a2) + signed(b2), acc_width);
s_result_acc2 <= s_result_acc2 - s_result_acc1;
when acc_abs =>
s_result_acc2 <= s_result_acc2 + dsp_abs(s_result_acc1);
when acc_add =>
s_result_acc2 <= s_result_acc2 + v_tmp_acc2;
when acc_sub =>
s_result_acc2 <= s_result_acc2 - v_tmp_acc2;
when acc_back_add =>
s_result_acc2 <= s_back_acc2 + v_tmp_acc2;
when acc_minback_sub =>
s_result_acc2 <= - v_tmp_acc2 - s_back_acc2;
when others =>
s_result_acc2 <= (others => '0');
end case;
-- backup of accumulator content
s_back_acc2 <= s_result_acc2;
end if;
end if;
end process p_acc2;
-------------------------------------------------------------------------------
-- Comparator
-------------------------------------------------------------------------------
-- p_cmp_in : process (cmp_mode, s_result_acc1, s_result_acc2, s_cmp_reg, s_cmp_in)
p_cmp_in : process (clk)
begin -- process p_cmp_in
if rising_edge(clk) then
case cmp_mode is
when cmp_acc1 =>
if(s_result_acc1(acc_width - 1) = '0') then
s_cmp_in <= s_result_acc1;
else
s_cmp_in <= not s_result_acc1;
end if;
when cmp_acc2 =>
if(s_result_acc2(acc_width - 1) = '0') then
s_cmp_in <= s_result_acc2;
else
s_cmp_in <= not s_result_acc2;
end if;
when others =>
s_cmp_in <= (others => '0');
end case;
s_cmp_greater <= s_cmp_greater_inreg;
end if;
end process p_cmp_in;
s_cmp_reg_r <= unsigned(s_cmp_reg((acc_width - 2) downto (acc_width - acc_reduce_width))) ;
s_cmp_in_r <= unsigned(s_cmp_in((acc_width - 2) downto (acc_width - acc_reduce_width)));
s_cmp_greater_inreg <= '1' when s_cmp_reg_r < s_cmp_in_r else '0';
-- s_cmp_greater_inreg <= '1' when s_cmp_reg < s_cmp_in else '0';
p_cmp : process (clk)
begin -- process p_cmp_in
if rising_edge(clk) then -- rising clock edge
s_cmp_store <= cmp_store;
-- if(((s_cmp_greater_inreg xor cmp_pol) or s_cmp_store) = '1') then
if(s_cmp_store = '1') then
s_cmp_reg <= s_cmp_in;
elsif(s_cmp_greater_inreg = '1') then
s_cmp_reg <= s_cmp_in;
else
s_cmp_reg <= s_cmp_reg;
end if;
end if;
end process p_cmp;
-------------------------------------------------------------------------------
-- Operation controller (manage the complex multiplication)
-------------------------------------------------------------------------------
p_alu_ctrl : process (clk)
begin -- process p_alu_ctrl
if rising_edge(clk) then -- rising clock edge
if (alu_select = alu_mul or alu_select = alu_none) then
s_cmul_state <= cmul_end;
elsif (s_cmul_state = cmul_step) then
s_cmul_state <= cmul_end;
else
s_cmul_state <= cmul_step;
end if;
end if;
end process p_alu_ctrl;
p_mul_reg : process (clk)
begin -- process p_mul_reg
if rising_edge(clk) then -- rising clock edge
s_result1 <= s_mul_out1;
s_result2 <= s_mul_out2;
s_acc_mode1 <= s_acc_mode1_n1;
s_acc_mode2 <= s_acc_mode2_n1;
s_acc_mode1_n1 <= s_acc_mode1_inreg;
s_acc_mode2_n1 <= s_acc_mode2_inreg;
end if;
end process p_mul_reg;
--=---------------------------------------------------------------------------
--
-- @concurrent signal assignments
--
-----------------------------------------------------------------------------
result1 <= std_logic_vector(s_result1((2*sig_width - 2) downto (sig_width - 1)));
result2 <= std_logic_vector(s_result2((2*sig_width - 2) downto (sig_width - 1)));
s_mul_out1 <= signed(s_mul_a1) * signed(s_mul_b1);
s_mul_out2 <= signed(s_mul_a2) * signed(s_mul_b2);
result_acc1 <= std_logic_vector(s_result_acc1);
result_acc2 <= std_logic_vector(s_result_acc2);
-- accumulation mode is given by acc_modex except during complex multiplication (modified for step 2)
s_cmul_acc_mode1 <= acc_add when acc_mode1 = acc_sub else acc_sub;
s_cmul_acc_mode2 <= acc_sub when acc_mode1 = acc_sub else acc_add;
-- TODO move the mux to s_acc_modeX_n1!!!!
s_acc_mode1_inreg <= s_cmul_acc_mode1 when s_cmul_state = cmul_step else acc_mode1;
s_acc_mode2_inreg <= s_cmul_acc_mode2 when s_cmul_state = cmul_step else acc_mode2;
-- multipliers inputs (special selection during complex multiplication)
p_mul_in_reg : process (clk)
begin -- process p_mul_reg
if rising_edge(clk) then -- rising clock edge
s_mul_a1 <= s_mul_a1_in;
s_mul_a2 <= s_mul_a2_in;
s_mul_b1 <= s_mul_b1_in;
s_mul_b2 <= s_mul_b2_in;
end if;
end process p_mul_in_reg;
s_mul_a1_in <= a2 when s_cmul_state = cmul_step else a1;
s_mul_a2_in <= a1 when s_cmul_state = cmul_step else a2;
s_mul_b1_in <= s_b2 when s_cmul_state = cmul_step else b1;
-- ! can be more time critical than other entries because depends on alu_select
s_mul_b2_in <= b1 when (s_cmul_state = cmul_end and (alu_select = alu_cmul or alu_select = alu_cmul_conj)) else s_b2;
-- ------------------------------------------------------------------------------------------------------------------------
s_b2 <= std_logic_vector(-signed(b2)) when alu_select = alu_cmul_conj else b2;
cmp_reg <= std_logic_vector(s_cmp_reg);
cmp_greater <= s_cmp_greater;
end archi_dspalu_acc;
-------------------------------------------------------------------------------
| gpl-3.0 |
lelongdunet/dspunit | sim/bench_cpcomplex.vhd | 2 | 12144 | -- ----------------------------------------------------------------------
-- DspUnit : Advanced So(P)C Sequential Signal Processor
-- Copyright (C) 2007-2010 by Adrien LELONG (www.lelongdunet.com)
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the
-- Free Software Foundation, Inc.,
-- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
-- ----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.dspunit_pac.all;
-------------------------------------------------------------------------------
entity bench_cpcomplex is
end bench_cpcomplex;
--=----------------------------------------------------------------------------
architecture archi_bench_cpcomplex of bench_cpcomplex is
-----------------------------------------------------------------------------
-- @constants definition
-----------------------------------------------------------------------------
--=--------------------------------------------------------------------------
--
-- @component declarations
--
-----------------------------------------------------------------------------
component dspunit
port (
clk : in std_logic;
clk_cpu : in std_logic;
reset : in std_logic;
data_in_m0 : in std_logic_vector((sig_width - 1) downto 0);
data_out_m0 : out std_logic_vector((sig_width - 1) downto 0);
addr_r_m0 : out std_logic_vector((cmdreg_width - 1) downto 0);
addr_w_m0 : out std_logic_vector((cmdreg_width - 1) downto 0);
wr_en_m0 : out std_logic;
c_en_m0 : out std_logic;
data_in_m1 : in std_logic_vector((sig_width - 1) downto 0);
data_out_m1 : out std_logic_vector((sig_width - 1) downto 0);
addr_m1 : out std_logic_vector((cmdreg_width - 1) downto 0);
wr_en_m1 : out std_logic;
c_en_m1 : out std_logic;
data_in_m2 : in std_logic_vector((sig_width - 1) downto 0);
data_out_m2 : out std_logic_vector((sig_width - 1) downto 0);
addr_m2 : out std_logic_vector((cmdreg_width - 1) downto 0);
wr_en_m2 : out std_logic;
c_en_m2 : out std_logic;
addr_cmdreg : in std_logic_vector((cmdreg_addr_width - 1) downto 0);
data_in_cmdreg : in std_logic_vector((cmdreg_data_width - 1) downto 0);
wr_en_cmdreg : in std_logic;
data_out_cmdreg : out std_logic_vector((cmdreg_data_width - 1) downto 0);
debug : out std_logic_vector(15 downto 0);
irq : out std_logic;
op_done : out std_logic
);
end component;
component gen_memoryf
generic (
addr_width : natural;
data_width : natural;
init_file : string
);
port (
address_a : in std_logic_vector((addr_width - 1) downto 0);
address_b : in std_logic_vector((addr_width - 1) downto 0);
clock_a : in std_logic;
clock_b : in std_logic;
data_a : in std_logic_vector((data_width - 1) downto 0);
data_b : in std_logic_vector((data_width - 1) downto 0);
wren_a : in std_logic;
wren_b : in std_logic;
q_a : out std_logic_vector((data_width - 1) downto 0);
q_b : out std_logic_vector((data_width - 1) downto 0)
);
end component;
component gen_memory
generic (
addr_width : natural;
data_width : natural
);
port (
address_a : in std_logic_vector((addr_width - 1) downto 0);
address_b : in std_logic_vector((addr_width - 1) downto 0);
clock_a : in std_logic;
clock_b : in std_logic;
data_a : in std_logic_vector((data_width - 1) downto 0);
data_b : in std_logic_vector((data_width - 1) downto 0);
wren_a : in std_logic;
wren_b : in std_logic;
q_a : out std_logic_vector((data_width - 1) downto 0);
q_b : out std_logic_vector((data_width - 1) downto 0)
);
end component;
component clock_gen
generic (
tpw : time;
tps : time
);
port (
clk : out std_logic;
reset : out std_logic
);
end component;
--=--------------------------------------------------------------------------
-- @signals definition
-----------------------------------------------------------------------------
signal s_clk : std_logic;
signal s_reset : std_logic;
signal s_data_in_m0 : std_logic_vector((sig_width - 1) downto 0);
signal s_data_out_m0 : std_logic_vector((sig_width - 1) downto 0);
signal s_addr_r_m0 : std_logic_vector((cmdreg_width - 1) downto 0);
signal s_addr_w_m0 : std_logic_vector((cmdreg_width - 1) downto 0);
signal s_wr_en_m0 : std_logic;
signal s_c_en_m0 : std_logic;
signal s_data_in_m1 : std_logic_vector((sig_width - 1) downto 0);
signal s_data_out_m1 : std_logic_vector((sig_width - 1) downto 0);
signal s_addr_m1 : std_logic_vector((cmdreg_width - 1) downto 0);
signal s_wr_en_m1 : std_logic;
signal s_c_en_m1 : std_logic;
signal s_data_in_m2 : std_logic_vector((sig_width - 1) downto 0);
signal s_data_out_m2 : std_logic_vector((sig_width - 1) downto 0);
signal s_addr_m2 : std_logic_vector((cmdreg_width - 1) downto 0);
signal s_wr_en_m2 : std_logic;
signal s_c_en_m2 : std_logic;
signal s_addr_cmdreg : std_logic_vector((cmdreg_addr_width - 1) downto 0);
signal s_data_in_cmdreg : std_logic_vector((cmdreg_data_width - 1) downto 0);
signal s_wr_en_cmdreg : std_logic;
signal s_data_out_cmdreg : std_logic_vector((cmdreg_data_width - 1) downto 0);
signal s_op_done : std_logic;
signal s_debug_dsp : std_logic_vector(15 downto 0);
signal s_irq : std_logic;
begin -- archs_bench_cpcomplex
-----------------------------------------------------------------------------
--
-- @instantiations
--
-----------------------------------------------------------------------------
dspunit_1 : dspunit
port map (
clk => s_clk,
clk_cpu => s_clk,
reset => s_reset,
data_in_m0 => s_data_in_m0,
data_out_m0 => s_data_out_m0,
addr_r_m0 => s_addr_r_m0,
addr_w_m0 => s_addr_w_m0,
wr_en_m0 => s_wr_en_m0,
c_en_m0 => s_c_en_m0,
data_in_m1 => s_data_in_m1,
data_out_m1 => s_data_out_m1,
addr_m1 => s_addr_m1,
wr_en_m1 => s_wr_en_m1,
c_en_m1 => s_c_en_m1,
data_in_m2 => s_data_in_m2,
data_out_m2 => s_data_out_m2,
addr_m2 => s_addr_m2,
wr_en_m2 => s_wr_en_m2,
c_en_m2 => s_c_en_m2,
addr_cmdreg => s_addr_cmdreg,
data_in_cmdreg => s_data_in_cmdreg,
wr_en_cmdreg => s_wr_en_cmdreg,
data_out_cmdreg => s_data_out_cmdreg,
debug => s_debug_dsp,
irq => s_irq,
op_done => s_op_done);
gen_memory_1 : gen_memoryf
generic map (
addr_width => 16,
data_width => 16,
-- init_file => "exsig.mif")
init_file => "exsig_fft.mif")
-- init_file => "Ones.mif")
port map (
address_a => s_addr_r_m0,
address_b => s_addr_w_m0,
clock_a => s_clk,
clock_b => s_clk,
data_a => (others => '0'),
data_b => s_data_out_m0,
wren_a => '0',
wren_b => s_wr_en_m0,
q_a => s_data_in_m0,
q_b => open);
gen_memory_2 : gen_memoryf
generic map (
addr_width => 16,
data_width => 16,
init_file => "exsig_fft.mif")
port map (
address_a => s_addr_m1,
address_b => (others => '0'),
clock_a => s_clk,
clock_b => s_clk,
data_a => s_data_out_m1,
data_b => (others => '0'),
wren_a => s_wr_en_m1,
wren_b => '0',
q_a => s_data_in_m1,
q_b => open);
gen_memory_3 : gen_memory
generic map (
addr_width => 16,
data_width => 16)
port map (
address_a => s_addr_m2,
address_b => (others => '0'),
clock_a => s_clk,
clock_b => s_clk,
data_a => s_data_out_m2,
data_b => (others => '0'),
wren_a => s_wr_en_m2,
wren_b => '0',
q_a => s_data_in_m2,
q_b => open);
clock_gen_1 : clock_gen
generic map (
tpw => 5 ns,
tps => 0 ns)
port map (
clk => s_clk,
reset => s_reset);
--=---------------------------------------------------------------------------
--=---------------------------------------------------------------------------
--
-- @concurrent signal assignments
--
-----------------------------------------------------------------------------
s_addr_cmdreg <= "000000", "000100" after 141 ns, "000010" after 151 ns, "000111" after 161 ns, "001000" after 171 ns,
-- "000010" after 8751 ns, "000111" after 8761 ns, "001000" after 8771 ns,
"000100" after 8741 ns, "000010" after 8751 ns, "000111" after 8761 ns, "001000" after 8771 ns,
"000001" after 11321 ns,
"000010" after 11341 ns, "000100" after 11351 ns, "000111" after 11361 ns, "001000" after 11371 ns,
"000100" after 19861 ns, "000010" after 19871 ns, "000111" after 19881 ns, "001000" after 19891 ns,
"000010" after 22341 ns, "000100" after 22351 ns, "000111" after 22361 ns, "001000" after 22371 ns,
"000100" after 30861 ns, "000010" after 30871 ns, "000111" after 30881 ns, "001000" after 30891 ns;
s_data_in_cmdreg <= x"0000", x"003F" after 141 ns, x"003F" after 151 ns, x"0464" after 161 ns, x"0002" after 171 ns, -- cp m0->m1
-- x"003F" after 8751 ns, x"002D" after 8761 ns, x"0002" after 8771 ns, -- dotcmul bitrev
x"0072" after 8741 ns, x"0080" after 8751 ns, x"0026" after 8761 ns, x"0002" after 8771 ns, -- sigshift bitrev
x"0080" after 11321 ns,
x"0040" after 11341 ns, x"000F" after 11351 ns, x"000C" after 11361 ns, x"0002" after 11371 ns, -- fft
x"0040" after 19861 ns, x"0040" after 19871 ns, x"000D" after 19881 ns, x"0002" after 19891 ns, -- dotcmul
x"0040" after 22341 ns, x"000A" after 22351 ns, x"003C" after 22361 ns, x"0002" after 22371 ns, -- ifft bitrev
x"0040" after 30861 ns, x"0040" after 30871 ns, x"002D" after 30881 ns, x"0002" after 30891 ns; -- dotcmul bitrev
s_wr_en_cmdreg <= '0', '1' after 141 ns, '0' after 181 ns,
'1' after 8741 ns, '0' after 8781 ns,
'1' after 11321 ns, '0' after 11331 ns,
'1' after 11341 ns, '0' after 11381 ns,
'1' after 19861 ns, '0' after 19901 ns,
'1' after 22341 ns, '0' after 22381 ns,
'1' after 30861 ns, '0' after 30901 ns;
end archi_bench_cpcomplex;
-------------------------------------------------------------------------------
-- Simulation parameters
-->SIMSTOPTIME=5000ns
-->SIMSAVFILE=debugfft.sav
-------------------------------------------------------------------------------
| gpl-3.0 |
michaelmiehling/A25_VME_TB | 16x010-00_src/Source/modelsim_lib.vhd | 1 | 4385 | --------------------------------------------------------------------------------
-- Title : ModelSim library for Riviera-PRO
-- Project :
--------------------------------------------------------------------------------
-- File : modelsim_lib.vhd
-- Author : M. Henze
-- Email :
-- Organization: MEN Mikro Elektronik Nuremberg GmbH
-- Created :
--------------------------------------------------------------------------------
-- Simulator : Riviera-PRO
-- Synthesis :
--------------------------------------------------------------------------------
-- Description :
-- CAUTION - this file shall not be used for new designs. It is only kept
-- for compliance with old designs.
-- For new designs use VHDL2008 syntax instead.
--
--------------------------------------------------------------------------------
-- Hierarchy :
--------------------------------------------------------------------------------
-- Copyright (C) 2016, MEN Mikro Elektronik Nuremberg GmbH
--
-- All rights reserved. Reproduction in whole or part is
-- prohibited without the written permission of the
-- copyright owner.
--------------------------------------------------------------------------------
LIBRARY aldec;
USE aldec.signal_agent_pkg.ALL;
USE aldec.aldec_tools.ALL;
----------------------------------------
-- CAUTION! Don't use for new designs!
-- Use VHDL2008 instead!
----------------------------------------
PACKAGE util IS
TYPE force_type IS (default, deposit, drive, freeze);
type del_mode is (MTI_INERTIAL, MTI_TRANSPORT);
PROCEDURE init_signal_spy( source : IN string;
destination : IN string;
verbose : IN integer;
control : IN integer);
procedure init_signal_spy(
source : in string;
dest : in string
);
PROCEDURE signal_force( destination : IN string;
value : IN string;
rel_time : IN time;
forcetype : IN force_type;
cancel_period : IN time;
verbose : IN integer);
PROCEDURE signal_release( destination : IN string;
verbose : IN integer);
procedure init_signal_driver(
src_obj : in string;
dest_obj : in string;
delay : in time;
delay_type : in del_mode;
verbose : in integer
);
END;
PACKAGE BODY util IS
PROCEDURE init_signal_spy( source : IN string;
destination : IN string;
verbose : IN integer;
control : IN integer) IS
BEGIN
signal_agent(source, destination ,verbose);
END PROCEDURE init_signal_spy;
procedure init_signal_spy(
source : in string;
dest : in string
) is
begin
signal_agent(source,dest,0);
end procedure init_signal_spy;
PROCEDURE signal_force( destination : IN string;
value : IN string;
rel_time : IN time;
forcetype : IN force_type;
cancel_period : IN time;
verbose : IN integer) IS
BEGIN
------------------------------------------------
-- in RivieraPRO2014 the force command changed
------------------------------------------------
--force(force_type'image(forcetype), destination, value);
force_signal(force_type'image(forcetype), destination, value);
END PROCEDURE signal_force;
PROCEDURE signal_release( destination : IN string;
verbose : IN integer) IS
BEGIN
------------------------------------------------
-- in RivieraPRO2014 the force command changed
------------------------------------------------
--noforce ( destination );
noforce_signal ( destination );
END PROCEDURE signal_release;
procedure init_signal_driver(
src_obj : in string;
dest_obj : in string;
delay : in time;
delay_type : in del_mode;
verbose : in integer
) is
begin
signal_agent(src_obj, dest_obj, 0);
end procedure init_signal_driver;
END;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.ip_user_files/ip/bg_rp/bg_rp_stub.vhdl | 2 | 1352 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:31:20 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/bg_rp/bg_rp_stub.vhdl
-- Design : bg_rp
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity bg_rp is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
end bg_rp;
architecture stub of bg_rp is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[7:0],dina[11:0],douta[11:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4";
begin
end;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/bg_rp/synth/bg_rp.vhd | 1 | 14247 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY bg_rp IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END bg_rp;
ARCHITECTURE bg_rp_arch OF bg_rp IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF bg_rp_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF bg_rp_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF bg_rp_arch : ARCHITECTURE IS "bg_rp,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF bg_rp_arch: ARCHITECTURE IS "bg_rp,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=bg_rp.mif,C_I" &
"NIT_FILE=bg_rp.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=156,C_READ_DEPTH_A=156,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRITE_DEPTH_B=156,C_RE" &
"AD_DEPTH_B=156,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0" &
",C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.70645 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "bg_rp.mif",
C_INIT_FILE => "bg_rp.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 156,
C_READ_DEPTH_A => 156,
C_ADDRA_WIDTH => 8,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 156,
C_READ_DEPTH_B => 156,
C_ADDRB_WIDTH => 8,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "0",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.70645 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END bg_rp_arch;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/crash_pixel/crash_pixel_stub.vhdl | 2 | 1407 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:35:17 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/crash_pixel/crash_pixel_stub.vhdl
-- Design : crash_pixel
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity crash_pixel is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
end crash_pixel;
architecture stub of crash_pixel is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[11:0],dina[11:0],douta[11:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4";
begin
end;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/bg_mid/misc/blk_mem_gen_v8_3.vhd | 45 | 8325 | library ieee;
use ieee.std_logic_1164.all;
entity blk_mem_gen_v8_3_5 is
generic (
C_FAMILY : string := "virtex7";
C_XDEVICEFAMILY : string := "virtex7";
C_ELABORATION_DIR : string := "";
C_INTERFACE_TYPE : integer := 0;
C_AXI_TYPE : integer := 1;
C_AXI_SLAVE_TYPE : integer := 0;
C_USE_BRAM_BLOCK : integer := 0;
C_ENABLE_32BIT_ADDRESS : integer := 0;
C_CTRL_ECC_ALGO : string := "ECCHSIAO32-7";
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
C_MEM_TYPE : integer := 2;
C_BYTE_SIZE : integer := 9;
C_ALGORITHM : integer := 0;
C_PRIM_TYPE : integer := 3;
C_LOAD_INIT_FILE : integer := 0;
C_INIT_FILE_NAME : string := "no_coe_file_loaded";
C_INIT_FILE : string := "no_mem_file_loaded";
C_USE_DEFAULT_DATA : integer := 0;
C_DEFAULT_DATA : string := "0";
C_HAS_RSTA : integer := 0;
C_RST_PRIORITY_A : string := "ce";
C_RSTRAM_A : integer := 0;
C_INITA_VAL : string := "0";
C_HAS_ENA : integer := 1;
C_HAS_REGCEA : integer := 0;
C_USE_BYTE_WEA : integer := 0;
C_WEA_WIDTH : integer := 1;
C_WRITE_MODE_A : string := "WRITE_FIRST";
C_WRITE_WIDTH_A : integer := 9;
C_READ_WIDTH_A : integer := 9;
C_WRITE_DEPTH_A : integer := 2048;
C_READ_DEPTH_A : integer := 2048;
C_ADDRA_WIDTH : integer := 11;
C_HAS_RSTB : integer := 0;
C_RST_PRIORITY_B : string := "ce";
C_RSTRAM_B : integer := 0;
C_INITB_VAL : string := "0";
C_HAS_ENB : integer := 1;
C_HAS_REGCEB : integer := 0;
C_USE_BYTE_WEB : integer := 0;
C_WEB_WIDTH : integer := 1;
C_WRITE_MODE_B : string := "WRITE_FIRST";
C_WRITE_WIDTH_B : integer := 9;
C_READ_WIDTH_B : integer := 9;
C_WRITE_DEPTH_B : integer := 2048;
C_READ_DEPTH_B : integer := 2048;
C_ADDRB_WIDTH : integer := 11;
C_HAS_MEM_OUTPUT_REGS_A : integer := 0;
C_HAS_MEM_OUTPUT_REGS_B : integer := 0;
C_HAS_MUX_OUTPUT_REGS_A : integer := 0;
C_HAS_MUX_OUTPUT_REGS_B : integer := 0;
C_MUX_PIPELINE_STAGES : integer := 0;
C_HAS_SOFTECC_INPUT_REGS_A : integer := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : integer := 0;
C_USE_SOFTECC : integer := 0;
C_USE_ECC : integer := 0;
C_EN_ECC_PIPE : integer := 0;
C_HAS_INJECTERR : integer := 0;
C_SIM_COLLISION_CHECK : string := "none";
C_COMMON_CLK : integer := 0;
C_DISABLE_WARN_BHV_COLL : integer := 0;
C_EN_SLEEP_PIN : integer := 0;
C_USE_URAM : integer := 0;
C_EN_RDADDRA_CHG : integer := 0;
C_EN_RDADDRB_CHG : integer := 0;
C_EN_DEEPSLEEP_PIN : integer := 0;
C_EN_SHUTDOWN_PIN : integer := 0;
C_EN_SAFETY_CKT : integer := 0;
C_DISABLE_WARN_BHV_RANGE : integer := 0;
C_COUNT_36K_BRAM : string := "";
C_COUNT_18K_BRAM : string := "";
C_EST_POWER_SUMMARY : string := ""
);
port (
clka : in std_logic := '0';
rsta : in std_logic := '0';
ena : in std_logic := '0';
regcea : in std_logic := '0';
wea : in std_logic_vector(c_wea_width - 1 downto 0) := (others => '0');
addra : in std_logic_vector(c_addra_width - 1 downto 0) := (others => '0');
dina : in std_logic_vector(c_write_width_a - 1 downto 0) := (others => '0');
douta : out std_logic_vector(c_read_width_a - 1 downto 0);
clkb : in std_logic := '0';
rstb : in std_logic := '0';
enb : in std_logic := '0';
regceb : in std_logic := '0';
web : in std_logic_vector(c_web_width - 1 downto 0) := (others => '0');
addrb : in std_logic_vector(c_addrb_width - 1 downto 0) := (others => '0');
dinb : in std_logic_vector(c_write_width_b - 1 downto 0) := (others => '0');
doutb : out std_logic_vector(c_read_width_b - 1 downto 0);
injectsbiterr : in std_logic := '0';
injectdbiterr : in std_logic := '0';
eccpipece : in std_logic := '0';
sbiterr : out std_logic;
dbiterr : out std_logic;
rdaddrecc : out std_logic_vector(c_addrb_width - 1 downto 0);
sleep : in std_logic := '0';
deepsleep : in std_logic := '0';
shutdown : in std_logic := '0';
rsta_busy : out std_logic;
rstb_busy : out std_logic;
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '0';
s_axi_awid : in std_logic_vector(c_axi_id_width - 1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(31 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(7 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(2 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(c_write_width_a - 1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(c_wea_width - 1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic;
s_axi_bid : out std_logic_vector(c_axi_id_width - 1 downto 0);
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic := '0';
s_axi_arid : in std_logic_vector(c_axi_id_width - 1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(31 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(8 - 1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(2 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic;
s_axi_rid : out std_logic_vector(c_axi_id_width - 1 downto 0);
s_axi_rdata : out std_logic_vector(c_write_width_b - 1 downto 0);
s_axi_rresp : out std_logic_vector(2 - 1 downto 0);
s_axi_rlast : out std_logic;
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic := '0';
s_axi_injectsbiterr : in std_logic := '0';
s_axi_injectdbiterr : in std_logic := '0';
s_axi_sbiterr : out std_logic;
s_axi_dbiterr : out std_logic;
s_axi_rdaddrecc : out std_logic_vector(c_addrb_width - 1 downto 0)
);
end entity blk_mem_gen_v8_3_5;
architecture xilinx of blk_mem_gen_v8_3_5 is
begin
end
architecture xilinx;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/ball_pixel_1/misc/blk_mem_gen_v8_3.vhd | 45 | 8325 | library ieee;
use ieee.std_logic_1164.all;
entity blk_mem_gen_v8_3_5 is
generic (
C_FAMILY : string := "virtex7";
C_XDEVICEFAMILY : string := "virtex7";
C_ELABORATION_DIR : string := "";
C_INTERFACE_TYPE : integer := 0;
C_AXI_TYPE : integer := 1;
C_AXI_SLAVE_TYPE : integer := 0;
C_USE_BRAM_BLOCK : integer := 0;
C_ENABLE_32BIT_ADDRESS : integer := 0;
C_CTRL_ECC_ALGO : string := "ECCHSIAO32-7";
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
C_MEM_TYPE : integer := 2;
C_BYTE_SIZE : integer := 9;
C_ALGORITHM : integer := 0;
C_PRIM_TYPE : integer := 3;
C_LOAD_INIT_FILE : integer := 0;
C_INIT_FILE_NAME : string := "no_coe_file_loaded";
C_INIT_FILE : string := "no_mem_file_loaded";
C_USE_DEFAULT_DATA : integer := 0;
C_DEFAULT_DATA : string := "0";
C_HAS_RSTA : integer := 0;
C_RST_PRIORITY_A : string := "ce";
C_RSTRAM_A : integer := 0;
C_INITA_VAL : string := "0";
C_HAS_ENA : integer := 1;
C_HAS_REGCEA : integer := 0;
C_USE_BYTE_WEA : integer := 0;
C_WEA_WIDTH : integer := 1;
C_WRITE_MODE_A : string := "WRITE_FIRST";
C_WRITE_WIDTH_A : integer := 9;
C_READ_WIDTH_A : integer := 9;
C_WRITE_DEPTH_A : integer := 2048;
C_READ_DEPTH_A : integer := 2048;
C_ADDRA_WIDTH : integer := 11;
C_HAS_RSTB : integer := 0;
C_RST_PRIORITY_B : string := "ce";
C_RSTRAM_B : integer := 0;
C_INITB_VAL : string := "0";
C_HAS_ENB : integer := 1;
C_HAS_REGCEB : integer := 0;
C_USE_BYTE_WEB : integer := 0;
C_WEB_WIDTH : integer := 1;
C_WRITE_MODE_B : string := "WRITE_FIRST";
C_WRITE_WIDTH_B : integer := 9;
C_READ_WIDTH_B : integer := 9;
C_WRITE_DEPTH_B : integer := 2048;
C_READ_DEPTH_B : integer := 2048;
C_ADDRB_WIDTH : integer := 11;
C_HAS_MEM_OUTPUT_REGS_A : integer := 0;
C_HAS_MEM_OUTPUT_REGS_B : integer := 0;
C_HAS_MUX_OUTPUT_REGS_A : integer := 0;
C_HAS_MUX_OUTPUT_REGS_B : integer := 0;
C_MUX_PIPELINE_STAGES : integer := 0;
C_HAS_SOFTECC_INPUT_REGS_A : integer := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : integer := 0;
C_USE_SOFTECC : integer := 0;
C_USE_ECC : integer := 0;
C_EN_ECC_PIPE : integer := 0;
C_HAS_INJECTERR : integer := 0;
C_SIM_COLLISION_CHECK : string := "none";
C_COMMON_CLK : integer := 0;
C_DISABLE_WARN_BHV_COLL : integer := 0;
C_EN_SLEEP_PIN : integer := 0;
C_USE_URAM : integer := 0;
C_EN_RDADDRA_CHG : integer := 0;
C_EN_RDADDRB_CHG : integer := 0;
C_EN_DEEPSLEEP_PIN : integer := 0;
C_EN_SHUTDOWN_PIN : integer := 0;
C_EN_SAFETY_CKT : integer := 0;
C_DISABLE_WARN_BHV_RANGE : integer := 0;
C_COUNT_36K_BRAM : string := "";
C_COUNT_18K_BRAM : string := "";
C_EST_POWER_SUMMARY : string := ""
);
port (
clka : in std_logic := '0';
rsta : in std_logic := '0';
ena : in std_logic := '0';
regcea : in std_logic := '0';
wea : in std_logic_vector(c_wea_width - 1 downto 0) := (others => '0');
addra : in std_logic_vector(c_addra_width - 1 downto 0) := (others => '0');
dina : in std_logic_vector(c_write_width_a - 1 downto 0) := (others => '0');
douta : out std_logic_vector(c_read_width_a - 1 downto 0);
clkb : in std_logic := '0';
rstb : in std_logic := '0';
enb : in std_logic := '0';
regceb : in std_logic := '0';
web : in std_logic_vector(c_web_width - 1 downto 0) := (others => '0');
addrb : in std_logic_vector(c_addrb_width - 1 downto 0) := (others => '0');
dinb : in std_logic_vector(c_write_width_b - 1 downto 0) := (others => '0');
doutb : out std_logic_vector(c_read_width_b - 1 downto 0);
injectsbiterr : in std_logic := '0';
injectdbiterr : in std_logic := '0';
eccpipece : in std_logic := '0';
sbiterr : out std_logic;
dbiterr : out std_logic;
rdaddrecc : out std_logic_vector(c_addrb_width - 1 downto 0);
sleep : in std_logic := '0';
deepsleep : in std_logic := '0';
shutdown : in std_logic := '0';
rsta_busy : out std_logic;
rstb_busy : out std_logic;
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '0';
s_axi_awid : in std_logic_vector(c_axi_id_width - 1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(31 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(7 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(2 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(c_write_width_a - 1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(c_wea_width - 1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic;
s_axi_bid : out std_logic_vector(c_axi_id_width - 1 downto 0);
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic := '0';
s_axi_arid : in std_logic_vector(c_axi_id_width - 1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(31 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(8 - 1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(2 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic;
s_axi_rid : out std_logic_vector(c_axi_id_width - 1 downto 0);
s_axi_rdata : out std_logic_vector(c_write_width_b - 1 downto 0);
s_axi_rresp : out std_logic_vector(2 - 1 downto 0);
s_axi_rlast : out std_logic;
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic := '0';
s_axi_injectsbiterr : in std_logic := '0';
s_axi_injectdbiterr : in std_logic := '0';
s_axi_sbiterr : out std_logic;
s_axi_dbiterr : out std_logic;
s_axi_rdaddrecc : out std_logic_vector(c_addrb_width - 1 downto 0)
);
end entity blk_mem_gen_v8_3_5;
architecture xilinx of blk_mem_gen_v8_3_5 is
begin
end
architecture xilinx;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/pikachu_jump_pixel/synth/pikachu_jump_pixel.vhd | 1 | 14462 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY pikachu_jump_pixel IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END pikachu_jump_pixel;
ARCHITECTURE pikachu_jump_pixel_arch OF pikachu_jump_pixel IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF pikachu_jump_pixel_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF pikachu_jump_pixel_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF pikachu_jump_pixel_arch : ARCHITECTURE IS "pikachu_jump_pixel,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF pikachu_jump_pixel_arch: ARCHITECTURE IS "pikachu_jump_pixel,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=" &
"pikachu_jump_pixel.mif,C_INIT_FILE=pikachu_jump_pixel.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=6804,C_READ_DEPTH_A=6804,C_ADDRA_WIDTH=13,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12," &
"C_READ_WIDTH_B=12,C_WRITE_DEPTH_B=6804,C_READ_DEPTH_B=6804,C_ADDRB_WIDTH=13,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0" &
",C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=3,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 5.016775 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "pikachu_jump_pixel.mif",
C_INIT_FILE => "pikachu_jump_pixel.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 6804,
C_READ_DEPTH_A => 6804,
C_ADDRA_WIDTH => 13,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 6804,
C_READ_DEPTH_B => 6804,
C_ADDRB_WIDTH => 13,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "3",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 5.016775 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 13)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END pikachu_jump_pixel_arch;
| gpl-3.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/ball_pixel_1/synth/ball_pixel.vhd | 1 | 14342 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY ball_pixel IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END ball_pixel;
ARCHITECTURE ball_pixel_arch OF ball_pixel IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ball_pixel_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF ball_pixel_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF ball_pixel_arch : ARCHITECTURE IS "ball_pixel,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ball_pixel_arch: ARCHITECTURE IS "ball_pixel,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=ball_pix" &
"el.mif,C_INIT_FILE=ball_pixel.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=3600,C_READ_DEPTH_A=3600,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRIT" &
"E_DEPTH_B=3600,C_READ_DEPTH_B=3600,C_ADDRB_WIDTH=12,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DIS" &
"ABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 3.822999 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "ball_pixel.mif",
C_INIT_FILE => "ball_pixel.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 3600,
C_READ_DEPTH_A => 3600,
C_ADDRA_WIDTH => 12,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 3600,
C_READ_DEPTH_B => 3600,
C_ADDRB_WIDTH => 12,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 3.822999 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END ball_pixel_arch;
| gpl-3.0 |
Darkin47/Zynq-TX-UTT | Vivado_HLS/image_contrast_adj/solution1/impl/vhdl/project.srcs/sources_1/ip/doHistStretch_ap_fdiv_14_no_dsp_32/axi_utils_v2_0_2/hdl/axi_utils_v2_0_vh_rfs.vhd | 9 | 292074 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ehpxA86vBUi/FmDVEerA6tSWWyhbNZEErHjkDvrA5hEcv101gIisNr6PDmR35dLLxDjY0abTbuBw
3ZAJ7IlKPg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BomXbsOrdGVM0fvXbkkztfZLxSYQcIOi3a5d4FMKr+Ji4K1o4zTd+YQMcP1x8i7gJOg10iQ3HJoI
JaR4DWBUno2CbKecaGykQSgnzel1IkvHUIOHPFs3zfJT7i2J4YPduJ+RJx2f0+mn7QyTkJ/VmOh9
zxdggtPxxq8ZRKdSWXw=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tAYuOM8O4SG4+r+qE2T10Lzy8Np5SsSlWE46xFj0h8PvgL8xnK/Dd9KA/loItwmYg64KEcplB9w6
PIuOkNDjErjCgMvMsFFu09Qvzkq+gNztFn4bC7UCjLnN+FREE4n2UVMe2OArhYBbWoVHTcA+O58P
jhzpcgR8qKXVcnoPRQI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1pr5jCKpAd9n1GGHsSrOV8hgy4lh9hh5yTt/TRSvrmd60MLhHcF3heU0zPCSTlviMs8M7AjK/VMn
6FYi7jJCXaWAOUWbIeOjBdpvCiAy41m8k63F3u5mejeEprQtADPrWjbCql8XzeI9iijXofK+MkBx
OlDy3WhP6q8fmRYMo5QajiZ/a2krpb/u5DKamZN36krw9A9ioNvDkWj01YO4Jlsy8dU5l/Bx39nx
Gl4miFV3NjqRHKQ27Yvz57TViyRxDxptOdd1xr3Z9hyZUqDLMvRhqbFmN295R33Xbmgir/xsCGpQ
AapagS5pon04myJHnbGCR4TNdpcmM7qSTavLgA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Tn6CuojiT6JxjXLKoFYPsk3fy5A3VvtXM1c92BjP+tci+s1aSSdcuKSkNEId0SjhuhjkRGTXUDEV
VN/L0SX6wjRyYVxR90qi/sr6bilB6QpN17Th2XDTDQyRgCU1yMH1HwyUhHSqZvQOD8M3kTbKJkOi
LgfVN+gI7vvBxmYjnM0I2TpDCAZNQIF8zLh212snNnBNK5xspJ6xzPdnTsn4OUb9xCJeuq92riSu
BZuM3MecfO3heIanidyHURs0hXGk4kvUpDozAwnwUn7Wb2+ApZ09UPFK8rVhVZ6v8O2iPz4ToHLj
fDvsoVPmmohgORjIAnL40ysoohWI4WFSDRNyjA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gyRkut+R6ccSLKdfkdfEcGWIFIPtP/U++5UwS7oTxAh6ARBZ3jOmR/z25wJYNPAMRyRuEtUdi4MG
fuwc15IzNXMS/UBg+VKRo1Ma4gMy8e4A0oe5+LLsFAVtP8Is/I8bUbfEtdAfBNMkFPJa4Bgq0Rca
/sq7wPKrWDsgkg1JrmZtc7uFH815b769CRHmIU4P/HCp0QJGOvrKztzmBSQmE9ax3bd9d27Q8GKr
WHEPfTm9gyHPAjUSiLg5OU5lZcTnC5dXIMfj5Vm0XPCQCV8wrpILle9+QrLXhEyrdqufcgGwpCC0
ESHP9b8fc2Jd4wOojOjaC04TD+McDiCtR55TVA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080)
`protect data_block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`protect end_protected
| gpl-3.0 |
freecores/usb_fpga_1_11 | examples/usb-fpga-2.01/2.01b/lightshow/fpga/lightshow.vhd | 17 | 3116 | library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity lightshow is
port(
led1 : out std_logic_vector(9 downto 0); -- LED1 on debug board
led2 : out std_logic_vector(19 downto 0); -- LED2 + LED3 on debug board
sw : in std_logic_vector(3 downto 0);
fxclk : in std_logic
);
end lightshow;
--signal declaration
architecture RTL of lightshow is
type tPattern1 is array(9 downto 0) of integer range 0 to 255;
type tPattern2 is array(19 downto 0) of integer range 0 to 255;
signal pattern1 : tPattern1 := (0, 10, 41, 92, 163, 255, 163, 92, 41, 10); -- pattern for LED1
signal pattern20 : tPattern2 := (0, 1, 2, 9, 16, 25, 36, 49, 64, 81, 64, 49, 36, 25, 16, 9, 2, 1, 0, 0); -- 1st pattern for LED2
signal pattern21 : tPattern2 := (0, 19, 77, 174, 77, 19, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0); -- 2nd pattern for LED2
signal pattern2 : tPattern2; -- pattern20 + pattern21
signal cnt1,cnt20, cnt21 : std_logic_vector(22 downto 0);
signal pwm_cnt : std_logic_vector(19 downto 0);
signal pwm_cnt8 : std_logic_vector(7 downto 0);
begin
pwm_cnt8 <= pwm_cnt(19 downto 12);
dp_fxclk: process(fxclk)
begin
if fxclk' event and fxclk = '1' then
-- pattern for led 1
if ( cnt1 >= conv_std_logic_vector(7200000,23) ) -- 1/1.5 Hz
then
if ( sw(0) = '1' )
then
pattern1(8 downto 0) <= pattern1(9 downto 1);
pattern1(9) <= pattern1(0);
else
pattern1(9 downto 1) <= pattern1(8 downto 0);
pattern1(0) <= pattern1(9);
end if;
cnt1 <= (others => '0');
else
cnt1 <= cnt1 + 1;
end if;
-- pattern for led 2
if ( ( cnt20 >= conv_std_logic_vector(4800000,23) ) or ( (sw(2)= '1') and (cnt20 >= conv_std_logic_vector(1600000,23)) ) ) -- SW1 off: 1/3Hz, SW1 on: 1Hz
then
pattern20(18 downto 0) <= pattern20(19 downto 1);
pattern20(19) <= pattern20(0);
cnt20 <= (others => '0');
else
cnt20 <= cnt20 + 1;
end if;
if ( ( cnt21 >= conv_std_logic_vector(2000000,23) ) or ( (sw(3)= '1') and (cnt21 >= conv_std_logic_vector(500000,23)) ) )
then
if ( sw(1) = '1' )
then
pattern21(18 downto 0) <= pattern21(19 downto 1);
pattern21(19) <= pattern21(0);
else
pattern21(19 downto 1) <= pattern21(18 downto 0);
pattern21(0) <= pattern21(19);
end if;
cnt21 <= (others => '0');
else
cnt21 <= cnt21 + 1;
end if;
for i in 0 to 19 loop
pattern2(i) <= pattern20(i) + pattern21(i);
end loop;
-- pwm
if ( pwm_cnt8 = conv_std_logic_vector(255,8) )
then
pwm_cnt <= ( others => '0' );
else
pwm_cnt <= pwm_cnt + 1;
end if;
-- led1
for i in 0 to 9 loop
if ( pwm_cnt8 < pattern1(i) )
then
led1(i) <= '1';
else
led1(i) <= '0';
end if;
end loop;
for i in 0 to 19 loop
if (pwm_cnt8 < pattern2(i) )
then
led2(i) <= '1';
else
led2(i) <= '0';
end if;
end loop;
end if;
end process dp_fxclk;
end RTL;
| gpl-3.0 |
mistryalok/Zedboard | learning/opencv_hls/xapp1167_vivado/sw/median/prj/solution1/impl/vhdl/FIFO_image_filter_img_1_data_stream_0_V.vhd | 4 | 4629 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity FIFO_image_filter_img_1_data_stream_0_V_shiftReg is
generic (
DATA_WIDTH : integer := 8;
ADDR_WIDTH : integer := 1;
DEPTH : integer := 2);
port (
clk : in std_logic;
data : in std_logic_vector(DATA_WIDTH-1 downto 0);
ce : in std_logic;
a : in std_logic_vector(ADDR_WIDTH-1 downto 0);
q : out std_logic_vector(DATA_WIDTH-1 downto 0));
end FIFO_image_filter_img_1_data_stream_0_V_shiftReg;
architecture rtl of FIFO_image_filter_img_1_data_stream_0_V_shiftReg is
--constant DEPTH_WIDTH: integer := 16;
type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0);
signal SRL_SIG : SRL_ARRAY;
begin
p_shift: process (clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
SRL_SIG <= data & SRL_SIG(0 to DEPTH-2);
end if;
end if;
end process;
q <= SRL_SIG(conv_integer(a));
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity FIFO_image_filter_img_1_data_stream_0_V is
generic (
MEM_STYLE : string := "auto";
DATA_WIDTH : integer := 8;
ADDR_WIDTH : integer := 1;
DEPTH : integer := 2);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of FIFO_image_filter_img_1_data_stream_0_V is
component FIFO_image_filter_img_1_data_stream_0_V_shiftReg is
generic (
DATA_WIDTH : integer := 8;
ADDR_WIDTH : integer := 1;
DEPTH : integer := 2);
port (
clk : in std_logic;
data : in std_logic_vector(DATA_WIDTH-1 downto 0);
ce : in std_logic;
a : in std_logic_vector(ADDR_WIDTH-1 downto 0);
q : out std_logic_vector(DATA_WIDTH-1 downto 0));
end component;
signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal shiftReg_ce : STD_LOGIC;
signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1');
signal internal_empty_n : STD_LOGIC := '0';
signal internal_full_n : STD_LOGIC := '1';
begin
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
shiftReg_data <= if_din;
if_dout <= shiftReg_q;
process (clk)
begin
if clk'event and clk = '1' then
if reset = '1' then
mOutPtr <= (others => '1');
internal_empty_n <= '0';
internal_full_n <= '1';
else
if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and
((if_write and if_write_ce) = '0' or internal_full_n = '0') then
mOutPtr <= mOutPtr -1;
if (mOutPtr = 0) then
internal_empty_n <= '0';
end if;
internal_full_n <= '1';
elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and
((if_write and if_write_ce) = '1' and internal_full_n = '1') then
mOutPtr <= mOutPtr +1;
internal_empty_n <= '1';
if (mOutPtr = DEPTH -2) then
internal_full_n <= '0';
end if;
end if;
end if;
end if;
end process;
shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0);
shiftReg_ce <= (if_write and if_write_ce) and internal_full_n;
U_FIFO_image_filter_img_1_data_stream_0_V_shiftReg : FIFO_image_filter_img_1_data_stream_0_V_shiftReg
generic map (
DATA_WIDTH => DATA_WIDTH,
ADDR_WIDTH => ADDR_WIDTH,
DEPTH => DEPTH)
port map (
clk => clk,
data => shiftReg_data,
ce => shiftReg_ce,
a => shiftReg_addr,
q => shiftReg_q);
end rtl;
| gpl-3.0 |
mistryalok/Zedboard | learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/vhdl/FIFO_image_filter_p_dst_rows_V.vhd | 2 | 4564 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity FIFO_image_filter_p_dst_rows_V_shiftReg is
generic (
DATA_WIDTH : integer := 12;
ADDR_WIDTH : integer := 2;
DEPTH : integer := 3);
port (
clk : in std_logic;
data : in std_logic_vector(DATA_WIDTH-1 downto 0);
ce : in std_logic;
a : in std_logic_vector(ADDR_WIDTH-1 downto 0);
q : out std_logic_vector(DATA_WIDTH-1 downto 0));
end FIFO_image_filter_p_dst_rows_V_shiftReg;
architecture rtl of FIFO_image_filter_p_dst_rows_V_shiftReg is
--constant DEPTH_WIDTH: integer := 16;
type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0);
signal SRL_SIG : SRL_ARRAY;
begin
p_shift: process (clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
SRL_SIG <= data & SRL_SIG(0 to DEPTH-2);
end if;
end if;
end process;
q <= SRL_SIG(conv_integer(a));
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity FIFO_image_filter_p_dst_rows_V is
generic (
MEM_STYLE : string := "shiftreg";
DATA_WIDTH : integer := 12;
ADDR_WIDTH : integer := 2;
DEPTH : integer := 3);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of FIFO_image_filter_p_dst_rows_V is
component FIFO_image_filter_p_dst_rows_V_shiftReg is
generic (
DATA_WIDTH : integer := 12;
ADDR_WIDTH : integer := 2;
DEPTH : integer := 3);
port (
clk : in std_logic;
data : in std_logic_vector(DATA_WIDTH-1 downto 0);
ce : in std_logic;
a : in std_logic_vector(ADDR_WIDTH-1 downto 0);
q : out std_logic_vector(DATA_WIDTH-1 downto 0));
end component;
signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal shiftReg_ce : STD_LOGIC;
signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1');
signal internal_empty_n : STD_LOGIC := '0';
signal internal_full_n : STD_LOGIC := '1';
begin
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
shiftReg_data <= if_din;
if_dout <= shiftReg_q;
process (clk)
begin
if clk'event and clk = '1' then
if reset = '1' then
mOutPtr <= (others => '1');
internal_empty_n <= '0';
internal_full_n <= '1';
else
if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and
((if_write and if_write_ce) = '0' or internal_full_n = '0') then
mOutPtr <= mOutPtr -1;
if (mOutPtr = 0) then
internal_empty_n <= '0';
end if;
internal_full_n <= '1';
elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and
((if_write and if_write_ce) = '1' and internal_full_n = '1') then
mOutPtr <= mOutPtr +1;
internal_empty_n <= '1';
if (mOutPtr = DEPTH -2) then
internal_full_n <= '0';
end if;
end if;
end if;
end if;
end process;
shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0);
shiftReg_ce <= (if_write and if_write_ce) and internal_full_n;
U_FIFO_image_filter_p_dst_rows_V_shiftReg : FIFO_image_filter_p_dst_rows_V_shiftReg
generic map (
DATA_WIDTH => DATA_WIDTH,
ADDR_WIDTH => ADDR_WIDTH,
DEPTH => DEPTH)
port map (
clk => clk,
data => shiftReg_data,
ce => shiftReg_ce,
a => shiftReg_addr,
q => shiftReg_q);
end rtl;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/lib_pkg_v1_0/cd7baeb2/hdl/src/vhdl/lib_pkg.vhd | 28 | 16351 | -- Processor Common Library Package
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lib_pkg.vhd
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- need conversion function to convert reals/integers to std logic vectors
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package lib_pkg is
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
type CHAR_TO_INT_TYPE is array (character) of integer;
-- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer;
-- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63);
-------------------------------------------------------------------------------
-- Function and Procedure Declarations
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer;
function min2 (num1, num2 : integer) return integer;
function Addr_Bits(x,y : std_logic_vector) return integer;
function clog2(x : positive) return natural;
function pad_power2 ( in_num : integer ) return integer;
function pad_4 ( in_num : integer ) return integer;
function log2(x : natural) return integer;
function pwr(x: integer; y: integer) return integer;
function String_To_Int(S : string) return integer;
function itoa (int : integer) return string;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- the RESET_ACTIVE constant should denote the logic level of an active reset
constant RESET_ACTIVE : std_logic := '1';
-- table containing strings representing hex characters for conversion to
-- integers
constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE :=
('0' => 0,
'1' => 1,
'2' => 2,
'3' => 3,
'4' => 4,
'5' => 5,
'6' => 6,
'7' => 7,
'8' => 8,
'9' => 9,
'A'|'a' => 10,
'B'|'b' => 11,
'C'|'c' => 12,
'D'|'d' => 13,
'E'|'e' => 14,
'F'|'f' => 15,
others => -1);
end lib_pkg;
package body lib_pkg is
-------------------------------------------------------------------------------
-- Function Definitions
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Function max2
--
-- This function returns the greater of two numbers.
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer is
begin
if num1 >= num2 then
return num1;
else
return num2;
end if;
end function max2;
-------------------------------------------------------------------------------
-- Function min2
--
-- This function returns the lesser of two numbers.
-------------------------------------------------------------------------------
function min2 (num1, num2 : integer) return integer is
begin
if num1 <= num2 then
return num1;
else
return num2;
end if;
end function min2;
-------------------------------------------------------------------------------
-- Function Addr_bits
--
-- function to convert an address range (base address and an upper address)
-- into the number of upper address bits needed for decoding a device
-- select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits(x,y : std_logic_vector) return integer is
variable addr_xor : std_logic_vector(x'range);
variable count : integer := 0;
begin
assert x'length = y'length and (x'ascending xnor y'ascending)
report "Addr_Bits: arguments are not the same type"
severity ERROR;
addr_xor := x xor y;
for i in x'range
loop
if addr_xor(i) = '1' then return count;
end if;
count := count + 1;
end loop;
return x'length;
end Addr_Bits;
--------------------------------------------------------------------------------
-- Function clog2 - returns the integer ceiling of the base 2 logarithm of x,
-- i.e., the least integer greater than or equal to log2(x).
--------------------------------------------------------------------------------
function clog2(x : positive) return natural is
variable r : natural := 0;
variable rp : natural := 1; -- rp tracks the value 2**r
begin
while rp < x loop -- Termination condition T: x <= 2**r
-- Loop invariant L: 2**(r-1) < x
r := r + 1;
if rp > integer'high - rp then exit; end if; -- If doubling rp overflows
-- the integer range, the doubled value would exceed x, so safe to exit.
rp := rp + rp;
end loop;
-- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r
return r; --
end clog2;
-------------------------------------------------------------------------------
-- Function pad_power2
--
-- This function returns the next power of 2 from the input number. If the
-- input number is a power of 2, this function returns the input number.
--
-- This function is used to round up the number of masters to the next power
-- of 2 if the number of masters is not already a power of 2.
--
-- Input argument 0, which is not a power of two, is accepted and returns 0.
-- Input arguments less than 0 are not allowed.
-------------------------------------------------------------------------------
--
function pad_power2 (in_num : integer ) return integer is
begin
if in_num = 0 then
return 0;
else
return 2**(clog2(in_num));
end if;
end pad_power2;
-------------------------------------------------------------------------------
-- Function pad_4
--
-- This function returns the next multiple of 4 from the input number. If the
-- input number is a multiple of 4, this function returns the input number.
--
-------------------------------------------------------------------------------
--
function pad_4 (in_num : integer ) return integer is
variable out_num : integer;
begin
out_num := (((in_num-1)/4) + 1)*4;
return out_num;
end pad_4;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
--
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------------------
-- Function pwr -- x**y
-- negative numbers not allowed for y
-------------------------------------------------------------------------------
function pwr(x: integer; y: integer) return integer is
variable z : integer := 1;
begin
if y = 0 then return 1;
else
for i in 1 to y loop
z := z * x;
end loop;
return z;
end if;
end function pwr;
-------------------------------------------------------------------------------
-- Function itoa
--
-- The itoa function converts an integer to a text string.
-- This function is required since `image doesn't work in Synplicity
-- Valid input range is -9999 to 9999
-------------------------------------------------------------------------------
--
function itoa (int : integer) return string is
type table is array (0 to 9) of string (1 to 1);
constant LUT : table :=
("0", "1", "2", "3", "4", "5", "6", "7", "8", "9");
variable str1 : string(1 to 1);
variable str2 : string(1 to 2);
variable str3 : string(1 to 3);
variable str4 : string(1 to 4);
variable str5 : string(1 to 5);
variable abs_int : natural;
variable thousands_place : natural;
variable hundreds_place : natural;
variable tens_place : natural;
variable ones_place : natural;
variable sign : integer;
begin
abs_int := abs(int);
if abs_int > int then sign := -1;
else sign := 1;
end if;
thousands_place := abs_int/1000;
hundreds_place := (abs_int-thousands_place*1000)/100;
tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10;
ones_place :=
(abs_int-thousands_place*1000-hundreds_place*100-tens_place*10);
if sign>0 then
if thousands_place>0 then
str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) &
LUT(ones_place);
return str4;
elsif hundreds_place>0 then
str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str3;
elsif tens_place>0 then
str2 := LUT(tens_place) & LUT(ones_place);
return str2;
else
str1 := LUT(ones_place);
return str1;
end if;
else
if thousands_place>0 then
str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) &
LUT(tens_place) & LUT(ones_place);
return str5;
elsif hundreds_place>0 then
str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str4;
elsif tens_place>0 then
str3 := "-" & LUT(tens_place) & LUT(ones_place);
return str3;
else
str2 := "-" & LUT(ones_place);
return str2;
end if;
end if;
end itoa;
-----------------------------------------------------------------------------
-- Function String_To_Int
--
-- Converts a string of hex character to an integer
-- accept negative numbers
-----------------------------------------------------------------------------
function String_To_Int(S : String) return Integer is
variable Result : integer := 0;
variable Temp : integer := S'Left;
variable Negative : integer := 1;
begin
for I in S'Left to S'Right loop
if (S(I) = '-') then
Temp := 0;
Negative := -1;
else
Temp := STRHEX_TO_INT_TABLE(S(I));
if (Temp = -1) then
assert false
report "Wrong value in String_To_Int conversion " & S(I)
severity error;
end if;
end if;
Result := Result * 16 + Temp;
end loop;
return (Negative * Result);
end String_To_Int;
end package body lib_pkg;
| gpl-3.0 |
mistryalok/Zedboard | learning/opencv_hls/xapp1167_vivado/sw/median/prj/solution1/syn/vhdl/image_filter_Mat2AXIvideo.vhd | 2 | 23118 | -- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity image_filter_Mat2AXIvideo is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0);
img_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_0_V_empty_n : IN STD_LOGIC;
img_data_stream_0_V_read : OUT STD_LOGIC;
img_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_1_V_empty_n : IN STD_LOGIC;
img_data_stream_1_V_read : OUT STD_LOGIC;
img_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0);
img_data_stream_2_V_empty_n : IN STD_LOGIC;
img_data_stream_2_V_read : OUT STD_LOGIC;
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) );
end;
architecture behav of image_filter_Mat2AXIvideo is
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (3 downto 0) := "0001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (3 downto 0) := "0010";
constant ap_ST_pp0_stg0_fsm_2 : STD_LOGIC_VECTOR (3 downto 0) := "0100";
constant ap_ST_st5_fsm_3 : STD_LOGIC_VECTOR (3 downto 0) := "1000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv12_0 : STD_LOGIC_VECTOR (11 downto 0) := "000000000000";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv4_F : STD_LOGIC_VECTOR (3 downto 0) := "1111";
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
constant ap_const_lv13_1FFF : STD_LOGIC_VECTOR (12 downto 0) := "1111111111111";
constant ap_const_lv12_1 : STD_LOGIC_VECTOR (11 downto 0) := "000000000001";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
signal ap_done_reg : STD_LOGIC := '0';
signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_23 : BOOLEAN;
signal p_3_reg_170 : STD_LOGIC_VECTOR (11 downto 0);
signal ap_sig_bdd_60 : BOOLEAN;
signal op2_assign_fu_186_p2 : STD_LOGIC_VECTOR (12 downto 0);
signal op2_assign_reg_267 : STD_LOGIC_VECTOR (12 downto 0);
signal exitcond3_fu_197_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_74 : BOOLEAN;
signal i_V_fu_202_p2 : STD_LOGIC_VECTOR (11 downto 0);
signal i_V_reg_276 : STD_LOGIC_VECTOR (11 downto 0);
signal exitcond4_fu_208_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal exitcond4_reg_281 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_pp0_stg0_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_85 : BOOLEAN;
signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0';
signal ap_sig_bdd_99 : BOOLEAN;
signal ap_sig_ioackin_OUTPUT_STREAM_TREADY : STD_LOGIC;
signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0';
signal j_V_fu_213_p2 : STD_LOGIC_VECTOR (11 downto 0);
signal axi_last_V_fu_223_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal axi_last_V_reg_290 : STD_LOGIC_VECTOR (0 downto 0);
signal p_s_reg_159 : STD_LOGIC_VECTOR (11 downto 0);
signal ap_sig_cseq_ST_st5_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_130 : BOOLEAN;
signal tmp_user_V_fu_96 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_reg_ioackin_OUTPUT_STREAM_TREADY : STD_LOGIC := '0';
signal tmp_cast_fu_182_p1 : STD_LOGIC_VECTOR (12 downto 0);
signal tmp_cast_35_fu_219_p1 : STD_LOGIC_VECTOR (12 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0);
begin
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- ap_done_reg assign process. --
ap_done_reg_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_done_reg <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_continue)) then
ap_done_reg <= ap_const_logic_0;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond3_fu_197_p2 = ap_const_lv1_0)))) then
ap_done_reg <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ioackin_OUTPUT_STREAM_TREADY assign process. --
ap_reg_ioackin_OUTPUT_STREAM_TREADY_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_0;
else
if ((((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then
ap_reg_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((ap_sig_bdd_99 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (ap_const_logic_1 = OUTPUT_STREAM_TREADY)))) then
ap_reg_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it0 assign process. --
ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it0 <= ap_const_logic_0;
else
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0)))) then
ap_reg_ppiten_pp0_it0 <= ap_const_logic_0;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond3_fu_197_p2 = ap_const_lv1_0))) then
ap_reg_ppiten_pp0_it0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it1 assign process. --
ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it1 <= ap_const_logic_0;
else
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (exitcond4_fu_208_p2 = ap_const_lv1_0))) then
ap_reg_ppiten_pp0_it1 <= ap_const_logic_1;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond3_fu_197_p2 = ap_const_lv1_0)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0))))) then
ap_reg_ppiten_pp0_it1 <= ap_const_logic_0;
end if;
end if;
end if;
end process;
-- p_3_reg_170 assign process. --
p_3_reg_170_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (exitcond4_fu_208_p2 = ap_const_lv1_0))) then
p_3_reg_170 <= j_V_fu_213_p2;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond3_fu_197_p2 = ap_const_lv1_0))) then
p_3_reg_170 <= ap_const_lv12_0;
end if;
end if;
end process;
-- p_s_reg_159 assign process. --
p_s_reg_159_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_3)) then
p_s_reg_159 <= i_V_reg_276;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_60))) then
p_s_reg_159 <= ap_const_lv12_0;
end if;
end if;
end process;
-- tmp_user_V_fu_96 assign process. --
tmp_user_V_fu_96_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then
tmp_user_V_fu_96 <= ap_const_lv1_0;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_60))) then
tmp_user_V_fu_96 <= ap_const_lv1_1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (exitcond4_fu_208_p2 = ap_const_lv1_0))) then
axi_last_V_reg_290 <= axi_last_V_fu_223_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then
exitcond4_reg_281 <= exitcond4_fu_208_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
i_V_reg_276 <= i_V_fu_202_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_60))) then
op2_assign_reg_267 <= op2_assign_fu_186_p2;
end if;
end if;
end process;
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_60, exitcond3_fu_197_p2, exitcond4_fu_208_p2, exitcond4_reg_281, ap_reg_ppiten_pp0_it0, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if (not(ap_sig_bdd_60)) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if (not((exitcond3_fu_197_p2 = ap_const_lv1_0))) then
ap_NS_fsm <= ap_ST_st1_fsm_0;
else
ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2;
end if;
when ap_ST_pp0_stg0_fsm_2 =>
if (not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0))))) then
ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2;
elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0)))) then
ap_NS_fsm <= ap_ST_st5_fsm_3;
else
ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2;
end if;
when ap_ST_st5_fsm_3 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when others =>
ap_NS_fsm <= "XXXX";
end case;
end process;
OUTPUT_STREAM_TDATA <= (((ap_const_lv8_FF & img_data_stream_2_V_dout) & img_data_stream_1_V_dout) & img_data_stream_0_V_dout);
OUTPUT_STREAM_TDEST <= ap_const_lv1_0;
OUTPUT_STREAM_TID <= ap_const_lv1_0;
OUTPUT_STREAM_TKEEP <= ap_const_lv4_F;
OUTPUT_STREAM_TLAST <= axi_last_V_reg_290;
OUTPUT_STREAM_TSTRB <= ap_const_lv4_0;
OUTPUT_STREAM_TUSER <= tmp_user_V_fu_96;
-- OUTPUT_STREAM_TVALID assign process. --
OUTPUT_STREAM_TVALID_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_reg_ppiten_pp0_it1, ap_reg_ioackin_OUTPUT_STREAM_TREADY)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((ap_sig_bdd_99 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (ap_const_logic_0 = ap_reg_ioackin_OUTPUT_STREAM_TREADY)))) then
OUTPUT_STREAM_TVALID <= ap_const_logic_1;
else
OUTPUT_STREAM_TVALID <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_done_reg, exitcond3_fu_197_p2, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_done_reg) or ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond3_fu_197_p2 = ap_const_lv1_0))))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(exitcond3_fu_197_p2, ap_sig_cseq_ST_st2_fsm_1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond3_fu_197_p2 = ap_const_lv1_0)))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
-- ap_sig_bdd_130 assign process. --
ap_sig_bdd_130_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_130 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_23 assign process. --
ap_sig_bdd_23_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_23 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_60 assign process. --
ap_sig_bdd_60_assign_proc : process(ap_start, ap_done_reg)
begin
ap_sig_bdd_60 <= ((ap_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1));
end process;
-- ap_sig_bdd_74 assign process. --
ap_sig_bdd_74_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_74 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_85 assign process. --
ap_sig_bdd_85_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_85 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_99 assign process. --
ap_sig_bdd_99_assign_proc : process(img_data_stream_0_V_empty_n, img_data_stream_1_V_empty_n, img_data_stream_2_V_empty_n, exitcond4_reg_281)
begin
ap_sig_bdd_99 <= (((img_data_stream_0_V_empty_n = ap_const_logic_0) and (exitcond4_reg_281 = ap_const_lv1_0)) or ((exitcond4_reg_281 = ap_const_lv1_0) and (img_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond4_reg_281 = ap_const_lv1_0) and (img_data_stream_2_V_empty_n = ap_const_logic_0)));
end process;
-- ap_sig_cseq_ST_pp0_stg0_fsm_2 assign process. --
ap_sig_cseq_ST_pp0_stg0_fsm_2_assign_proc : process(ap_sig_bdd_85)
begin
if (ap_sig_bdd_85) then
ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_23)
begin
if (ap_sig_bdd_23) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_74)
begin
if (ap_sig_bdd_74) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_3 assign process. --
ap_sig_cseq_ST_st5_fsm_3_assign_proc : process(ap_sig_bdd_130)
begin
if (ap_sig_bdd_130) then
ap_sig_cseq_ST_st5_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_ioackin_OUTPUT_STREAM_TREADY assign process. --
ap_sig_ioackin_OUTPUT_STREAM_TREADY_assign_proc : process(OUTPUT_STREAM_TREADY, ap_reg_ioackin_OUTPUT_STREAM_TREADY)
begin
if ((ap_const_logic_0 = ap_reg_ioackin_OUTPUT_STREAM_TREADY)) then
ap_sig_ioackin_OUTPUT_STREAM_TREADY <= OUTPUT_STREAM_TREADY;
else
ap_sig_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_1;
end if;
end process;
axi_last_V_fu_223_p2 <= "1" when (tmp_cast_35_fu_219_p1 = op2_assign_reg_267) else "0";
exitcond3_fu_197_p2 <= "1" when (p_s_reg_159 = img_rows_V_read) else "0";
exitcond4_fu_208_p2 <= "1" when (p_3_reg_170 = img_cols_V_read) else "0";
i_V_fu_202_p2 <= std_logic_vector(unsigned(p_s_reg_159) + unsigned(ap_const_lv12_1));
-- img_data_stream_0_V_read assign process. --
img_data_stream_0_V_read_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then
img_data_stream_0_V_read <= ap_const_logic_1;
else
img_data_stream_0_V_read <= ap_const_logic_0;
end if;
end process;
-- img_data_stream_1_V_read assign process. --
img_data_stream_1_V_read_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then
img_data_stream_1_V_read <= ap_const_logic_1;
else
img_data_stream_1_V_read <= ap_const_logic_0;
end if;
end process;
-- img_data_stream_2_V_read assign process. --
img_data_stream_2_V_read_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then
img_data_stream_2_V_read <= ap_const_logic_1;
else
img_data_stream_2_V_read <= ap_const_logic_0;
end if;
end process;
j_V_fu_213_p2 <= std_logic_vector(unsigned(p_3_reg_170) + unsigned(ap_const_lv12_1));
op2_assign_fu_186_p2 <= std_logic_vector(unsigned(tmp_cast_fu_182_p1) + unsigned(ap_const_lv13_1FFF));
tmp_cast_35_fu_219_p1 <= std_logic_vector(resize(unsigned(p_3_reg_170),13));
tmp_cast_fu_182_p1 <= std_logic_vector(resize(unsigned(img_cols_V_read),13));
end behav;
| gpl-3.0 |
freecores/usb_fpga_1_11 | examples/usb-fpga-1.15/1.15a/lightshow/fpga/lightshow.vhd | 36 | 2235 | library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity lightshow is
port(
led : out std_logic_vector(11 downto 0);
CLK : in std_logic -- 32 MHz
);
end lightshow;
--signal declaration
architecture RTL of lightshow is
type tPattern is array(11 downto 0) of integer range 0 to 15;
signal pattern1 : tPattern := (0, 1, 2, 3, 4, 5, 6, 5, 4, 3, 2, 1);
signal pattern2 : tPattern := (6, 5, 4, 3, 2, 1, 0, 1, 2, 3, 4, 5);
signal pattern3 : tPattern := (0, 1, 4, 9, 4, 1, 0, 0, 0, 0, 0, 0);
type tXlatTable1 is array(0 to 12) of integer range 0 to 1023;
constant xt1 : tXlatTable1 := (0, 0, 1, 4, 13, 31, 64, 118, 202, 324, 493, 722, 1023);
type tXlatTable2 is array(0 to 9) of integer range 0 to 255;
--constant xt2 : tXlatTable2 := (0, 1, 11, 38, 90, 175, 303, 481, 718, 1023);
constant xt2 : tXlatTable2 := (0, 0, 3, 9, 22, 44, 76, 120, 179, 255);
signal cp1 : std_logic_vector(22 downto 0);
signal cp2 : std_logic_vector(22 downto 0);
signal cp3 : std_logic_vector(22 downto 0);
signal d : std_logic_vector(16 downto 0);
begin
dpCLK: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( cp1 = conv_std_logic_vector(3000000,23) )
then
pattern1(10 downto 0) <= pattern1(11 downto 1);
pattern1(11) <= pattern1(0);
cp1 <= (others => '0');
else
cp1 <= cp1 + 1;
end if;
if ( cp2 = conv_std_logic_vector(2200000,23) )
then
pattern2(10 downto 0) <= pattern2(11 downto 1);
pattern2(11) <= pattern2(0);
cp2 <= (others => '0');
else
cp2 <= cp2 + 1;
end if;
if ( cp3 = conv_std_logic_vector(1500000,23) )
then
pattern3(11 downto 1) <= pattern3(10 downto 0);
pattern3(0) <= pattern3(11);
cp3 <= (others => '0');
else
cp3 <= cp3 + 1;
end if;
if ( d = conv_std_logic_vector(1278*64-1,17) )
then
d <= (others => '0');
else
d <= d + 1;
end if;
for i in 0 to 11 loop
if ( d(16 downto 6) < conv_std_logic_vector( xt1(pattern1(i) + pattern2(i)) + xt2(pattern3(i)) ,11) )
then
led(i) <= '1';
else
led(i) <= '0';
end if;
end loop;
end if;
end process dpCLK;
end RTL;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_cntrl_strm.vhd | 4 | 25017 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_cntrl_strm.vhd
-- Description: This entity is MM2S control stream logic
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
library lib_fifo_v1_0;
library lib_cdc_v1_0;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
use lib_pkg_v1_0.lib_pkg.max2;
-------------------------------------------------------------------------------
entity axi_sg_cntrl_strm is
generic(
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1;
-- Depth of DataMover command FIFO
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Control Stream Data Width
C_FAMILY : string := "virtex7"
-- Target FPGA Device Family
);
port (
-- Secondary clock / reset
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Primary clock / reset --
axi_prmry_aclk : in std_logic ; --
p_reset_n : in std_logic ; --
--
-- MM2S Error --
mm2s_stop : in std_logic ; --
--
-- Control Stream FIFO write signals (from axi_dma_mm2s_sg_if) --
cntrlstrm_fifo_wren : in std_logic ; --
cntrlstrm_fifo_din : in std_logic_vector --
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); --
cntrlstrm_fifo_full : out std_logic ; --
--
--
-- Memory Map to Stream Control Stream Interface --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);--
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic ; --
m_axis_mm2s_cntrl_tlast : out std_logic --
);
end axi_sg_cntrl_strm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_cntrl_strm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Number of words deep fifo needs to be
-- Only 5 app fields, but set to 8 so depth is a power of 2
constant CNTRL_FIFO_DEPTH : integer := max2(16,8 * C_PRMY_CMDFIFO_DEPTH);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant CNTRL_FIFO_CNT_WIDTH : integer := clog2(CNTRL_FIFO_DEPTH+1);
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- FIFO signals
signal cntrl_fifo_rden : std_logic := '0';
signal cntrl_fifo_empty : std_logic := '0';
signal cntrl_fifo_dout, follower_reg_mm2s : std_logic_vector
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0');
signal cntrl_fifo_dvalid: std_logic := '0';
signal cntrl_tdata : std_logic_vector
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0');
signal cntrl_tkeep : std_logic_vector
((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal follower_full_mm2s, follower_empty_mm2s : std_logic := '0';
signal cntrl_tvalid : std_logic := '0';
signal cntrl_tready : std_logic := '0';
signal cntrl_tlast : std_logic := '0';
signal sinit : std_logic := '0';
signal m_valid : std_logic := '0';
signal m_ready : std_logic := '0';
signal m_data : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0');
signal m_strb : std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_last : std_logic := '0';
signal skid_rst : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- All bytes always valid
cntrl_tkeep <= (others => '1');
-- Primary Clock is synchronous to Secondary Clock therfore
-- instantiate a sync fifo.
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
signal mm2s_stop_d1 : std_logic := '0';
signal mm2s_stop_re : std_logic := '0';
signal xfer_in_progress : std_logic := '0';
begin
-- reset on hard reset or mm2s stop
sinit <= not m_axi_sg_aresetn or mm2s_stop;
-- Generate Synchronous FIFO
I_CNTRL_FIFO : entity lib_fifo_v1_0.sync_fifo_fg
generic map (
C_FAMILY => C_FAMILY ,
C_MEMORY_TYPE => USE_LOGIC_FIFOS,
C_WRITE_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1,
C_WRITE_DEPTH => CNTRL_FIFO_DEPTH ,
C_READ_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1,
C_READ_DEPTH => CNTRL_FIFO_DEPTH ,
C_PORTS_DIFFER => 0,
C_HAS_DCOUNT => 0, --req for proper fifo operation
C_HAS_ALMOST_FULL => 0,
C_HAS_RD_ACK => 0,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_PRELOAD_REGS => 1,-- 1 = first word fall through
C_PRELOAD_LATENCY => 0 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map (
Clk => m_axi_sg_aclk ,
Sinit => sinit ,
Din => cntrlstrm_fifo_din ,
Wr_en => cntrlstrm_fifo_wren ,
Rd_en => cntrl_fifo_rden ,
Dout => cntrl_fifo_dout ,
Full => cntrlstrm_fifo_full ,
Empty => cntrl_fifo_empty ,
Almost_full => open ,
Data_count => open ,
Rd_ack => open ,
Rd_err => open ,
Wr_ack => open ,
Wr_err => open
);
-- I_UPDT_DATA_FIFO : entity proc_common_srl_fifo_v5_0.srl_fifo_f
-- generic map (
-- C_DWIDTH => 33 ,
-- C_DEPTH => 24 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => cntrlstrm_fifo_wren ,
-- Data_In => cntrlstrm_fifo_din ,
-- FIFO_Read => cntrl_fifo_rden ,
-- Data_Out => cntrl_fifo_dout ,
-- FIFO_Empty => cntrl_fifo_empty ,
-- FIFO_Full => cntrlstrm_fifo_full,
-- Addr => open
-- );
cntrl_fifo_rden <= follower_empty_mm2s and (not cntrl_fifo_empty);
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (cntrl_tready = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (cntrl_fifo_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (cntrl_fifo_rden = '1') then
follower_reg_mm2s <= cntrl_fifo_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
-----------------------------------------------------------------------
-- Control Stream OUT Side
-----------------------------------------------------------------------
-- Read if fifo is not empty and target is ready
-- cntrl_fifo_rden <= not cntrl_fifo_empty
-- and cntrl_tready;
-- Drive valid if fifo is not empty or in the middle
-- of transfer and stop issued.
cntrl_tvalid <= follower_full_mm2s --not cntrl_fifo_empty
or (xfer_in_progress and mm2s_stop_re);
-- Pass data out to control channel with MSB driving tlast
cntrl_tlast <= (cntrl_tvalid and follower_reg_mm2s(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH))
or (xfer_in_progress and mm2s_stop_re);
cntrl_tdata <= follower_reg_mm2s(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0);
-- Register stop to create re pulse for cleaning shutting down
-- stream out during soft reset.
REG_STOP : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_stop_d1 <= '0';
else
mm2s_stop_d1 <= mm2s_stop;
end if;
end if;
end process REG_STOP;
mm2s_stop_re <= mm2s_stop and not mm2s_stop_d1;
-------------------------------------------------------------
-- Flag transfer in progress. If xfer in progress then
-- a fake tlast and tvalid need to be asserted during soft
-- reset else no need of tlast.
-------------------------------------------------------------
TRANSFER_IN_PROGRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then
xfer_in_progress <= '0';
elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then
xfer_in_progress <= '1';
end if;
end if;
end process TRANSFER_IN_PROGRESS;
skid_rst <= not m_axi_sg_aresetn;
---------------------------------------------------------------------------
-- Buffer AXI Signals
---------------------------------------------------------------------------
-- CNTRL_SKID_BUF_I : entity axi_sg_v4_1.axi_sg_skid_buf
-- generic map(
-- C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH
-- )
-- port map(
-- -- System Ports
-- ACLK => m_axi_sg_aclk ,
-- ARST => skid_rst ,
-- skid_stop => mm2s_stop_re ,
-- -- Slave Side (Stream Data Input)
-- S_VALID => cntrl_tvalid ,
-- S_READY => cntrl_tready ,
-- S_Data => cntrl_tdata ,
-- S_STRB => cntrl_tkeep ,
-- S_Last => cntrl_tlast ,
-- -- Master Side (Stream Data Output
-- M_VALID => m_axis_mm2s_cntrl_tvalid ,
-- M_READY => m_axis_mm2s_cntrl_tready ,
-- M_Data => m_axis_mm2s_cntrl_tdata ,
-- M_STRB => m_axis_mm2s_cntrl_tkeep ,
-- M_Last => m_axis_mm2s_cntrl_tlast
-- );
m_axis_mm2s_cntrl_tvalid <= cntrl_tvalid;
cntrl_tready <= m_axis_mm2s_cntrl_tready;
m_axis_mm2s_cntrl_tdata <= cntrl_tdata;
m_axis_mm2s_cntrl_tkeep <= cntrl_tkeep;
m_axis_mm2s_cntrl_tlast <= cntrl_tlast;
end generate GEN_SYNC_FIFO;
-- Primary Clock is asynchronous to Secondary Clock therfore
-- instantiate an async fifo.
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
ATTRIBUTE async_reg : STRING;
signal mm2s_stop_reg : std_logic := '0'; -- CR605883
signal p_mm2s_stop_d1_cdc_tig : std_logic := '0';
signal p_mm2s_stop_d2 : std_logic := '0';
signal p_mm2s_stop_d3 : std_logic := '0';
signal p_mm2s_stop_re : std_logic := '0';
signal xfer_in_progress : std_logic := '0';
-- ATTRIBUTE async_reg OF p_mm2s_stop_d1_cdc_tig : SIGNAL IS "true";
-- ATTRIBUTE async_reg OF p_mm2s_stop_d2 : SIGNAL IS "true";
begin
-- reset on hard reset, soft reset, or mm2s error
sinit <= not p_reset_n or p_mm2s_stop_d2;
-- Generate Asynchronous FIFO
I_CNTRL_STRM_FIFO : entity axi_sg_v4_1.axi_sg_afifo_autord
generic map(
C_DWIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1 ,
-- Temp work around for issue in async fifo model
C_DEPTH => CNTRL_FIFO_DEPTH-1 ,
C_CNT_WIDTH => CNTRL_FIFO_CNT_WIDTH ,
-- C_DEPTH => 31 ,
-- C_CNT_WIDTH => 5 ,
C_USE_BLKMEM => USE_LOGIC_FIFOS ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
AFIFO_Ainit => sinit ,
AFIFO_Wr_clk => m_axi_sg_aclk ,
AFIFO_Wr_en => cntrlstrm_fifo_wren ,
AFIFO_Din => cntrlstrm_fifo_din ,
AFIFO_Rd_clk => axi_prmry_aclk ,
AFIFO_Rd_en => cntrl_fifo_rden ,
AFIFO_Clr_Rd_Data_Valid => '0' ,
-- Outputs
AFIFO_DValid => cntrl_fifo_dvalid ,
AFIFO_Dout => cntrl_fifo_dout ,
AFIFO_Full => cntrlstrm_fifo_full ,
AFIFO_Empty => cntrl_fifo_empty ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
-----------------------------------------------------------------------
-- Control Stream OUT Side
-----------------------------------------------------------------------
-- Read if fifo is not empty and target is ready
cntrl_fifo_rden <= not cntrl_fifo_empty -- fifo has data
and cntrl_tready; -- target ready
-- Drive valid if fifo is not empty or in the middle
-- of transfer and stop issued.
cntrl_tvalid <= cntrl_fifo_dvalid
or (xfer_in_progress and p_mm2s_stop_re);
-- Pass data out to control channel with MSB driving tlast
cntrl_tlast <= cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH);
-- cntrl_tlast <= (cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH))
-- or (xfer_in_progress and p_mm2s_stop_re);
cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0);
-- CR605883
-- Register stop to provide pure FF output for synchronizer
REG_STOP : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_stop_reg <= '0';
else
mm2s_stop_reg <= mm2s_stop;
end if;
end if;
end process REG_STOP;
-- Double/triple register mm2s error into primary clock domain
-- Triple register to give two versions with min double reg for use
-- in rising edge detection.
IMP_SYNC_FLOP : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => 2
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => mm2s_stop_reg,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => p_mm2s_stop_d2,
scndry_vect_out => open
);
REG_ERR2PRMRY : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0')then
-- p_mm2s_stop_d1_cdc_tig <= '0';
-- p_mm2s_stop_d2 <= '0';
p_mm2s_stop_d3 <= '0';
else
--p_mm2s_stop_d1_cdc_tig <= mm2s_stop;
-- p_mm2s_stop_d1_cdc_tig <= mm2s_stop_reg;
-- p_mm2s_stop_d2 <= p_mm2s_stop_d1_cdc_tig;
p_mm2s_stop_d3 <= p_mm2s_stop_d2;
end if;
end if;
end process REG_ERR2PRMRY;
-- Rising edge pulse for use in shutting down stream output
p_mm2s_stop_re <= p_mm2s_stop_d2 and not p_mm2s_stop_d3;
-------------------------------------------------------------
-- Flag transfer in progress. If xfer in progress then
-- a fake tlast needs to be asserted during soft reset.
-- else no need of tlast.
-------------------------------------------------------------
TRANSFER_IN_PROGRESS : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then
xfer_in_progress <= '0';
elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then
xfer_in_progress <= '1';
end if;
end if;
end process TRANSFER_IN_PROGRESS;
skid_rst <= not p_reset_n;
CNTRL_SKID_BUF_I : entity axi_sg_v4_1.axi_sg_skid_buf
generic map(
C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH
)
port map(
-- System Ports
ACLK => axi_prmry_aclk ,
ARST => skid_rst ,
skid_stop => p_mm2s_stop_re ,
-- Slave Side (Stream Data Input)
S_VALID => cntrl_tvalid ,
S_READY => cntrl_tready ,
S_Data => cntrl_tdata ,
S_STRB => cntrl_tkeep ,
S_Last => cntrl_tlast ,
-- Master Side (Stream Data Output
M_VALID => m_axis_mm2s_cntrl_tvalid ,
M_READY => m_axis_mm2s_cntrl_tready ,
M_Data => m_axis_mm2s_cntrl_tdata ,
M_STRB => m_axis_mm2s_cntrl_tkeep ,
M_Last => m_axis_mm2s_cntrl_tlast
);
end generate GEN_ASYNC_FIFO;
end implementation;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_mm2s_basic_wrap.vhd | 5 | 43235 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_mm2s_basic_wrap.vhd
--
-- Description:
-- This file implements the DataMover MM2S Basic Wrapper.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- axi_sg Library Modules
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_reset;
use axi_sg_v4_1.axi_sg_cmd_status;
use axi_sg_v4_1.axi_sg_scc;
use axi_sg_v4_1.axi_sg_addr_cntl;
use axi_sg_v4_1.axi_sg_rddata_cntl;
use axi_sg_v4_1.axi_sg_rd_status_cntl;
use axi_sg_v4_1.axi_sg_skid_buf;
-------------------------------------------------------------------------------
entity axi_sg_mm2s_basic_wrap is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_MM2S_ARID : Integer range 0 to 255 := 8;
-- Specifies the constant value to output on
-- the ARID output port
C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1;
C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0;
C_TAG_WIDTH : Integer range 1 to 8 := 4 ;
-- Width of the TAG field
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock and Reset inputs -----------------------
mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
sg_ctl : in std_logic_vector (7 downto 0);
-- MM2S Halt request input control ---------------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
--------------------------------------------------------------
-- Error discrete output -------------------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------------------
-- Optional MM2S Command and Status Clock and Reset ----------
-- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 --
mm2s_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
mm2s_cmd_wvalid : in std_logic; --
mm2s_cmd_wready : out std_logic; --
mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------
mm2s_sts_wvalid : out std_logic; --
mm2s_sts_wready : in std_logic; --
mm2s_sts_wdata : out std_logic_vector(7 downto 0); --
mm2s_sts_wstrb : out std_logic_vector(0 downto 0); --
mm2s_sts_wlast : out std_logic; --
-------------------------------------------------------------
-- Address Posting contols ----------------------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
-------------------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------
mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------
mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); --
mm2s_rresp : In std_logic_vector(1 downto 0); --
mm2s_rlast : In std_logic; --
mm2s_rvalid : In std_logic; --
mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -----------------------------------------------
mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); --
mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); --
mm2s_strm_wlast : Out std_logic; --
mm2s_strm_wvalid : Out std_logic; --
mm2s_strm_wready : In std_logic; --
--------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) --
-------------------------------------------------------------------
);
end entity axi_sg_mm2s_basic_wrap;
architecture implementation of axi_sg_mm2s_basic_wrap is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_calc_rdmux_sel_bits
--
-- Function Description:
-- This function calculates the number of address bits needed for
-- the Read data mux select control.
--
-------------------------------------------------------------------
function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is
Variable num_addr_bits_needed : Integer range 1 to 5 := 1;
begin
case mmap_dwidth_value is
when 32 =>
num_addr_bits_needed := 2;
-- coverage off
when 64 =>
num_addr_bits_needed := 3;
when 128 =>
num_addr_bits_needed := 4;
when others => -- 256 bits
num_addr_bits_needed := 5;
-- coverage on
end case;
Return (num_addr_bits_needed);
end function func_calc_rdmux_sel_bits;
-- Constant Declarations ----------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant INCLUDE_MM2S : integer range 0 to 2 := 2;
Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID;
Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH;
Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH;
Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH;
Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH;
Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32);
Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S
Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1;
Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1;
Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0;
Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0;
Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2;
Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16;
Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH;
Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH;
Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH);
Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
-- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0;
-- Signal Declarations ------------------------------------------
signal sig_cmd_stat_rst_user : std_logic := '0';
signal sig_cmd_stat_rst_int : std_logic := '0';
signal sig_mmap_rst : std_logic := '0';
signal sig_stream_rst : std_logic := '0';
signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0);
signal sig_mm2s_cache_data : std_logic_vector(7 downto 0);
signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2mstr_cmd_valid : std_logic := '0';
signal sig_mst2cmd_cmd_ready : std_logic := '0';
signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_cmd_cmplt : std_logic := '0';
signal sig_mstr2addr_calc_error : std_logic := '0';
signal sig_mstr2addr_cmd_valid : std_logic := '0';
signal sig_addr2mstr_cmd_ready : std_logic := '0';
signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_drr : std_logic := '0';
signal sig_mstr2data_eof : std_logic := '0';
signal sig_mstr2data_sequential : std_logic := '0';
signal sig_mstr2data_calc_error : std_logic := '0';
signal sig_mstr2data_cmd_cmplt : std_logic := '0';
signal sig_mstr2data_cmd_valid : std_logic := '0';
signal sig_data2mstr_cmd_ready : std_logic := '0';
signal sig_addr2data_addr_posted : std_logic := '0';
signal sig_data2all_dcntlr_halted : std_logic := '0';
signal sig_addr2rsc_calc_error : std_logic := '0';
signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0';
signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_data2rsc_calc_err : std_logic := '0';
signal sig_data2rsc_okay : std_logic := '0';
signal sig_data2rsc_decerr : std_logic := '0';
signal sig_data2rsc_slverr : std_logic := '0';
signal sig_data2rsc_cmd_cmplt : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_data2rsc_valid : std_logic := '0';
signal sig_calc2dm_calc_err : std_logic := '0';
signal sig_data2skid_wvalid : std_logic := '0';
signal sig_data2skid_wready : std_logic := '0';
signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_data2skid_wlast : std_logic := '0';
signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0');
signal sig_stat2rsc_status_ready : std_logic := '0';
signal sig_rsc2stat_status_valid : std_logic := '0';
signal sig_rsc2mstr_halt_pipe : std_logic := '0';
signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_rst2all_stop_request : std_logic := '0';
signal sig_data2rst_stop_cmplt : std_logic := '0';
signal sig_addr2rst_stop_cmplt : std_logic := '0';
signal sig_data2addr_stop_req : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0');
signal mm2s_arcache_int : std_logic_vector (3 downto 0);
begin --(architecture implementation)
-- Debug Support ------------------------------------------
mm2s_dbg_data <= sig_dbg_data_mux_out;
-- Note that only the mm2s_dbg_sel(0) is used at this time
sig_dbg_data_mux_out <= sig_dbg_data_1
When (mm2s_dbg_sel(0) = '1')
else sig_dbg_data_0 ;
sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type
sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ;
sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ;
sig_dbg_data_1(2) <= sig_mmap_rst ;
sig_dbg_data_1(3) <= sig_stream_rst ;
sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ;
sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ;
sig_dbg_data_1(6) <= sig_stat2rsc_status_ready;
sig_dbg_data_1(7) <= sig_rsc2stat_status_valid;
sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer
sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field
sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error
sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error
sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error
sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY
sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake
sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake
-- Spare bits in debug1
sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits
GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate
begin
-- Cache signal tie-off
mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters
mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters
sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96);
end generate GEN_CACHE;
GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate
begin
-- Cache signal tie-off
mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register
mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters
sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96);
end generate GEN_CACHE2;
-- Cache signal tie-off
-- Internal error output discrete ------------------------------
mm2s_err <= sig_calc2dm_calc_err;
-- Rip the used portion of the Command Interface Command Data
-- and throw away the padding
sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_RESET
--
-- Description:
-- Reset Block
--
------------------------------------------------------------
I_RESET : entity axi_sg_v4_1.axi_sg_reset
generic map (
C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC
)
port map (
primary_aclk => mm2s_aclk ,
primary_aresetn => mm2s_aresetn ,
secondary_awclk => mm2s_cmdsts_awclk ,
secondary_aresetn => mm2s_cmdsts_aresetn ,
halt_req => mm2s_halt ,
halt_cmplt => mm2s_halt_cmplt ,
flush_stop_request => sig_rst2all_stop_request ,
data_cntlr_stopped => sig_data2rst_stop_cmplt ,
addr_cntlr_stopped => sig_addr2rst_stop_cmplt ,
aux1_stopped => LOGIC_HIGH ,
aux2_stopped => LOGIC_HIGH ,
cmd_stat_rst_user => sig_cmd_stat_rst_user ,
cmd_stat_rst_int => sig_cmd_stat_rst_int ,
mmap_rst => sig_mmap_rst ,
stream_rst => sig_stream_rst
);
------------------------------------------------------------
-- Instance: I_CMD_STATUS
--
-- Description:
-- Command and Status Interface Block
--
------------------------------------------------------------
I_CMD_STATUS : entity axi_sg_v4_1.axi_sg_cmd_status
generic map (
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO ,
C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH ,
C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ,
C_CMD_WIDTH => MM2S_CMD_WIDTH ,
C_STS_WIDTH => MM2S_STS_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => mm2s_aclk ,
secondary_awclk => mm2s_cmdsts_awclk ,
user_reset => sig_cmd_stat_rst_user ,
internal_reset => sig_cmd_stat_rst_int ,
cmd_wvalid => mm2s_cmd_wvalid ,
cmd_wready => mm2s_cmd_wready ,
cmd_wdata => sig_mm2s_cmd_wdata ,
cache_data => sig_mm2s_cache_data ,
sts_wvalid => mm2s_sts_wvalid ,
sts_wready => mm2s_sts_wready ,
sts_wdata => mm2s_sts_wdata ,
sts_wstrb => mm2s_sts_wstrb ,
sts_wlast => mm2s_sts_wlast ,
cmd2mstr_command => sig_cmd2mstr_command ,
mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid ,
cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2stat_status => sig_rsc2stat_status ,
stat2mstr_status_ready => sig_stat2rsc_status_ready ,
mst2stst_status_valid => sig_rsc2stat_status_valid
);
------------------------------------------------------------
-- Instance: I_RD_STATUS_CNTLR
--
-- Description:
-- Read Status Controller Block
--
------------------------------------------------------------
I_RD_STATUS_CNTLR : entity axi_sg_v4_1.axi_sg_rd_status_cntl
generic map (
C_STS_WIDTH => MM2S_STS_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
calc2rsc_calc_error => sig_calc2dm_calc_err ,
addr2rsc_calc_error => sig_addr2rsc_calc_error ,
addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty ,
data2rsc_tag => sig_data2rsc_tag ,
data2rsc_calc_error => sig_data2rsc_calc_err ,
data2rsc_okay => sig_data2rsc_okay ,
data2rsc_decerr => sig_data2rsc_decerr ,
data2rsc_slverr => sig_data2rsc_slverr ,
data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt ,
rsc2data_ready => sig_rsc2data_ready ,
data2rsc_valid => sig_data2rsc_valid ,
rsc2stat_status => sig_rsc2stat_status ,
stat2rsc_status_ready => sig_stat2rsc_status_ready ,
rsc2stat_status_valid => sig_rsc2stat_status_valid ,
rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe
);
------------------------------------------------------------
-- Instance: I_MSTR_SCC
--
-- Description:
-- Simple Command Calculator Block
--
------------------------------------------------------------
I_MSTR_SCC : entity axi_sg_v4_1.axi_sg_scc
generic map (
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
C_MAX_BURST_LEN => C_MM2S_BURST_SIZE ,
C_CMD_WIDTH => MM2S_CMD_WIDTH ,
C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD ,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
-- Clock input
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
cmd2mstr_command => sig_cmd2mstr_command ,
cache2mstr_command => sig_cache2mstr_command ,
cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid ,
mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_sof => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
calc_error => sig_calc2dm_calc_err
);
------------------------------------------------------------
-- Instance: I_ADDR_CNTL
--
-- Description:
-- Address Controller Block
--
------------------------------------------------------------
I_ADDR_CNTL : entity axi_sg_v4_1.axi_sg_addr_cntl
generic map (
-- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA ,
--C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH ,
C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH ,
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_ADDR_ID => MM2S_ARID_VALUE ,
C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
addr2axi_aid => mm2s_arid ,
addr2axi_aaddr => mm2s_araddr ,
addr2axi_alen => mm2s_arlen ,
addr2axi_asize => mm2s_arsize ,
addr2axi_aburst => mm2s_arburst ,
addr2axi_aprot => mm2s_arprot ,
addr2axi_avalid => mm2s_arvalid ,
addr2axi_acache => open ,
addr2axi_auser => open ,
axi2addr_aready => mm2s_arready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_cache => sig_mstr2addr_cache ,
mstr2addr_user => sig_mstr2addr_user ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt ,
allow_addr_req => mm2s_allow_addr_req ,
addr_req_posted => mm2s_addr_req_posted ,
addr2data_addr_posted => sig_addr2data_addr_posted ,
data2addr_data_rdy => LOGIC_LOW ,
data2addr_stop_req => sig_data2addr_stop_req ,
addr2stat_calc_error => sig_addr2rsc_calc_error ,
addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty
);
------------------------------------------------------------
-- Instance: I_RD_DATA_CNTL
--
-- Description:
-- Read Data Controller Block
--
------------------------------------------------------------
I_RD_DATA_CNTL : entity axi_sg_v4_1.axi_sg_rddata_cntl
generic map (
C_INCLUDE_DRE => INCLUDE_MM2S_DRE ,
C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ,
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH ,
C_MMAP_DWIDTH => MM2S_MDATA_WIDTH ,
C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
-- Clock and Reset -----------------------------------
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
-- Soft Shutdown Interface -----------------------------
rst2data_stop_request => sig_rst2all_stop_request ,
data2addr_stop_req => sig_data2addr_stop_req ,
data2rst_stop_cmplt => sig_data2rst_stop_cmplt ,
-- External Address Pipelining Contol support
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
-- AXI Read Data Channel I/O -------------------------------
mm2s_rdata => mm2s_rdata ,
mm2s_rresp => mm2s_rresp ,
mm2s_rlast => mm2s_rlast ,
mm2s_rvalid => mm2s_rvalid ,
mm2s_rready => mm2s_rready ,
-- MM2S DRE Control -----------------------------------
mm2s_dre_new_align => open ,
mm2s_dre_use_autodest => open ,
mm2s_dre_src_align => open ,
mm2s_dre_dest_align => open ,
mm2s_dre_flush => open ,
-- AXI Master Stream -----------------------------------
mm2s_strm_wvalid => mm2s_strm_wvalid ,
mm2s_strm_wready => mm2s_strm_wready ,
mm2s_strm_wdata => mm2s_strm_wdata ,
mm2s_strm_wstrb => mm2s_strm_wstrb ,
mm2s_strm_wlast => mm2s_strm_wlast ,
-- MM2S Store and Forward Supplimental Control -----------
mm2s_data2sf_cmd_cmplt => open ,
-- Command Calculator Interface --------------------------
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_drr => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_sequential => LOGIC_LOW ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
mstr2data_dre_src_align => DRE_ALIGN_ZEROS ,
mstr2data_dre_dest_align => DRE_ALIGN_ZEROS ,
-- Address Controller Interface --------------------------
addr2data_addr_posted => sig_addr2data_addr_posted ,
-- Data Controller Halted Status
data2all_dcntlr_halted => sig_data2all_dcntlr_halted,
-- Output Stream Skid Buffer Halt control
data2skid_halt => sig_data2skid_halt ,
-- Read Status Controller Interface --------------------------
data2rsc_tag => sig_data2rsc_tag ,
data2rsc_calc_err => sig_data2rsc_calc_err ,
data2rsc_okay => sig_data2rsc_okay ,
data2rsc_decerr => sig_data2rsc_decerr ,
data2rsc_slverr => sig_data2rsc_slverr ,
data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt ,
rsc2data_ready => sig_rsc2data_ready ,
data2rsc_valid => sig_data2rsc_valid ,
rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe
);
------------------------------------------------------------
-- Instance: I_MM2S_SKID_BUF
--
-- Description:
-- Instance for the MM2S Skid Buffer which provides for
-- registerd Master Stream outputs and supports bi-dir
-- throttling.
--
------------------------------------------------------------
-- I_MM2S_SKID_BUF : entity axi_sg_v4_1.axi_sg_skid_buf
-- generic map (
--
-- C_WDATA_WIDTH => MM2S_SDATA_WIDTH
--
-- )
-- port map (
--
-- -- System Ports
-- aclk => mm2s_aclk ,
-- arst => sig_stream_rst ,
--
-- -- Shutdown control (assert for 1 clk pulse)
-- skid_stop => sig_data2skid_halt ,
--
-- -- Slave Side (Stream Data Input)
-- s_valid => sig_data2skid_wvalid ,
-- s_ready => sig_data2skid_wready ,
-- s_data => sig_data2skid_wdata ,
-- s_strb => sig_data2skid_wstrb ,
-- s_last => sig_data2skid_wlast ,
--
-- -- Master Side (Stream Data Output
-- m_valid => mm2s_strm_wvalid ,
-- m_ready => mm2s_strm_wready ,
-- m_data => mm2s_strm_wdata ,
-- m_strb => mm2s_strm_wstrb ,
-- m_last => mm2s_strm_wlast
--
-- );
--
end implementation;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_scc_wr.vhd | 13 | 44376 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_scc_wr.vhd
--
-- Description:
-- This file implements the DataMover Lite Master Simple Command Calculator (SCC).
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_sg_scc_wr is
generic (
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS address bus used for
-- Muxing/Demuxing data to/from a wider AXI4 data bus
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the width of the AXi Address Channel
C_STREAM_DWIDTH : Integer range 8 to 64 := 32;
-- Sets the width of the Native Data width that
-- is being supported by the PCC
C_MAX_BURST_LEN : Integer range 16 to 64 := 16;
-- Indicates the max allowed burst length to use for
-- AXI4 transfer calculations
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Tag field in the input command
C_ENABLE_EXTRA_FIELD : Integer range 0 to 1 := 1
);
port (
-- Clock and Reset inputs -------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
---------------------------------------------------------------
-- Command Input Interface ---------------------------------------------------------
--
cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cache2mstr_command : in std_logic_vector(7 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cmd2mstr_cmd_valid : in std_logic; --
-- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry --
--
mst2cmd_cmd_ready : out std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
------------------------------------------------------------------------------------
-- Address Channel Controller Interface --------------------------------------------
--
mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : out std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
--
mstr2addr_size : out std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : out std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_user : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cmd_cmplt : out std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calcualtion error --
--
mstr2addr_cmd_valid : out std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : In std_logic; --
-- Indication from the Address Channel Controller that the --
-- command is being accepted --
------------------------------------------------------------------------------------
-- Data Channel Controller Interface ----------------------------------------------
--
mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is 8 or 16 bits). --
--
mstr2data_len : out std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the data transfer --
--
mstr2data_last_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the data transfer --
--
mstr2data_sof : out std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : out std_logic; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2data_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : out std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : out std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : In std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address --
-- Channel --
--
calc_error : Out std_logic --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
------------------------------------------------------------------------------------
);
end entity axi_sg_scc_wr;
architecture implementation of axi_sg_scc_wr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_slice_width
--
-- Function Description:
-- Calculates the bits to rip from the Command BTT field to calculate
-- the LEN value output to the AXI Address Channel.
--
-------------------------------------------------------------------
function funct_get_slice_width (max_burst_len : integer) return integer is
Variable temp_slice_width : Integer := 0;
begin
case max_burst_len is
-- coverage off
when 64 =>
temp_slice_width := 7;
when 32 =>
temp_slice_width := 6;
when others => -- assume 16 dbeats is max LEN
temp_slice_width := 5;
-- coverage on
end case;
Return (temp_slice_width);
end function funct_get_slice_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_residue_width
--
-- Function Description:
-- Calculates the number of Least significant bits of the BTT field
-- that are unused for the LEN calculation
--
-------------------------------------------------------------------
function funct_get_btt_ls_unused (transfer_width : integer) return integer is
Variable temp_btt_ls_unused : Integer := 0; -- 8-bit stream
begin
case transfer_width is
-- coverage off
when 64 =>
temp_btt_ls_unused := 3;
-- coverage on
when 32 =>
temp_btt_ls_unused := 2;
-- coverage off
when 16 =>
temp_btt_ls_unused := 1;
when others => -- assume 8-bit transfers
temp_btt_ls_unused := 0;
-- coverage on
end case;
Return (temp_btt_ls_unused);
end function funct_get_btt_ls_unused;
-- Constant Declarations ----------------------------------------
Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address)
Constant CMD_TYPE_INDEX : integer := 23;
Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH;
Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1;
Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH;
Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH;
Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1;
Constant AXI_BURST_FIXED : std_logic_vector(1 downto 0) := "00";
Constant AXI_BURST_INCR : std_logic_vector(1 downto 0) := "01";
Constant AXI_BURST_WRAP : std_logic_vector(1 downto 0) := "10";
Constant AXI_BURST_RESVD : std_logic_vector(1 downto 0) := "11";
Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000";
Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001";
Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010";
Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011";
Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100";
Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101";
Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110";
Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111";
Constant BTT_SLICE_SIZE : integer := funct_get_slice_width(C_MAX_BURST_LEN);
Constant MAX_BURST_LEN_US : unsigned(BTT_SLICE_SIZE-1 downto 0) :=
TO_UNSIGNED(C_MAX_BURST_LEN-1, BTT_SLICE_SIZE);
Constant BTT_LS_UNUSED_WIDTH : integer := funct_get_btt_ls_unused(C_STREAM_DWIDTH);
Constant CMD_BTT_WIDTH : integer := BTT_SLICE_SIZE+BTT_LS_UNUSED_WIDTH;
Constant CMD_BTT_LS_INDEX : integer := 0;
Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1;
Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant BTT_RESIDUE_ZEROS : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
Constant BTT_SLICE_ONE : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(1, BTT_SLICE_SIZE);
Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; -- Number of bytes in the Stream
Constant LEN_WIDTH : integer := 8;
-- Type Declarations --------------------------------------------
type SCC_SM_STATE_TYPE is (
INIT,
POP_RECOVER,
GET_NXT_CMD,
CHK_AND_CALC,
PUSH_TO_AXI,
ERROR_TRAP
);
-- Signal Declarations --------------------------------------------
signal sm_scc_state : SCC_SM_STATE_TYPE := INIT;
signal sm_scc_state_ns : SCC_SM_STATE_TYPE := INIT;
signal sm_pop_input_cmd : std_logic := '0';
signal sm_pop_input_cmd_ns : std_logic := '0';
signal sm_set_push2axi : std_logic := '0';
signal sm_set_push2axi_ns : std_logic := '0';
signal sm_set_error : std_logic := '0';
signal sm_set_error_ns : std_logic := '0';
Signal sm_scc_sm_ready : std_logic := '0';
Signal sm_scc_sm_ready_ns : std_logic := '0';
signal sig_cmd2data_valid : std_logic := '0';
signal sig_clr_cmd2data_valid : std_logic := '0';
signal sig_cmd2addr_valid : std_logic := '0';
signal sig_cmd2addr_valid1 : std_logic := '0';
signal sig_clr_cmd2addr_valid : std_logic := '0';
signal sig_addr_data_rdy_pending : std_logic := '0';
signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_load_input_cmd : std_logic := '0';
signal sig_cmd_reg_empty : std_logic := '0';
signal sig_cmd_reg_full : std_logic := '0';
signal sig_cmd_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_type_reg : std_logic := '0';
signal sig_cmd_burst_reg : std_logic_vector (1 downto 0) := "00";
signal sig_cmd_tag_reg : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_data_rdy4cmd : std_logic := '0';
signal sig_btt_raw : std_logic := '0';
signal sig_btt_is_zero : std_logic := '0';
signal sig_btt_is_zero_reg : std_logic := '0';
signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_next_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_strt_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_next_end_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
begin --(architecture implementation)
-- Assign calculation error output
calc_error <= sm_set_error;
-- Assign the ready output to the Command FIFO
mst2cmd_cmd_ready <= sig_cmd_reg_empty and addr2mstr_cmd_ready; --sm_scc_sm_ready;
-- Assign the Address Channel Controller Qualifiers
mstr2addr_tag <= sig_next_tag ;
mstr2addr_addr <= sig_next_addr ;
mstr2addr_len <= sig_next_len ;
mstr2addr_size <= sig_next_size ;
mstr2addr_burst <= sig_cmd_burst_reg;
mstr2addr_cache <= sig_next_cache;
mstr2addr_user <= sig_next_user;
mstr2addr_cmd_valid <= sig_cmd2addr_valid1;
mstr2addr_calc_error <= sm_set_error ;
mstr2addr_cmd_cmplt <= '1' ; -- Lite mode is always 1
-- Assign the Data Channel Controller Qualifiers
mstr2data_tag <= sig_next_tag ;
mstr2data_saddr_lsb <= sig_cmd_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0);
mstr2data_len <= sig_next_len ;
mstr2data_strt_strb <= (others => '1'); --sig_next_strt_strb; -- always F
mstr2data_last_strb <= (others => '1'); --sig_next_end_strb; -- always F
mstr2data_sof <= '1'; -- Lite mode is always 1 cmd
mstr2data_eof <= '1'; -- Lite mode is always 1 cmd
mstr2data_cmd_cmplt <= '1'; -- Lite mode is always 1 cmd
-- mstr2data_cmd_valid <= sig_cmd2data_valid;
mstr2data_cmd_valid <= sig_cmd2addr_valid1; --sig_cmd2data_valid;
mstr2data_calc_error <= sm_set_error;
-- Internal logic ------------------------------
sig_addr_data_rdy_pending <= sig_cmd2addr_valid or
sig_cmd2data_valid;
sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready;
sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready;
sig_load_input_cmd <= cmd2mstr_cmd_valid and
sig_cmd_reg_empty;-- and
-- sm_scc_sm_ready;
sig_next_tag <= sig_cmd_tag_reg;
sig_next_addr <= sig_cmd_addr_reg;
sig_addr_data_rdy4cmd <= addr2mstr_cmd_ready and data2mstr_cmd_ready;
sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX);
sig_btt_is_zero <= '1'
when (sig_cmd_btt_slice = BTT_ZEROS)
Else '0';
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_RESIDUE_BITS
--
-- If Generate Description:
--
--
--
------------------------------------------------------------
GEN_NO_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH = 0) generate
-- signals
signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
begin
-- LEN Calculation logic ------------------------------------------
sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH));
sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto 0));
sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE
when sig_btt_is_zero_reg = '0'
else (others => '0'); -- clip at zero
-- If most significant bit of BTT set then limit to
-- Max Burst Len, else rip it from the BTT value,
-- otheriwse subtract 1 from the BTT ripped value
-- 1 from the BTT ripped value
sig_len2use <= MAX_BURST_LEN_US
When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1')
Else sig_len_btt_slice_minus_1;
end generate GEN_NO_RESIDUE_BITS;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_HAS_RESIDUE_BITS
--
-- If Generate Description:
--
--
--
------------------------------------------------------------
GEN_HAS_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH > 0) generate
-- signals
signal sig_btt_len_residue : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
begin
-- LEN Calculation logic ------------------------------------------
WR_EXTRA_FIELDS : if (C_ENABLE_EXTRA_FIELD = 1) generate
sig_next_len <= "00000000" when sig_cmd_tag_reg (0) = '1'
else "00000101"; --STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH));
end generate WR_EXTRA_FIELDS;
NOWR_EXTRA_FIELDS : if (C_ENABLE_EXTRA_FIELD = 0) generate
sig_next_len <= "00000000";
end generate NOWR_EXTRA_FIELDS;
-- sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH));
sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto BTT_LS_UNUSED_WIDTH));
sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE
when sig_btt_is_zero_reg = '0'
else (others => '0'); -- clip at zero
sig_btt_len_residue <= UNSIGNED(sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0));
-- If most significant bit of BTT set then limit to
-- Max Burst Len, else rip it from the BTT value
-- However if residue bits are zeroes then subtract
-- 1 from the BTT ripped value
sig_len2use <= MAX_BURST_LEN_US
When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1')
Else sig_len_btt_slice_minus_1
when (sig_btt_len_residue = BTT_RESIDUE_ZEROS)
Else sig_len_btt_slice;
end generate GEN_HAS_RESIDUE_BITS;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_INPUT_CMD
--
-- Process Description:
-- Implements the input command holding registers
--
-------------------------------------------------------------
REG_INPUT_CMD : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
addr2mstr_cmd_ready = '0') then
-- sm_pop_input_cmd = '1') then
sig_cmd_btt_reg <= (others => '0');
sig_cmd_type_reg <= '0';
sig_cmd_addr_reg <= (others => '0');
sig_cmd_tag_reg <= (others => '0');
sig_btt_is_zero_reg <= '0';
sig_cmd_reg_empty <= '1';
sig_cmd_reg_full <= '0';
sig_cmd_burst_reg <= "00";
sig_cmd2addr_valid1 <= '0';
elsif (sig_load_input_cmd = '1') then
sig_cmd_btt_reg <= sig_cmd_btt_slice;
sig_cmd_type_reg <= cmd2mstr_command(CMD_TYPE_INDEX);
sig_cmd_addr_reg <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX);
sig_cmd_tag_reg <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX);
sig_btt_is_zero_reg <= sig_btt_is_zero;
sig_cmd_reg_empty <= '0';
sig_cmd_reg_full <= '1';
sig_cmd2addr_valid1 <= '1';
sig_cmd_burst_reg <= sig_next_burst;
else
null; -- Hold current State
end if;
end if;
end process REG_INPUT_CMD;
-- Only Incrementing Burst type supported (per Interface_X guidelines)
sig_next_burst <= AXI_BURST_INCR when (cmd2mstr_command(CMD_TYPE_INDEX) = '1') else
AXI_BURST_FIXED;
sig_next_user <= cache2mstr_command (7 downto 4);
sig_next_cache <= cache2mstr_command (3 downto 0);
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_64
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 64-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_64 : if (C_STREAM_DWIDTH = 64) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_8BYTE;
Constant RESIDUE_BIT_WIDTH : integer := 3;
-- local signals
signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
Signal sig_btt_ms_bit_value : std_logic := '0';
signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0');
-- note 1 extra bit implied
begin
-- Assign the Address Channel Controller Size Qualifier Value
sig_next_size <= AXI_SIZE2USE;
-- Assign the Strobe Values
sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover
sig_next_end_strb <= sig_last_strb;
-- Local calculations ------------------------------
lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0);
sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX);
sig_btt_len_residue_composite <= sig_btt_ms_bit_value &
lsig_btt_len_residue;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_LAST_STRB_8bit
--
-- Process Description:
-- Generates the Strobe values for the LAST databeat of the
-- Burst to MMap when the Stream is 64 bits wide and 8 strobe
-- bits are required.
--
-------------------------------------------------------------
IMP_LAST_STRB_8bit : process (sig_btt_len_residue_composite)
begin
case sig_btt_len_residue_composite is
when "0001" =>
sig_last_strb <= "00000001";
when "0010" =>
sig_last_strb <= "00000011";
when "0011" =>
sig_last_strb <= "00000111";
when "0100" =>
sig_last_strb <= "00001111";
when "0101" =>
sig_last_strb <= "00011111";
when "0110" =>
sig_last_strb <= "00111111";
when "0111" =>
sig_last_strb <= "01111111";
when others =>
sig_last_strb <= "11111111";
end case;
end process IMP_LAST_STRB_8bit;
end generate GEN_LEN_SDWIDTH_64;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_32
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 32-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_32 : if (C_STREAM_DWIDTH = 32) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_4BYTE;
Constant RESIDUE_BIT_WIDTH : integer := 2;
-- local signals
signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
Signal sig_btt_ms_bit_value : std_logic := '0';
signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit
signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
begin
-- Assign the Address Channel Controller Size Qualifier Value
sig_next_size <= AXI_SIZE2USE;
-- Assign the Strobe Values
sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover
sig_next_end_strb <= sig_last_strb;
-- Local calculations ------------------------------
lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0);
sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX);
sig_btt_len_residue_composite <= sig_btt_ms_bit_value &
lsig_btt_len_residue;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_LAST_STRB_4bit
--
-- Process Description:
-- Generates the Strobe values for the LAST databeat of the
-- Burst to MMap when the Stream is 32 bits wide and 4 strobe
-- bits are required.
--
-------------------------------------------------------------
IMP_LAST_STRB_4bit : process (sig_btt_len_residue_composite)
begin
case sig_btt_len_residue_composite is
-- coverage off
when "001" =>
sig_last_strb <= "0001";
when "010" =>
sig_last_strb <= "0011";
when "011" =>
sig_last_strb <= "0111";
-- coverage on
when others =>
sig_last_strb <= "1111";
end case;
end process IMP_LAST_STRB_4bit;
end generate GEN_LEN_SDWIDTH_32;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_16
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 16-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_16 : if (C_STREAM_DWIDTH = 16) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_2BYTE;
Constant RESIDUE_BIT_WIDTH : integer := 1;
-- local signals
signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
Signal sig_btt_ms_bit_value : std_logic := '0';
signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit
signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
begin
-- Assign the Address Channel Controller Size Qualifier Value
sig_next_size <= AXI_SIZE2USE;
-- Assign the Strobe Values
sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover
sig_next_end_strb <= sig_last_strb;
-- Local calculations ------------------------------
lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0);
sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX);
sig_btt_len_residue_composite <= sig_btt_ms_bit_value &
lsig_btt_len_residue;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_LAST_STRB_2bit
--
-- Process Description:
-- Generates the Strobe values for the LAST databeat of the
-- Burst to MMap when the Stream is 16 bits wide and 2 strobe
-- bits are required.
--
-------------------------------------------------------------
IMP_LAST_STRB_2bit : process (sig_btt_len_residue_composite)
begin
case sig_btt_len_residue_composite is
when "01" =>
sig_last_strb <= "01";
when others =>
sig_last_strb <= "11";
end case;
end process IMP_LAST_STRB_2bit;
end generate GEN_LEN_SDWIDTH_16;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_8
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 8-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_8 : if (C_STREAM_DWIDTH = 8) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_1BYTE;
begin
-- Assign the Address Channel Controller Qualifiers
sig_next_size <= AXI_SIZE2USE;
-- Assign the Data Channel Controller Qualifiers
sig_next_strt_strb <= (others => '1');
sig_next_end_strb <= (others => '1');
end generate GEN_LEN_SDWIDTH_8;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DATA_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Ready control
-- to the Data Controller Module.
--
-------------------------------------------------------------
CMD2DATA_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_cmd2data_valid = '1') then
sig_cmd2data_valid <= '0';
elsif (sm_set_push2axi_ns = '1') then
sig_cmd2data_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DATA_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2ADDR_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Ready control
-- to the Address Controller Module.
--
-------------------------------------------------------------
CMD2ADDR_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_cmd2addr_valid = '1') then
sig_cmd2addr_valid <= '0';
elsif (sm_set_push2axi_ns = '1') then
sig_cmd2addr_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2ADDR_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SCC_SM_REG
--
-- Process Description:
-- Implements registered portion of state machine
--
-------------------------------------------------------------
SCC_SM_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
-- sm_scc_state <= INIT;
-- sm_pop_input_cmd <= '0' ;
-- sm_set_push2axi <= '0' ;
sm_set_error <= '0' ;
-- sm_scc_sm_ready <= '0' ;
elsif (sig_btt_is_zero_reg = '1') then
sm_set_error <= '1';
-- sm_scc_state <= sm_scc_state_ns ;
-- sm_pop_input_cmd <= sm_pop_input_cmd_ns ;
-- sm_set_push2axi <= sm_set_push2axi_ns ;
-- sm_set_error <= sm_set_error_ns ;
-- sm_scc_sm_ready <= sm_scc_sm_ready_ns ;
end if;
end if;
end process SCC_SM_REG;
end implementation;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/Microsystem/axi_interface_part2/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/b6365b74/hdl/vhdl/parity.vhd | 7 | 11625 | -------------------------------------------------------------------------------
-- parity.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: parity.vhd
--
-- Description: Generate parity optimally for all target architectures.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity Parity is
generic (
C_USE_LUT6 : boolean := true;
C_SIZE : integer := 6
);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic
);
end entity Parity;
library unisim;
use unisim.vcomponents.all;
architecture IMP of Parity is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
-- Non-recursive loop implementation
function ParityGen (InA : std_logic_vector) return std_logic is
variable result : std_logic;
begin
result := '0';
for I in InA'range loop
result := result xor InA(I);
end loop;
return result;
end function ParityGen;
begin -- architecture IMP
Using_LUT6 : if (C_USE_LUT6) generate
--------------------------------------------------------------------------------------------------
-- Single LUT6
--------------------------------------------------------------------------------------------------
Single_LUT6 : if C_SIZE > 1 and C_SIZE <= 6 generate
signal inA6 : std_logic_vector(0 to 5);
begin
Assign_InA : process (InA) is
begin
inA6 <= (others => '0');
inA6(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => Res,
I0 => inA6(5),
I1 => inA6(4),
I2 => inA6(3),
I3 => inA6(2),
I4 => inA6(1),
I5 => inA6(0));
end generate Single_LUT6;
--------------------------------------------------------------------------------------------------
-- Two LUT6 and one MUXF7
--------------------------------------------------------------------------------------------------
Use_MUXF7 : if C_SIZE = 7 generate
signal inA7 : std_logic_vector(0 to 6);
signal result6 : std_logic;
signal result6n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA7 <= (others => '0');
inA7(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
XOR6_LUT_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6n,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
MUXF7_LUT : MUXF7
port map (
O => Res,
I0 => result6,
I1 => result6n,
S => inA7(6));
end generate Use_MUXF7;
--------------------------------------------------------------------------------------------------
-- Four LUT6, two MUXF7 and one MUXF8
--------------------------------------------------------------------------------------------------
Use_MUXF8 : if C_SIZE = 8 generate
signal inA8 : std_logic_vector(0 to 7);
signal result6_1 : std_logic;
signal result6_1n : std_logic;
signal result6_2 : std_logic;
signal result6_2n : std_logic;
signal result7_1 : std_logic;
signal result7_1n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA8 <= (others => '0');
inA8(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT1 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_1,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT2_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_1n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT1 : MUXF7
port map (
O => result7_1,
I0 => result6_1,
I1 => result6_1n,
S => inA8(6));
XOR6_LUT3 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_2,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT4_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_2n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT2 : MUXF7
port map (
O => result7_1n,
I0 => result6_2n,
I1 => result6_2,
S => inA8(6));
MUXF8_LUT : MUXF8
port map (
O => res,
I0 => result7_1,
I1 => result7_1n,
S => inA8(7));
end generate Use_MUXF8;
end generate Using_LUT6;
-- Fall-back implementation without LUT6
Not_Using_LUT6 : if not C_USE_LUT6 or C_SIZE > 8 generate
begin
Res <= ParityGen(InA);
end generate Not_Using_LUT6;
end architecture IMP;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_gpio_v2_0/58435b11/hdl/src/vhdl/gpio_core.vhd | 5 | 35411 | -------------------------------------------------------------------------------
-- gpio_core - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2009 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: gpio_core.vhd
-- Version: v1.01a
-- Description: General Purpose I/O for AXI Interface
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_gpio.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- gpio_core.vhd
--
-------------------------------------------------------------------------------
--
-- Author: KSB
-- History:
-- ~~~~~~~~~~~~~~
-- KSB 09/15/09
-- ^^^^^^^^^^^^^^
-- ~~~~~~~~~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library lib_cdc_v1_0;
-------------------------------------------------------------------------------
-- Definition of Generics : --
-------------------------------------------------------------------------------
-- C_DW -- Data width of PLB BUS.
-- C_AW -- Address width of PLB BUS.
-- C_GPIO_WIDTH -- GPIO Data Bus width.
-- C_GPIO2_WIDTH -- GPIO2 Data Bus width.
-- C_INTERRUPT_PRESENT -- GPIO Interrupt.
-- C_DOUT_DEFAULT -- GPIO_DATA Register reset value.
-- C_TRI_DEFAULT -- GPIO_TRI Register reset value.
-- C_IS_DUAL -- Dual Channel GPIO.
-- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value.
-- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value.
-- C_FAMILY -- XILINX FPGA family
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports --
-------------------------------------------------------------------------------
-- Clk -- Input clock
-- Rst -- Reset
-- ABus_Reg -- Bus to IP address
-- BE_Reg -- Bus to IP byte enables
-- DBus_Reg -- Bus to IP data bus
-- RNW_Reg -- Bus to IP read write control
-- GPIO_DBus -- IP to Bus data bus
-- GPIO_xferAck -- GPIO transfer acknowledge
-- GPIO_intr -- GPIO channel 1 interrupt to IPIC
-- GPIO2_intr -- GPIO channel 2 interrupt to IPIC
-- GPIO_Select -- GPIO select
--
-- GPIO_IO_I -- Channel 1 General purpose I/O in port
-- GPIO_IO_O -- Channel 1 General purpose I/O out port
-- GPIO_IO_T -- Channel 1 General purpose I/O TRI-STATE control port
-- GPIO2_IO_I -- Channel 2 General purpose I/O in port
-- GPIO2_IO_O -- Channel 2 General purpose I/O out port
-- GPIO2_IO_T -- Channel 2 General purpose I/O TRI-STATE control port
-------------------------------------------------------------------------------
entity GPIO_Core is
generic
(
C_DW : integer := 32;
C_AW : integer := 32;
C_GPIO_WIDTH : integer := 32;
C_GPIO2_WIDTH : integer := 32;
C_MAX_GPIO_WIDTH : integer := 32;
C_INTERRUPT_PRESENT : integer := 0;
C_DOUT_DEFAULT : std_logic_vector (0 to 31) := X"0000_0000";
C_TRI_DEFAULT : std_logic_vector (0 to 31) := X"FFFF_FFFF";
C_IS_DUAL : integer := 0;
C_DOUT_DEFAULT_2 : std_logic_vector (0 to 31) := X"0000_0000";
C_TRI_DEFAULT_2 : std_logic_vector (0 to 31) := X"FFFF_FFFF";
C_FAMILY : string := "virtex7"
);
port
(
Clk : in std_logic;
Rst : in std_logic;
ABus_Reg : in std_logic_vector(0 to C_AW-1);
BE_Reg : in std_logic_vector(0 to C_DW/8-1);
DBus_Reg : in std_logic_vector(0 to C_MAX_GPIO_WIDTH-1);
RNW_Reg : in std_logic;
GPIO_DBus : out std_logic_vector(0 to C_DW-1);
GPIO_xferAck : out std_logic;
GPIO_intr : out std_logic;
GPIO2_intr : out std_logic;
GPIO_Select : in std_logic;
GPIO_IO_I : in std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO_IO_O : out std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO_IO_T : out std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO2_IO_I : in std_logic_vector(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_O : out std_logic_vector(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_T : out std_logic_vector(0 to C_GPIO2_WIDTH-1)
);
end entity GPIO_Core;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of GPIO_Core is
-- Pragma Added to supress synth warnings
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
----------------------------------------------------------------------
-- Function for Reduction OR
----------------------------------------------------------------------
function or_reduce(l : std_logic_vector) return std_logic is
variable v : std_logic := '0';
begin
for i in l'range loop
v := v or l(i);
end loop;
return v;
end;
---------------------------------------------------------------------
-- End of Function
-------------------------------------------------------------------
signal gpio_Data_Select : std_logic_vector(0 to C_IS_DUAL);
signal gpio_OE_Select : std_logic_vector(0 to C_IS_DUAL);
signal Read_Reg_Rst : STD_LOGIC;
signal Read_Reg_In : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal Read_Reg_CE : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_Data_Out : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_DOUT_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1);
signal gpio_Data_In : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_in_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_in_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_io_i_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_io_i_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_OE : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_TRI_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1);
signal GPIO_DBus_i : std_logic_vector(0 to C_DW-1);
signal gpio_data_in_xor : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_data_in_xor_reg : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal or_ints : std_logic_vector(0 to 0);
signal or_ints2 : std_logic_vector(0 to 0);
signal iGPIO_xferAck : STD_LOGIC;
signal gpio_xferAck_Reg : STD_LOGIC;
signal dout_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal tri_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal reset_zeros : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal dout2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal tri2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal reset2_zeros : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio_reg_en : std_logic;
begin -- architecture IMP
reset_zeros <= (others => '0');
reset2_zeros <= (others => '0');
TIE_DEFAULTS_GENERATE : if C_DW >= C_GPIO_WIDTH generate
SELECT_BITS_GENERATE : for i in 0 to C_GPIO_WIDTH-1 generate
dout_default_i(i) <= C_DOUT_DEFAULT(i-C_GPIO_WIDTH+C_DW);
tri_default_i(i) <= C_TRI_DEFAULT(i-C_GPIO_WIDTH+C_DW);
end generate SELECT_BITS_GENERATE;
end generate TIE_DEFAULTS_GENERATE;
TIE_DEFAULTS_2_GENERATE : if C_DW >= C_GPIO2_WIDTH generate
SELECT_BITS_2_GENERATE : for i in 0 to C_GPIO2_WIDTH-1 generate
dout2_default_i(i) <= C_DOUT_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW);
tri2_default_i(i) <= C_TRI_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW);
end generate SELECT_BITS_2_GENERATE;
end generate TIE_DEFAULTS_2_GENERATE;
Read_Reg_Rst <= iGPIO_xferAck or gpio_xferAck_Reg or (not GPIO_Select) or
(GPIO_Select and not RNW_Reg);
gpio_reg_en <= GPIO_Select when (ABus_Reg(0) = '0') else '0';
-----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
-----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
-----------------------------------------------------------------------------
XFER_ACK_PROCESS : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
iGPIO_xferAck <= '0';
else
iGPIO_xferAck <= GPIO_Select and not gpio_xferAck_Reg;
if iGPIO_xferAck = '1' then
iGPIO_xferAck <= '0';
end if;
end if;
end if;
end process XFER_ACK_PROCESS;
-----------------------------------------------------------------------------
-- DELAYED_XFER_ACK_PROCESS
-----------------------------------------------------------------------------
-- Single Reg stage to make Transfer Ack period one clock pulse wide
-----------------------------------------------------------------------------
DELAYED_XFER_ACK_PROCESS : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_xferAck_Reg <= '0';
else
gpio_xferAck_Reg <= iGPIO_xferAck;
end if;
end if;
end process DELAYED_XFER_ACK_PROCESS;
GPIO_xferAck <= iGPIO_xferAck;
-----------------------------------------------------------------------------
-- Drive GPIO interrupts to '0' when interrupt not present
-----------------------------------------------------------------------------
DONT_GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate
gpio_intr <= '0';
gpio2_intr <= '0';
end generate DONT_GEN_INTERRUPT;
----------------------------------------------------------------------------
-- When only one channel is used, the additional logic for the second
-- channel ports is not present
-----------------------------------------------------------------------------
Not_Dual : if (C_IS_DUAL = 0) generate
GPIO2_IO_O <= C_DOUT_DEFAULT(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_T <= C_TRI_DEFAULT_2(0 to C_GPIO2_WIDTH-1);
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate
GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0');
end generate TIE_DBUS_GENERATE;
-----------------------------------------------------------------------------
-- GPIO_DBUS_PROCESS
-----------------------------------------------------------------------------
-- This process generates the GPIO DATA BUS from the GPIO_DBUS_I based on
-- the channel select signals
-----------------------------------------------------------------------------
GPIO_DBus <= GPIO_DBus_i;
-----------------------------------------------------------------------------
-- REG_SELECT_PROCESS
-----------------------------------------------------------------------------
-- GPIO REGISTER selection decoder for single channel configuration
-----------------------------------------------------------------------------
--REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is
REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is
begin
gpio_Data_Select(0) <= '0';
gpio_OE_Select(0) <= '0';
--if GPIO_Select = '1' then
if gpio_reg_en = '1' then
if (ABus_Reg(5) = '0') then
case ABus_Reg(6) is -- bit A29
when '0' => gpio_Data_Select(0) <= '1';
when '1' => gpio_OE_Select(0) <= '1';
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end if;
end process REG_SELECT_PROCESS;
INPUT_DOUBLE_REGS3 : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio_io_i_d2
);
---------------------------------------------------------------------------
-- GPIO_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 1 data from Bidirectional GPIO port
-- to GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio_io_i_d1 <= GPIO_IO_I;
-- gpio_io_i_d2 <= gpio_io_i_d1;
gpio_Data_In <= gpio_io_i_d2;
end if;
end process GPIO_INDATA_BIRDIR_PROCESS;
---------------------------------------------------------------------------
-- READ_MUX_PROCESS
---------------------------------------------------------------------------
-- Selects GPIO_TRI control or GPIO_DATA Register to be read
---------------------------------------------------------------------------
READ_MUX_PROCESS : process (gpio_Data_In, gpio_Data_Select, gpio_OE,
gpio_OE_Select) is
begin
Read_Reg_In <= (others => '0');
if gpio_Data_Select(0) = '1' then
Read_Reg_In <= gpio_Data_In;
elsif gpio_OE_Select(0) = '1' then
Read_Reg_In <= gpio_OE;
end if;
end process READ_MUX_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OUTDATA_PROCESS
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_OUTDATA_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_Data_Out <= dout_default_i;
elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_Data_Out(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OUTDATA_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OE_PROCESS
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO_OE_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_OE <= tri_default_i;
elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_OE(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OE_PROCESS;
GPIO_IO_O <= gpio_Data_Out;
GPIO_IO_T <= gpio_OE;
----------------------------------------------------------------------------
-- INTERRUPT IS PRESENT
----------------------------------------------------------------------------
-- When the C_INTERRUPT_PRESENT=1, the interrupt is driven based on whether
-- there is a change in the data coming in at the GPIO_IO_I port or GPIO_In
-- port
----------------------------------------------------------------------------
GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 1) generate
gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2;
-------------------------------------------------------------------------
-- An interrupt conditon exists if there is a change on any bit.
-------------------------------------------------------------------------
or_ints(0) <= or_reduce(gpio_data_in_xor_reg);
-------------------------------------------------------------------------
-- Registering Interrupt condition
-------------------------------------------------------------------------
REGISTER_XOR_INTR : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_data_in_xor_reg <= reset_zeros;
GPIO_intr <= '0';
else
gpio_data_in_xor_reg <= gpio_data_in_xor;
GPIO_intr <= or_ints(0);
end if;
end if;
end process REGISTER_XOR_INTR;
gpio2_intr <= '0'; -- Channel 2 interrupt is driven low
end generate GEN_INTERRUPT;
end generate Not_Dual;
---)(------------------------------------------------------------------------
-- When both the channels are used, the additional logic for the second
-- channel ports
-----------------------------------------------------------------------------
Dual : if (C_IS_DUAL = 1) generate
signal gpio2_Data_In : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_in_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_in_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_io_i_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_io_i_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_data_in_xor : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_data_in_xor_reg : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_Data_Out : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_DOUT_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1);
signal gpio2_OE : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_TRI_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1);
signal Read_Reg2_In : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal Read_Reg2_CE : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal GPIO2_DBus_i : std_logic_vector(0 to C_DW-1);
begin
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
begin
--------------------------------------------------------------------------
-- GPIO_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL1 DATA BUS
--------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate
GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0');
end generate TIE_DBUS_GENERATE;
READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate
--------------------------------------------------------------------------
-- GPIO2_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL2 DATA BUS
--------------------------------------------------------------------------
GPIO2_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0';
else
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= Read_Reg2_In(i);
end if;
end if;
end process;
end generate READ_REG2_GEN;
TIE_DBUS2_GENERATE : if C_DW > C_GPIO2_WIDTH generate
GPIO2_DBus_i(0 to C_DW-C_GPIO2_WIDTH-1) <= (others => '0');
end generate TIE_DBUS2_GENERATE;
---------------------------------------------------------------------------
-- GPIO_DBUS_PROCESS
---------------------------------------------------------------------------
-- This process generates the GPIO DATA BUS from the GPIO_DBUS_I and
-- GPIO2_DBUS_I based on which channel is selected
---------------------------------------------------------------------------
GPIO_DBus <= GPIO_DBus_i when (((gpio_Data_Select(0) = '1') or
(gpio_OE_Select(0) = '1')) and (RNW_Reg = '1'))
else GPIO2_DBus_i;
-----------------------------------------------------------------------------
-- DUAL_REG_SELECT_PROCESS
-----------------------------------------------------------------------------
-- GPIO REGISTER selection decoder for Dual channel configuration
-----------------------------------------------------------------------------
--DUAL_REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is
DUAL_REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is
variable ABus_reg_select : std_logic_vector(0 to 1);
begin
ABus_reg_select := ABus_Reg(5 to 6);
gpio_Data_Select <= (others => '0');
gpio_OE_Select <= (others => '0');
--if GPIO_Select = '1' then
if gpio_reg_en = '1' then
-- case ABus_Reg(28 to 29) is -- bit A28,A29 for dual
case ABus_reg_select is -- bit A28,A29 for dual
when "00" => gpio_Data_Select(0) <= '1';
when "01" => gpio_OE_Select(0) <= '1';
when "10" => gpio_Data_Select(1) <= '1';
when "11" => gpio_OE_Select(1) <= '1';
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end process DUAL_REG_SELECT_PROCESS;
---------------------------------------------------------------------------
-- GPIO_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 1 data from Bidirectional GPIO port
-- to GPIO_DATA REGISTER
---------------------------------------------------------------------------
INPUT_DOUBLE_REGS4 : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio_io_i_d2
);
GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio_io_i_d1 <= GPIO_IO_I;
-- gpio_io_i_d2 <= gpio_io_i_d1;
gpio_Data_In <= gpio_io_i_d2;
end if;
end process GPIO_INDATA_BIRDIR_PROCESS;
INPUT_DOUBLE_REGS5 : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO2_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO2_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio2_io_i_d2
);
---------------------------------------------------------------------------
-- GPIO2_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 2 data from Bidirectional GPIO2 port
-- to GPIO2_DATA REGISTER
---------------------------------------------------------------------------
GPIO2_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio2_io_i_d1 <= GPIO2_IO_I;
-- gpio2_io_i_d2 <= gpio2_io_i_d1;
gpio2_Data_In <= gpio2_io_i_d2;
end if;
end process GPIO2_INDATA_BIRDIR_PROCESS;
---------------------------------------------------------------------------
-- READ_MUX_PROCESS_0_0
---------------------------------------------------------------------------
-- Selects among Channel 1 GPIO_DATA ,GPIO_TRI and Channel 2 GPIO2_DATA
-- GPIO2_TRI REGISTERS for reading
---------------------------------------------------------------------------
READ_MUX_PROCESS_0_0 : process (gpio2_Data_In, gpio2_OE, gpio_Data_In,
gpio_Data_Select, gpio_OE,
gpio_OE_Select) is
begin
Read_Reg_In <= (others => '0');
Read_Reg2_In <= (others => '0');
if gpio_Data_Select(0) = '1' then
Read_Reg_In <= gpio_Data_In;
elsif gpio_OE_Select(0) = '1' then
Read_Reg_In <= gpio_OE;
elsif gpio_Data_Select(1) = '1' then
Read_Reg2_In <= gpio2_Data_In;
elsif gpio_OE_Select(1) = '1' then
Read_Reg2_In <= gpio2_OE;
end if;
end process READ_MUX_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO_OUTDATA_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_OUTDATA_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_Data_Out <= dout_default_i;
elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_Data_Out(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OUTDATA_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO_OE_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO_OE_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_OE <= tri_default_i;
elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_OE(i) <= DBus_Reg(i);
-- end if;
end loop;
end if;
end if;
end process GPIO_OE_PROCESS;
---------------------------------------------------------------------------
-- GPIO2_OUTDATA_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 2 GPIO2_DATA REGISTER
---------------------------------------------------------------------------
GPIO2_OUTDATA_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio2_Data_Out <= dout2_default_i;
elsif gpio_Data_Select(1) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO2_WIDTH-1 loop
gpio2_Data_Out(i) <= DBus_Reg(i);
-- end if;
end loop;
end if;
end if;
end process GPIO2_OUTDATA_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO2_OE_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 2 GPIO2_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO2_OE_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio2_OE <= tri2_default_i;
elsif gpio_OE_Select(1) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO2_WIDTH-1 loop
gpio2_OE(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO2_OE_PROCESS_0_0;
GPIO_IO_O <= gpio_Data_Out;
GPIO_IO_T <= gpio_OE;
GPIO2_IO_O <= gpio2_Data_Out;
GPIO2_IO_T <= gpio2_OE;
---------------------------------------------------------------------------
-- INTERRUPT IS PRESENT
---------------------------------------------------------------------------
gen_interrupt_dual : if (C_INTERRUPT_PRESENT = 1) generate
gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2;
gpio2_data_in_xor <= gpio2_Data_In xor gpio2_io_i_d2;
-------------------------------------------------------------------------
-- An interrupt conditon exists if there is a change any bit.
-------------------------------------------------------------------------
or_ints(0) <= or_reduce(gpio_data_in_xor_reg);
or_ints2(0) <= or_reduce(gpio2_data_in_xor_reg);
-------------------------------------------------------------------------
-- Registering Interrupt condition
-------------------------------------------------------------------------
REGISTER_XORs_INTRs : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_data_in_xor_reg <= reset_zeros;
gpio2_data_in_xor_reg <= reset2_zeros;
GPIO_intr <= '0';
GPIO2_intr <= '0';
else
gpio_data_in_xor_reg <= gpio_data_in_xor;
gpio2_data_in_xor_reg <= gpio2_data_in_xor;
GPIO_intr <= or_ints(0);
GPIO2_intr <= or_ints2(0);
end if;
end if;
end process REGISTER_XORs_INTRs;
end generate gen_interrupt_dual;
end generate Dual;
end architecture IMP;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_cdma_v4_1/25515467/hdl/src/vhdl/axi_cdma.vhd | 1 | 38764 | -------------------------------------------------------------------------------
-- axi_cdma
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_cdma.vhd
-- Description: This entity is the top level entity for the AXI CDMA core.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_cdma_v4_1;
use axi_cdma_v4_1.axi_cdma_pkg.all;
use axi_cdma_v4_1.axi_cdma_simple_wrap;
use axi_cdma_v4_1.axi_cdma_sg_wrap;
-------------------------------------------------------------------------------
entity axi_cdma is
generic(
-----------------------------------------------------------------------
-- AXI Lite Register Interface Parameters
-----------------------------------------------------------------------
C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6;
-- Address width of the AXI Lite Interface (bits)
C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32;
-- Data width of the AXI Lite Interface (bits)
C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the AXI Lite Register interface needs to
-- be asynchronous to the CDMA data transport path clocking
-- 0 = s_axi_lite_aclk is the same as m_axi_aclk
-- 1 = s_axi_lite_aclk is asynchronous to the m_axi_aclk
-----------------------------------------------------------------------
-- DataMover Parameters
-----------------------------------------------------------------------
C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32;
-- DataMover Master AXI Memory Map Address Width (bits)
C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32;
-- DataMover Master AXI Memory Map Data Width (bits)
C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16;
-- DataMover Maximum burst length to use for AXI MMAP requests
-- Allowed values are 16, 32, 64, 128, and 256 (data beats)
C_INCLUDE_DRE : integer range 0 to 1 := 0;
-- Include or exclude DataMover Data Realignment (DRE)
-- NOTE: DRE is only available for 32 and 64 bit data widths
-- 0 = Exclude DRE
-- 1 = Include DRE
C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0;
-- Enable DataMover Lite mode
-- NOTE: Data widths limited to 32 and 64 bits, max burst
-- limited to 16, 32, and 64 data beats, no DRE, 4K address
-- guarding must be done by SW programmer.
-- 0 = Normal DataMover mode
-- 1 = Lite dataMover mode
C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4;
-- This parameter specifies the depth of the DataMover
-- read address pipelining queues for the Main data transport
-- channels. The effective address pipelining on the AXI4 Read
-- Address Channel will be the value assigned plus 2.
C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4;
-- This parameter specifies the depth of the DataMover
-- write address pipelining queues for the Main data transport
-- channel. The effective address pipelining on the AXI4 Write
-- Address Channel will be the value assigned plus 2.
-----------------------------------------------------------------------
-- Store and Forward Parameters
-----------------------------------------------------------------------
C_INCLUDE_SF : integer range 0 to 1 := 1;
-- 0 = Omit Store and Forward functionality
-- 1 = Include Store and Forward functionality
-----------------------------------------------------------------------
-- Scatter Gather Parameters
-----------------------------------------------------------------------
C_INCLUDE_SG : integer range 0 to 1 := 0;
-- 0 = Omit Scatter Gather functionality
-- 1 = Include Scatter Gather functionality
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather
-- R/W Port (bits)
C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather
-- R/W Port (bits)
C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125;
-- Interrupt Delay Timer resolution in clock ticks of axi_clk
-----------------------------------------------------------------------
-- Target FPGA Family Parameter
-----------------------------------------------------------------------
C_FAMILY : string := "virtex7";
-- Target FPGA Device Family
C_INSTANCE : string := "axi_cdma"
);
port (
-----------------------------------------------------------------------
-- Primary Clock
-----------------------------------------------------------------------
m_axi_aclk : in std_logic ;-- AXI4
-----------------------------------------------------------------------
-- Primary Reset Input (active low)
-----------------------------------------------------------------------
-- m_axi_aresetn : in std_logic ;-- AXI4
-----------------------------------------------------------------------
-- AXI Lite clock
-----------------------------------------------------------------------
s_axi_lite_aclk : in std_logic ;-- AXI4-Lite
-----------------------------------------------------------------------
-- AXI Lite reset
-----------------------------------------------------------------------
s_axi_lite_aresetn : in std_logic ;-- AXI4-Lite
-----------------------------------------------------------------------
-- Interrupt output
-----------------------------------------------------------------------
cdma_introut : out std_logic ;-- Interupt Out
---------------------------------------------------------------------------------
-- AXI4-Lite Register Access Interface
---------------------------------------------------------------------------------
-- AXI Lite Write Address Channel -- AXI4-Lite
s_axi_lite_awready : out std_logic ;-- AXI4-Lite
s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite
s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite
(5 downto 0);-- AXI4-Lite
-- s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite
-- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite
-- AXI4-Lite
-- AXI Lite Write Data Channel -- AXI4-Lite
s_axi_lite_wready : out std_logic ;-- AXI4-Lite
s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite
s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite
-- AXI4-Lite
-- AXI Lite Write Response Channel -- AXI4-Lite
s_axi_lite_bready : in std_logic ;-- AXI4-Lite
s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite
s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite
-- AXI4-Lite
-- AXI Lite Read Address Channel -- AXI4-Lite
s_axi_lite_arready : out std_logic ;-- AXI4-Lite
s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite
s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite
(5 downto 0);-- AXI4-Lite
-- s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite
-- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite
-- AXI4-Lite
-- AXI Lite Read Data Channel -- AXI4-Lite
s_axi_lite_rready : in std_logic ;-- AXI4-Lite
s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite
s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite
s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite
----------------------------------------------------------------------------
-- AXI4 DataMover Read Channel
----------------------------------------------------------------------------
-- DataMover MMap Read Address Channel -- AXI4
m_axi_arready : in std_logic ;-- AXI4
m_axi_arvalid : out std_logic ;-- AXI4
m_axi_araddr : out std_logic_vector -- AXI4
(C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4
m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4
m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4
m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4
m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4
m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4
-- AXI4
-- DataMover MMap Read Data Channel -- AXI4
m_axi_rready : out std_logic ;-- AXI4
m_axi_rvalid : in std_logic ;-- AXI4
m_axi_rdata : in std_logic_vector -- AXI4
(C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4
m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4
m_axi_rlast : in std_logic ;-- AXI4
-----------------------------------------------------------------------------
-- AXI4 DataMover Write Channel
-----------------------------------------------------------------------------
-- DataMover Write Address Channel -- AXI4
m_axi_awready : in std_logic ; -- AXI4
m_axi_awvalid : out std_logic ; -- AXI4
m_axi_awaddr : out std_logic_vector -- AXI4
(C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- AXI4
m_axi_awlen : out std_logic_vector(7 downto 0) ; -- AXI4
m_axi_awsize : out std_logic_vector(2 downto 0) ; -- AXI4
m_axi_awburst : out std_logic_vector(1 downto 0) ; -- AXI4
m_axi_awprot : out std_logic_vector(2 downto 0) ; -- AXI4
m_axi_awcache : out std_logic_vector(3 downto 0) ; -- AXI4
-- AXI4
-- DataMover Write Data Channel -- AXI4
m_axi_wready : in std_logic ; -- AXI4
m_axi_wvalid : out std_logic ; -- AXI4
m_axi_wdata : out std_logic_vector -- AXI4
(C_M_AXI_DATA_WIDTH-1 downto 0) ; -- AXI4
m_axi_wstrb : out std_logic_vector -- AXI4
((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4
m_axi_wlast : out std_logic ; -- AXI4
-- AXI4
-- DataMover Write Response Channel -- AXI4
m_axi_bready : out std_logic ; -- AXI4
m_axi_bvalid : in std_logic ; -- AXI4
m_axi_bresp : in std_logic_vector(1 downto 0) ; -- AXI4
----------------------------------------------------------------------------
-- AXI4 Scatter Gather Interface
----------------------------------------------------------------------------
-- Scatter Gather Write Address Channel -- AXI4
m_axi_sg_awready : in std_logic := '0' ;-- AXI4
m_axi_sg_awvalid : out std_logic ;-- AXI4
m_axi_sg_awaddr : out std_logic_vector -- AXI4
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4
m_axi_sg_awlen : out std_logic_vector(7 downto 0) ;-- AXI4
m_axi_sg_awsize : out std_logic_vector(2 downto 0) ;-- AXI4
m_axi_sg_awburst : out std_logic_vector(1 downto 0) ;-- AXI4
m_axi_sg_awprot : out std_logic_vector(2 downto 0) ;-- AXI4
m_axi_sg_awcache : out std_logic_vector(3 downto 0) ;-- AXI4
-- AXI4
-- Scatter Gather Write Data Channel -- AXI4
m_axi_sg_wready : in std_logic := '0' ;-- AXI4
m_axi_sg_wvalid : out std_logic ;-- AXI4
m_axi_sg_wdata : out std_logic_vector -- AXI4
(C_M_AXI_SG_DATA_WIDTH-1 downto 0);-- AXI4
m_axi_sg_wstrb : out std_logic_vector -- AXI4
((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0);-- AXI4
m_axi_sg_wlast : out std_logic ;-- AXI4
-- AXI4
-- Scatter Gather Write Response Channel -- AXI4
m_axi_sg_bready : out std_logic ;-- AXI4
m_axi_sg_bvalid : in std_logic := '0' ;-- AXI4
m_axi_sg_bresp : in std_logic_vector(1 downto 0) := (others => '0') ;-- AXI4
-- AXI4
-- Scatter Gather Read Address Channel -- AXI4
m_axi_sg_arready : in std_logic := '0' ;-- AXI4
m_axi_sg_arvalid : out std_logic ;-- AXI4
m_axi_sg_araddr : out std_logic_vector -- AXI4
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4
m_axi_sg_arlen : out std_logic_vector(7 downto 0) ;-- AXI4
m_axi_sg_arsize : out std_logic_vector(2 downto 0) ;-- AXI4
m_axi_sg_arburst : out std_logic_vector(1 downto 0) ;-- AXI4
m_axi_sg_arprot : out std_logic_vector(2 downto 0) ;-- AXI4
m_axi_sg_arcache : out std_logic_vector(3 downto 0) ;-- AXI4
-- AXI4
-- Scatter Gather Read Data Channel -- AXI4
m_axi_sg_rready : out std_logic ;-- AXI4
m_axi_sg_rvalid : in std_logic := '0' ;-- AXI4
m_axi_sg_rdata : in std_logic_vector -- AXI4
(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0') ;-- AXI4
m_axi_sg_rresp : in std_logic_vector(1 downto 0) := (others => '0') ;-- AXI4
m_axi_sg_rlast : in std_logic := '0' ;-- AXI4
-----------------------------------------------------------------------
-- Test Support for Xilinx internal use
-----------------------------------------------------------------------
cdma_tvect_out : Out std_logic_vector(31 downto 0)
);
-----------------------------------------------------------------
-- Start of PSFUtil MPD attributes
-----------------------------------------------------------------
--attribute IP_GROUP : string;
--attribute IP_GROUP of axi_cdma : entity is "LOGICORE";
--attribute IPTYPE : string;
--attribute IPTYPE of axi_cdma : entity is "PERIPHERAL";
--attribute RUN_NGCBUILD : string;
--attribute RUN_NGCBUILD of axi_cdma : entity is "TRUE";
-----------------------------------------------------------------
-- End of PSFUtil MPD attributes
-----------------------------------------------------------------
end axi_cdma;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_cdma is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_max
--
-- Function Description:
-- Returns the greater of two integers.
--
-------------------------------------------------------------------
function funct_get_max (value_in_1 : integer;
value_in_2 : integer)
return integer is
Variable max_value : Integer := 0;
begin
If (value_in_1 > value_in_2) Then
max_value := value_in_1;
else
max_value := value_in_2;
End if;
Return (max_value);
end function funct_get_max;
-------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_rnd2pwr_of_2
--
-- Function Description:
-- Rounds the input value up to the nearest power of 2 between
-- 128 and 8192.
--
-------------------------------------------------------------------
function funct_rnd2pwr_of_2 (input_value : integer) return integer is
Variable temp_pwr2 : Integer := 128;
begin
if (input_value <= 128) then
temp_pwr2 := 128;
elsif (input_value <= 256) then
temp_pwr2 := 256;
elsif (input_value <= 512) then
temp_pwr2 := 512;
elsif (input_value <= 1024) then
temp_pwr2 := 1024;
elsif (input_value <= 2048) then
temp_pwr2 := 2048;
elsif (input_value <= 4096) then
temp_pwr2 := 4096;
else
temp_pwr2 := 8192;
end if;
Return (temp_pwr2);
end function funct_rnd2pwr_of_2;
-------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
Constant SOFT_RST_TIME_CLKS : integer := 8;
-- Specifies the time of the soft reset assertion in
-- m_axi_aclk clock periods.
-- Calculates the minimum needed depth of the CDMA Store and Forward FIFO
Constant PIPEDEPTH_BURST_LEN_PROD : integer :=
(funct_get_max(C_READ_ADDR_PIPE_DEPTH, C_WRITE_ADDR_PIPE_DEPTH)+2)
* C_M_AXI_MAX_BURST_LEN;
-- Assigns the depth of the CDMA Store and Forward FIFO to the nearest
-- power of 2
Constant SF_FIFO_DEPTH : integer range 128 to 8192 :=
funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- No signals Declared
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
signal m_axi_aresetn : std_logic;
begin
m_axi_aresetn <= '1';
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_SIMPLE_MODE
--
-- If Generate Description:
-- This IfGen Implements the CDMA with Simple Mode
--
--
------------------------------------------------------------
GEN_SIMPLE_MODE : if (C_INCLUDE_SG = 0) generate
begin
-----------------------------------------------------------
-- Housekeep the Scatter Gather output signals that are not
-- used in Simple DMA mode.
-----------------------------------------------------------
-- Scatter Gather Write Address Channel
m_axi_sg_awvalid <= '0' ;
m_axi_sg_awaddr <= (others => '0');
m_axi_sg_awlen <= (others => '0');
m_axi_sg_awsize <= (others => '0');
m_axi_sg_awburst <= (others => '0');
m_axi_sg_awprot <= (others => '0');
m_axi_sg_awcache <= (others => '0');
-- Scatter Gather Write Data Channel
m_axi_sg_wvalid <= '0';
m_axi_sg_wdata <= (others => '0');
m_axi_sg_wstrb <= (others => '0');
m_axi_sg_wlast <= '0' ;
-- Scatter Gather Write Response Channel
m_axi_sg_bready <= '0' ;
-- Scatter Gather Read Address Channel
m_axi_sg_arvalid <= '0' ;
m_axi_sg_araddr <= (others => '0');
m_axi_sg_arlen <= (others => '0');
m_axi_sg_arsize <= (others => '0');
m_axi_sg_arburst <= (others => '0');
m_axi_sg_arprot <= (others => '0');
m_axi_sg_arcache <= (others => '0');
-- Memory Map to Stream Scatter Gather Read Data Channel
m_axi_sg_rready <= '0' ;
------------------------------------------------------------
-- Instance: I_SIMPLE_MODE_WRAP
--
-- Description:
-- Instance for the CDMA Simple Mode Wrapper
--
------------------------------------------------------------
I_SIMPLE_MODE_WRAP : entity axi_cdma_v4_1.axi_cdma_simple_wrap
generic map (
C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH ,
C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH ,
C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC ,
C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH ,
C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH ,
C_M_AXI_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN ,
C_INCLUDE_DRE => C_INCLUDE_DRE ,
C_USE_DATAMOVER_LITE => C_USE_DATAMOVER_LITE ,
C_READ_ADDR_PIPE_DEPTH => C_READ_ADDR_PIPE_DEPTH ,
C_WRITE_ADDR_PIPE_DEPTH => C_WRITE_ADDR_PIPE_DEPTH ,
C_INCLUDE_SF => C_INCLUDE_SF ,
C_SF_FIFO_DEPTH => SF_FIFO_DEPTH ,
C_SOFT_RST_TIME_CLKS => SOFT_RST_TIME_CLKS ,
C_FAMILY => C_FAMILY
)
port map (
-- Primary Clock and Reset
axi_aclk => m_axi_aclk ,
axi_resetn => m_axi_aresetn ,
-- AXI Lite clock and Reset
axi_lite_aclk => s_axi_lite_aclk ,
axi_lite_resetn => s_axi_lite_aresetn ,
-- Interrupt output
cdma_introut => cdma_introut ,
-- Error Discrete output
cdma_error_out => open ,
-- AXI Lite Write Address Channel
s_axi_lite_awready => s_axi_lite_awready ,
s_axi_lite_awvalid => s_axi_lite_awvalid ,
s_axi_lite_awaddr => s_axi_lite_awaddr ,
-- AXI Lite Write Data Channel
s_axi_lite_wready => s_axi_lite_wready ,
s_axi_lite_wvalid => s_axi_lite_wvalid ,
s_axi_lite_wdata => s_axi_lite_wdata ,
-- AXI Lite Write Response Channel
s_axi_lite_bready => s_axi_lite_bready ,
s_axi_lite_bvalid => s_axi_lite_bvalid ,
s_axi_lite_bresp => s_axi_lite_bresp ,
-- AXI Lite Read Address Channel
s_axi_lite_arready => s_axi_lite_arready ,
s_axi_lite_arvalid => s_axi_lite_arvalid ,
s_axi_lite_araddr => s_axi_lite_araddr ,
-- AXI Lite Read Data Channel
s_axi_lite_rready => s_axi_lite_rready ,
s_axi_lite_rvalid => s_axi_lite_rvalid ,
s_axi_lite_rdata => s_axi_lite_rdata ,
s_axi_lite_rresp => s_axi_lite_rresp ,
-- DataMover MMap Read Address Channel
m_axi_arready => m_axi_arready ,
m_axi_arvalid => m_axi_arvalid ,
m_axi_araddr => m_axi_araddr ,
m_axi_arlen => m_axi_arlen ,
m_axi_arsize => m_axi_arsize ,
m_axi_arburst => m_axi_arburst ,
m_axi_arprot => m_axi_arprot ,
m_axi_arcache => m_axi_arcache ,
-- DataMover MMap Read Data Channel
m_axi_rready => m_axi_rready ,
m_axi_rvalid => m_axi_rvalid ,
m_axi_rdata => m_axi_rdata ,
m_axi_rresp => m_axi_rresp ,
m_axi_rlast => m_axi_rlast ,
-- DataMover Write Address Channel
m_axi_awready => m_axi_awready ,
m_axi_awvalid => m_axi_awvalid ,
m_axi_awaddr => m_axi_awaddr ,
m_axi_awlen => m_axi_awlen ,
m_axi_awsize => m_axi_awsize ,
m_axi_awburst => m_axi_awburst ,
m_axi_awprot => m_axi_awprot ,
m_axi_awcache => m_axi_awcache ,
-- DataMover Write Data Channel
m_axi_wready => m_axi_wready ,
m_axi_wvalid => m_axi_wvalid ,
m_axi_wdata => m_axi_wdata ,
m_axi_wstrb => m_axi_wstrb ,
m_axi_wlast => m_axi_wlast ,
-- DataMover Write Response Channel
m_axi_bready => m_axi_bready ,
m_axi_bvalid => m_axi_bvalid ,
m_axi_bresp => m_axi_bresp ,
-- Debug test vector (Xilinx use only)
axi_cdma_tstvec => cdma_tvect_out
);
end generate GEN_SIMPLE_MODE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_SG_MODE
--
-- If Generate Description:
-- This IfGen Implements the CDMA with Scatter Gather Mode
--
--
------------------------------------------------------------
GEN_SG_MODE : if (C_INCLUDE_SG = 1) generate
begin
------------------------------------------------------------
-- Instance: I_SG_MODE_WRAP
--
-- Description:
-- Instance for the CDMA Scatter Gather Mode Wrapper
--
------------------------------------------------------------
I_SG_MODE_WRAP : entity axi_cdma_v4_1.axi_cdma_sg_wrap
generic map (
C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH ,
C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH ,
C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC ,
C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH ,
C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH ,
C_M_AXI_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN ,
C_INCLUDE_DRE => C_INCLUDE_DRE ,
C_READ_ADDR_PIPE_DEPTH => C_READ_ADDR_PIPE_DEPTH ,
C_WRITE_ADDR_PIPE_DEPTH => C_WRITE_ADDR_PIPE_DEPTH ,
C_INCLUDE_SF => C_INCLUDE_SF ,
C_SF_FIFO_DEPTH => SF_FIFO_DEPTH ,
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH ,
C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION ,
C_SOFT_RST_TIME_CLKS => SOFT_RST_TIME_CLKS ,
C_FAMILY => C_FAMILY
)
port map (
-- Primary Clock and Reset
axi_aclk => m_axi_aclk ,
axi_resetn => m_axi_aresetn ,
-- AXI Lite clock and Reset
axi_lite_aclk => s_axi_lite_aclk ,
axi_lite_resetn => s_axi_lite_aresetn ,
-- Interrupt output
cdma_introut => cdma_introut ,
-- Error Discrete output
cdma_error_out => open ,
-- AXI Lite Write Address Channel
s_axi_lite_awready => s_axi_lite_awready ,
s_axi_lite_awvalid => s_axi_lite_awvalid ,
s_axi_lite_awaddr => s_axi_lite_awaddr ,
-- AXI Lite Write Data Channel
s_axi_lite_wready => s_axi_lite_wready ,
s_axi_lite_wvalid => s_axi_lite_wvalid ,
s_axi_lite_wdata => s_axi_lite_wdata ,
-- AXI Lite Write Response Channel
s_axi_lite_bready => s_axi_lite_bready ,
s_axi_lite_bvalid => s_axi_lite_bvalid ,
s_axi_lite_bresp => s_axi_lite_bresp ,
-- AXI Lite Read Address Channel
s_axi_lite_arready => s_axi_lite_arready ,
s_axi_lite_arvalid => s_axi_lite_arvalid ,
s_axi_lite_araddr => s_axi_lite_araddr ,
-- AXI Lite Read Data Channel
s_axi_lite_rready => s_axi_lite_rready ,
s_axi_lite_rvalid => s_axi_lite_rvalid ,
s_axi_lite_rdata => s_axi_lite_rdata ,
s_axi_lite_rresp => s_axi_lite_rresp ,
-- DataMover MMap Read Address Channel
m_axi_arready => m_axi_arready ,
m_axi_arvalid => m_axi_arvalid ,
m_axi_araddr => m_axi_araddr ,
m_axi_arlen => m_axi_arlen ,
m_axi_arsize => m_axi_arsize ,
m_axi_arburst => m_axi_arburst ,
m_axi_arprot => m_axi_arprot ,
m_axi_arcache => m_axi_arcache ,
-- DataMover MMap Read Data Channel
m_axi_rready => m_axi_rready ,
m_axi_rvalid => m_axi_rvalid ,
m_axi_rdata => m_axi_rdata ,
m_axi_rresp => m_axi_rresp ,
m_axi_rlast => m_axi_rlast ,
-- DataMover Write Address Channel
m_axi_awready => m_axi_awready ,
m_axi_awvalid => m_axi_awvalid ,
m_axi_awaddr => m_axi_awaddr ,
m_axi_awlen => m_axi_awlen ,
m_axi_awsize => m_axi_awsize ,
m_axi_awburst => m_axi_awburst ,
m_axi_awprot => m_axi_awprot ,
m_axi_awcache => m_axi_awcache ,
-- DataMover Write Data Channel
m_axi_wready => m_axi_wready ,
m_axi_wvalid => m_axi_wvalid ,
m_axi_wdata => m_axi_wdata ,
m_axi_wstrb => m_axi_wstrb ,
m_axi_wlast => m_axi_wlast ,
-- DataMover Write Response Channel
m_axi_bready => m_axi_bready ,
m_axi_bvalid => m_axi_bvalid ,
m_axi_bresp => m_axi_bresp ,
-- Scatter Gather Write Address Channel
m_axi_sg_awready => m_axi_sg_awready ,
m_axi_sg_awvalid => m_axi_sg_awvalid ,
m_axi_sg_awaddr => m_axi_sg_awaddr ,
m_axi_sg_awlen => m_axi_sg_awlen ,
m_axi_sg_awsize => m_axi_sg_awsize ,
m_axi_sg_awburst => m_axi_sg_awburst ,
m_axi_sg_awprot => m_axi_sg_awprot ,
m_axi_sg_awcache => m_axi_sg_awcache ,
-- Scatter Gather Write Data Channel
m_axi_sg_wready => m_axi_sg_wready ,
m_axi_sg_wvalid => m_axi_sg_wvalid ,
m_axi_sg_wdata => m_axi_sg_wdata ,
m_axi_sg_wstrb => m_axi_sg_wstrb ,
m_axi_sg_wlast => m_axi_sg_wlast ,
-- Scatter Gather Write Response Channel
m_axi_sg_bready => m_axi_sg_bready ,
m_axi_sg_bvalid => m_axi_sg_bvalid ,
m_axi_sg_bresp => m_axi_sg_bresp ,
-- Scatter Gather Read Address Channel
m_axi_sg_arready => m_axi_sg_arready ,
m_axi_sg_arvalid => m_axi_sg_arvalid ,
m_axi_sg_araddr => m_axi_sg_araddr ,
m_axi_sg_arlen => m_axi_sg_arlen ,
m_axi_sg_arsize => m_axi_sg_arsize ,
m_axi_sg_arburst => m_axi_sg_arburst ,
m_axi_sg_arprot => m_axi_sg_arprot ,
m_axi_sg_arcache => m_axi_sg_arcache ,
-- Scatter Gather Read Data Channel
m_axi_sg_rready => m_axi_sg_rready ,
m_axi_sg_rvalid => m_axi_sg_rvalid ,
m_axi_sg_rdata => m_axi_sg_rdata ,
m_axi_sg_rresp => m_axi_sg_rresp ,
m_axi_sg_rlast => m_axi_sg_rlast ,
-- Debug test vector (Xilinx use only)
axi_cdma_tstvec => cdma_tvect_out
);
end generate GEN_SG_MODE;
end implementation;
| gpl-3.0 |
mistryalok/Zedboard | learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_cdma_v4_1/25515467/hdl/src/vhdl/axi_cdma_pkg.vhd | 1 | 12302 | -------------------------------------------------------------------------------
-- axi_cdma_pkg
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_cdma_pkg.vhd
-- Description: This package contains various constants and functions for
-- AXI DMA operations.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
package axi_cdma_pkg is
-------------------------------------------------------------------------------
-- Function declarations
-------------------------------------------------------------------------------
-- Find minimum required btt width
function required_btt_width1 (dwidth,
burst_size,
btt_width : integer)
return integer;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- AXI Responce Values
-------------------------------------------------------------------------------
constant OKAY_RESP : std_logic_vector(1 downto 0) := "00";
constant EXOKAY_RESP : std_logic_vector(1 downto 0) := "01";
constant SLVERR_RESP : std_logic_vector(1 downto 0) := "10";
constant DECERR_RESP : std_logic_vector(1 downto 0) := "11";
-------------------------------------------------------------------------------
-- Misc Constants
-------------------------------------------------------------------------------
constant NUM_REG_TOTAL : integer := 18;
constant NUM_REG_PER_CHANNEL : integer := 6;
constant REG_MSB_ADDR_BIT : integer := clog2(NUM_REG_TOTAL)-1;
--constant CMD_BASE_WIDTH : integer := 40;
constant CMD_BASE_WIDTH : integer := 104;
constant BUFFER_LENGTH_WIDTH : integer := 23;
-- Constants Used in Desc Updates
constant DESC_STS_TYPE : std_logic := '1';
constant DESC_DATA_TYPE : std_logic := '0';
constant DESC_LAST : std_logic := '1';
constant DESC_NOT_LAST : std_logic := '0';
-- Interrupt Coalescing
constant ZERO_THRESHOLD : std_logic_vector(7 downto 0) := (others => '0');
constant ONE_THRESHOLD : std_logic_vector(7 downto 0) := "00000001";
constant ZERO_DELAY : std_logic_vector(7 downto 0) := (others => '0');
constant MTBF_STAGES : integer := 4;
-------------------------------------------------------------------------------
-- Register Bit Constants
-------------------------------------------------------------------------------
-- DMACR
constant DMACR_RESERVED0_BIT : integer := 0;
constant DMACR_TAILPEN_BIT : integer := 1;
constant DMACR_RESET_BIT : integer := 2;
constant DMACR_SGMODE_BIT : integer := 3;
constant DMACR_KHREAD_BIT : integer := 4;
constant DMACR_KHWRITE_BIT : integer := 5;
--constant DMACR_RESERVED4_BIT : integer := 4;
--constant DMACR_RESERVED5_BIT : integer := 5;
constant DMACR_CYCLIC_BIT : integer := 6;
constant DMACR_RESERVED7_BIT : integer := 7;
constant DMACR_RESERVED8_BIT : integer := 8;
constant DMACR_RESERVED9_BIT : integer := 9;
constant DMACR_RESERVED10_BIT : integer := 10;
constant DMACR_RESERVED11_BIT : integer := 11;
constant DMACR_IOC_IRQEN_BIT : integer := 12;
constant DMACR_DLY_IRQEN_BIT : integer := 13;
constant DMACR_ERR_IRQEN_BIT : integer := 14;
constant DMACR_RESERVED15_BIT : integer := 15;
constant DMACR_IRQTHRESH_LSB_BIT : integer := 16;
constant DMACR_IRQTHRESH_MSB_BIT : integer := 23;
constant DMACR_IRQDELAY_LSB_BIT : integer := 24;
constant DMACR_IRQDELAY_MSB_BIT : integer := 31;
-- DMASR
constant DMASR_HALTED_BIT : integer := 0;
constant DMASR_IDLE_BIT : integer := 1;
constant DMASR_CMPLT_BIT : integer := 2;
constant DMASR_ERROR_BIT : integer := 3;
constant DMASR_DMAINTERR_BIT : integer := 4;
constant DMASR_DMASLVERR_BIT : integer := 5;
constant DMASR_DMADECERR_BIT : integer := 6;
constant DMASR_RESERVED7_BIT : integer := 7;
constant DMASR_SGINTERR_BIT : integer := 8;
constant DMASR_SGSLVERR_BIT : integer := 9;
constant DMASR_SGDECERR_BIT : integer := 10;
constant DMASR_RESERVED11_BIT : integer := 11;
constant DMASR_IOCIRQ_BIT : integer := 12;
constant DMASR_DLYIRQ_BIT : integer := 13;
constant DMASR_ERRIRQ_BIT : integer := 14;
constant DMASR_RESERVED15_BIT : integer := 15;
constant DMASR_IRQTHRESH_LSB_BIT : integer := 16;
constant DMASR_IRQTHRESH_MSB_BIT : integer := 23;
constant DMASR_IRQDELAY_LSB_BIT : integer := 24;
constant DMASR_IRQDELAY_MSB_BIT : integer := 31;
-- CURDESC
constant CURDESC_LOWER_MSB_BIT : integer := 31;
constant CURDESC_LOWER_LSB_BIT : integer := 6;
constant CURDESC_RESERVED_BIT5 : integer := 5;
constant CURDESC_RESERVED_BIT4 : integer := 4;
constant CURDESC_RESERVED_BIT3 : integer := 3;
constant CURDESC_RESERVED_BIT2 : integer := 2;
constant CURDESC_RESERVED_BIT1 : integer := 1;
constant CURDESC_RESERVED_BIT0 : integer := 0;
-- TAILDESC
constant TAILDESC_LOWER_MSB_BIT : integer := 31;
constant TAILDESC_LOWER_LSB_BIT : integer := 6;
constant TAILDESC_RESERVED_BIT5 : integer := 5;
constant TAILDESC_RESERVED_BIT4 : integer := 4;
constant TAILDESC_RESERVED_BIT3 : integer := 3;
constant TAILDESC_RESERVED_BIT2 : integer := 2;
constant TAILDESC_RESERVED_BIT1 : integer := 1;
constant TAILDESC_RESERVED_BIT0 : integer := 0;
-- BTT
constant BTT_MSB_BIT : integer := 22;
-- DataMover Command / Status Constants
constant DATAMOVER_CMDDONE_BIT : integer := 7;
constant DATAMOVER_SLVERR_BIT : integer := 6;
constant DATAMOVER_DECERR_BIT : integer := 5;
constant DATAMOVER_INTERR_BIT : integer := 4;
constant DATAMOVER_TAGMSB_BIT : integer := 3;
constant DATAMOVER_TAGLSB_BIT : integer := 0;
-- Descriptor Control Bits
constant DESC_BLENGTH_LSB_BIT : integer := 0;
constant DESC_BLENGTH_MSB_BIT : integer := 22;
constant DESC_RSVD23_BIT : integer := 23;
constant DESC_RSVD24_BIT : integer := 24;
constant DESC_RSVD25_BIT : integer := 25;
constant DESC_EOF_BIT : integer := 26;
constant DESC_SOF_BIT : integer := 27;
constant DESC_RSVD28_BIT : integer := 28;
constant DESC_RSVD29_BIT : integer := 29;
constant DESC_RSVD30_BIT : integer := 30;
constant DESC_IOC_BIT : integer := 31;
-- Descriptor Status Bits
constant DESC_STS_CMPLTD_BIT : integer := 31;
constant DESC_STS_DECERR_BIT : integer := 30;
constant DESC_STS_SLVERR_BIT : integer := 29;
constant DESC_STS_INTERR_BIT : integer := 28;
constant DESC_STS_RXSOF_BIT : integer := 27;
constant DESC_STS_RXEOF_BIT : integer := 26;
constant DESC_STS_RSVD25_BIT : integer := 25;
constant DESC_STS_RSVD24_BIT : integer := 24;
constant DESC_STS_RSVD23_BIT : integer := 23;
constant DESC_STS_XFRDBYTS_MSB_BIT : integer := 22;
constant DESC_STS_XFRDBYTS_LSB_BIT : integer := 0;
-- DataMover Command / Status Constants
constant DATAMOVER_STS_CMDDONE_BIT : integer := 7;
constant DATAMOVER_STS_SLVERR_BIT : integer := 6;
constant DATAMOVER_STS_DECERR_BIT : integer := 5;
constant DATAMOVER_STS_INTERR_BIT : integer := 4;
constant DATAMOVER_STS_TAGMSB_BIT : integer := 3;
constant DATAMOVER_STS_TAGLSB_BIT : integer := 0;
constant DATAMOVER_STS_TAGEOF_BIT : integer := 1;
constant DATAMOVER_STS_TLAST_BIT : integer := 31;
constant DATAMOVER_CMD_BTTLSB_BIT : integer := 0;
constant DATAMOVER_CMD_BTTMSB_BIT : integer := 22;
constant DATAMOVER_CMD_TYPE_BIT : integer := 23;
constant DATAMOVER_CMD_DSALSB_BIT : integer := 24;
constant DATAMOVER_CMD_DSAMSB_BIT : integer := 29;
constant DATAMOVER_CMD_EOF_BIT : integer := 30;
constant DATAMOVER_CMD_DRR_BIT : integer := 31;
constant DATAMOVER_CMD_ADDRLSB_BIT : integer := 32;
-- Note: Bit offset require adding ADDR WIDTH to get to actual bit index
constant DATAMOVER_CMD_ADDRMSB_BOFST: integer := 31;
constant DATAMOVER_CMD_TAGLSB_BOFST : integer := 32;
constant DATAMOVER_CMD_TAGMSB_BOFST : integer := 35;
constant DATAMOVER_CMD_RSVLSB_BOFST : integer := 36;
constant DATAMOVER_CMD_RSVMSB_BOFST : integer := 39;
end axi_cdma_pkg;
-------------------------------------------------------------------------------
-- PACKAGE BODY
-------------------------------------------------------------------------------
package body axi_cdma_pkg is
-------------------------------------------------------------------------------
-- Function to determine minimum bits required for BTT_SIZE field
-------------------------------------------------------------------------------
function required_btt_width1 ( dwidth,
burst_size,
btt_width : integer)
return integer is
variable min_width : integer;
begin
min_width := clog2((dwidth/8)*burst_size)+1;
if(min_width > btt_width)then
return min_width;
else
return btt_width;
end if;
end function required_btt_width1;
end package body axi_cdma_pkg;
| gpl-3.0 |
juhasch/myhdl | example/manual/rom.vhd | 13 | 663 | -- File: rom.vhd
-- Generated by MyHDL 0.8dev
-- Date: Fri Dec 21 15:02:39 2012
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.pck_myhdl_08.all;
entity rom is
port (
dout: out unsigned(7 downto 0);
addr: in unsigned(3 downto 0)
);
end entity rom;
-- ROM model
architecture MyHDL of rom is
begin
ROM_READ: process (addr) is
begin
case to_integer(addr) is
when 0 => dout <= "00010001";
when 1 => dout <= "10000110";
when 2 => dout <= "00110100";
when others => dout <= "00001001";
end case;
end process ROM_READ;
end architecture MyHDL;
| lgpl-2.1 |
bogdanvuk/sydpy | tests/test_ping_pong_cosim_pong.vhd | 1 | 331 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity pong is
port
(
din : in std_logic_vector(31 downto 0);
dout : out std_logic_vector(31 downto 0)
);
end entity pong;
architecture rtl of pong is
begin
dout <= din(23 downto 16) & din(23 downto 16) & din(7 downto 0) & din(7 downto 0);
end architecture rtl;
| lgpl-2.1 |
jmgc/myhdl-numeric | example/manual/bin2gray.vhd | 7 | 784 | -- File: bin2gray.vhd
-- Generated by MyHDL 0.8dev
-- Date: Fri Dec 21 15:02:38 2012
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.pck_myhdl_08.all;
entity bin2gray is
port (
B: in unsigned(7 downto 0);
G: out unsigned(7 downto 0)
);
end entity bin2gray;
-- Gray encoder.
--
-- B -- input intbv signal, binary encoded
-- G -- output intbv signal, gray encoded
-- width -- bit width
architecture MyHDL of bin2gray is
begin
BIN2GRAY_LOGIC: process (B) is
variable Bext: unsigned(8 downto 0);
begin
Bext := to_unsigned(0, 9);
Bext := resize(B, 9);
for i in 0 to 8-1 loop
G(i) <= (Bext((i + 1)) xor Bext(i));
end loop;
end process BIN2GRAY_LOGIC;
end architecture MyHDL;
| lgpl-2.1 |
jaruiz/light8080 | src/vhdl/rtl/mcu/mcu80_pkg.vhdl | 1 | 3231 | --------------------------------------------------------------------------------
-- mcu80_pkg.vhdl -- Support package for Light8080 MCU.
--
-- Contains functions used to initialize internal BRAM with object code.
--
-- This package will be used from the object code package where the program
-- initialized RAM constant is defined. If you use script obj2hdl it will
-- take care of this for you.
-- The package is used in entity mcu80 too, and nowhere else.
--
-- Please see the LICENSE file in the project root for license matters.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package mcu80_pkg is
-- Global signals for the TB to use in lieu of hierarchical names.
signal mon_addr : std_logic_vector(15 downto 0);
signal mon_fetch : std_logic;
signal mon_we : std_logic;
signal mon_wdata : std_logic_vector(7 downto 0);
signal mon_uart_ce : std_logic;
-- Basic array type for the declaration of initialization constants.
-- This type is meant to be used to declare a constant with the object code
-- that is to be preprogrammed in an initialized RAM.
type obj_code_t is array(integer range <>) of std_logic_vector(7 downto 0);
-- Basic array type for the definition of initialized RAMs.
type ram_t is array(integer range <>) of std_logic_vector(7 downto 0);
-- Builds BRAM initialization constant from a constant CONSTRAINED byte array
-- containing the application object code.
-- The object code is placed at the beginning of the BRAM and the rest is
-- filled with zeros.
-- CAN BE USED IN SYNTHESIZABLE CODE to compute a BRAM initialization constant
-- from a constant argument.
--
-- oC: Object code table (as generated by utility script obj2hdl for instance).
-- size: Size of the target memory.
-- Returns ram_t value size-bytes long, suitable for synth-time initialization
-- of a BRAM.
function objcode_to_bram(oC : obj_code_t; size : integer) return ram_t;
-- Compute log2(A), rounding up.
-- Use this to get the minimum width of the address bus necessary to
-- address A locations.
function log2(A : natural) return natural;
end package;
package body mcu80_pkg is
-- Builds BRAM initialization constant from a constant CONSTRAINED byte array
-- containing the application object code.
function objcode_to_bram(oC : obj_code_t; size : integer) return ram_t is
variable br : ram_t(integer range 0 to size-1);
variable i : integer;
variable obj_size : integer;
begin
-- If the object code table is longer than the array size, truncate code
if oC'length > size then
obj_size := size;
else
obj_size := oC'length;
end if;
-- Copy object code to start of BRAM...
for i in 0 to obj_size-1 loop
br(i) := oC(oC'low + i);
end loop;
-- ... and fill the rest with zeros
br(obj_size to size-1) := (others => x"00");
return br;
end function objcode_to_bram;
function log2(A : natural) return natural is
begin
for I in 1 to 30 loop -- Works for up to 32 bit integers
if(2**I >= A) then
return(I);
end if;
end loop;
return(30);
end function log2;
end package body;
| lgpl-2.1 |
jaruiz/light8080 | src/sw/hello/obj_code_pkg.vhdl | 1 | 4599 | --------------------------------------------------------------------------------
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
--------------------------------------------------------------------------------
-- Written by build_rom.py for project 'hello'.
--------------------------------------------------------------------------------
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Package with utility functions for handling SoC object code.
use work.mcu80_pkg.all;
package obj_code_pkg is
-- Object code initialization constant.
constant object_code : obj_code_t(0 to 248) := (
X"c3", X"60", X"00", X"00", X"00", X"00", X"00", X"00", -- 0000h : 0007h
X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0008h : 000fh
X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0010h : 0017h
X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0018h : 001fh
X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0020h : 0027h
X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0028h : 002fh
X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0030h : 0037h
X"c3", X"b0", X"00", X"00", X"00", X"00", X"00", X"00", -- 0038h : 003fh
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0040h : 0047h
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0048h : 004fh
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0050h : 0057h
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", -- 0058h : 005fh
X"31", X"5c", X"01", X"21", X"f7", X"00", X"22", X"f8", -- 0060h : 0067h
X"00", X"21", X"fc", X"00", X"22", X"fa", X"00", X"3e", -- 0068h : 006fh
X"14", X"d3", X"83", X"3e", X"58", X"d3", X"82", X"3e", -- 0070h : 0077h
X"00", X"d3", X"86", X"3e", X"08", X"d3", X"88", X"fb", -- 0078h : 007fh
X"21", X"9e", X"00", X"cd", X"e7", X"00", X"3e", X"55", -- 0080h : 0087h
X"d3", X"86", X"3e", X"04", X"d3", X"80", X"db", X"84", -- 0088h : 008fh
X"4f", X"07", X"07", X"81", X"d3", X"86", X"c3", X"8e", -- 0090h : 0097h
X"00", X"f3", X"76", X"c3", X"9b", X"00", X"0a", X"0d", -- 0098h : 009fh
X"0a", X"48", X"65", X"6c", X"6c", X"6f", X"20", X"57", -- 00a0h : 00a7h
X"6f", X"72", X"6c", X"64", X"21", X"0a", X"24", X"00", -- 00a8h : 00afh
X"e5", X"f5", X"db", X"81", X"e6", X"20", X"ca", X"c9", -- 00b0h : 00b7h
X"00", X"3e", X"20", X"d3", X"81", X"db", X"80", X"d3", -- 00b8h : 00bfh
X"86", X"2a", X"fa", X"00", X"77", X"23", X"22", X"fa", -- 00c0h : 00c7h
X"00", X"db", X"81", X"e6", X"10", X"ca", X"e3", X"00", -- 00c8h : 00cfh
X"3e", X"10", X"d3", X"81", X"2a", X"f8", X"00", X"7e", -- 00d0h : 00d7h
X"fe", X"24", X"ca", X"e3", X"00", X"23", X"22", X"f8", -- 00d8h : 00dfh
X"00", X"d3", X"80", X"f1", X"e1", X"fb", X"c9", X"7e", -- 00e0h : 00e7h
X"23", X"22", X"f8", X"00", X"fe", X"24", X"ca", X"f6", -- 00e8h : 00efh
X"00", X"d3", X"80", X"c3", X"e7", X"00", X"c9", X"24", -- 00f0h : 00f7h
X"00" -- 00f8h : 00f8h
);
end package obj_code_pkg;
| lgpl-2.1 |
jmgc/myhdl-numeric | example/manual/ram.vhd | 13 | 801 | -- File: ram.vhd
-- Generated by MyHDL 0.8dev
-- Date: Fri Dec 21 15:02:39 2012
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.pck_myhdl_08.all;
entity ram is
port (
dout: out unsigned(7 downto 0);
din: in unsigned(7 downto 0);
addr: in unsigned(6 downto 0);
we: in std_logic;
clk: in std_logic
);
end entity ram;
-- Ram model
architecture MyHDL of ram is
type t_array_mem is array(0 to 128-1) of unsigned(7 downto 0);
signal mem: t_array_mem;
begin
RAM_WRITE: process (clk) is
begin
if rising_edge(clk) then
if bool(we) then
mem(to_integer(addr)) <= din;
end if;
end if;
end process RAM_WRITE;
dout <= mem(to_integer(addr));
end architecture MyHDL;
| lgpl-2.1 |
yishinli/emc2 | src/hal/drivers/m5i20/hostmot5_src/hostmot5-8e.vhd | 1 | 25600 | library IEEE;
use IEEE.std_logic_1164.all; -- defines std_logic types
-- 8 axis version with 24 I/O bits
entity HostMot5_8 is
port
(
LRD: in STD_LOGIC;
LWR: in STD_LOGIC;
LW_R: in STD_LOGIC;
ALE: in STD_LOGIC;
ADS: in STD_LOGIC;
BLAST: in STD_LOGIC;
WAITO: in STD_LOGIC;
LOCKO: in STD_LOGIC;
CS0: in STD_LOGIC;
CS1: in STD_LOGIC;
READY: out STD_LOGIC;
INT: out STD_LOGIC;
LAD: inout STD_LOGIC_VECTOR (31 downto 0); -- data/address bus
LA: in STD_LOGIC_VECTOR (8 downto 2); -- non-muxed address bus
lBE: in STD_LOGIC_VECTOR (3 downto 0); -- byte enables
SYNCLK: in STD_LOGIC;
LCLK: in STD_LOGIC;
-- I/O signals
A: in STD_LOGIC_VECTOR (7 downto 0);
B: in STD_LOGIC_VECTOR (7 downto 0);
IDX: in STD_LOGIC_VECTOR (7 downto 0);
PWM: inout STD_LOGIC_VECTOR (7 downto 0);
ENA: out STD_LOGIC_VECTOR (7 downto 0);
DIR: inout STD_LOGIC_VECTOR (7 downto 0);
IOBITSA: inout STD_LOGIC_VECTOR (23 downto 0);
-- IOBITSB: inout STD_LOGIC_VECTOR (23 downto 0);
-- led bits
LEDS: out STD_LOGIC_VECTOR(7 downto 0)
);
end HostMot5_8; -- for 5I20 or 4I65
architecture dataflow of Hostmot5_8 is
alias BLE: STD_LOGIC is LBE(0); -- 16 bit mode
alias BHE: STD_LOGIC is LBE(3); -- 16 bit mode
alias LA1: STD_LOGIC is LBE(1); -- 8/16 bit mode
alias LA0: STD_LOGIC is LBE(0); -- 8 bit mode
-- misc global signals --
signal D: STD_LOGIC_VECTOR (31 downto 0); -- internal data bus
signal LatchedA: STD_LOGIC_VECTOR (15 downto 0);
signal LatchedLBE: STD_LOGIC_VECTOR (3 downto 0);
signal PreFastRead: STD_LOGIC;
signal FastRead: STD_LOGIC;
-- Version specific constants --
constant counters :integer := 8;
constant HMID : STD_LOGIC_VECTOR (31 downto 0) := x"AA010008"; -- MSW = rev 1, LSW = 4 axis
constant MasterClock : STD_LOGIC_VECTOR (31 downto 0) := x"01FCA055"; -- = 33.3333 MHz
-- misc global signals --
signal CardSelect: STD_LOGIC; -- card select decode
signal LEDView: STD_LOGIC_VECTOR (7 downto 0); -- index register
-- irq related signals
signal IRQSource: STD_LOGIC;
signal IRQLatch: STD_LOGIC;
signal IRQMask: STD_LOGIC;
signal MissedIRQ: STD_LOGIC;
signal StopOnMissedIRQ: STD_LOGIC;
signal ClearMissedIRQ: STD_LOGIC;
signal LatchOnInterrupt: STD_LOGIC;
-- timeout related signals
signal ReloadWDCmd: STD_LOGIC;
signal StopOnTimeout: STD_LOGIC;
signal WDTimeOut: STD_LOGIC;
-- LEDView and id reg signals
signal LoadLEDViewCmd: STD_LOGIC;
signal ReadLEDViewCmd: STD_LOGIC;
signal Enasigs :STD_LOGIC_VECTOR (counters-1 downto 0);
signal IDSel: STD_LOGIC;
signal ReadIDCmd: STD_LOGIC;
signal MCSel: STD_LOGIC;
signal ReadMCCmd: STD_LOGIC;
-- irqdiv reg signals
signal ReadIRQDivCmd: STD_LOGIC;
signal LoadIRQDivCmd: STD_LOGIC;
signal ClearIRQCmd: STD_LOGIC;
-- irq sel reg signals
signal loadGCRCmd: STD_LOGIC;
signal LoadGMRCmd: STD_LOGIC;
signal ReadGMRCmd: STD_LOGIC;
-- timeout reg signals
signal loadTimeoutCmd: STD_LOGIC;
signal ReadTimeoutCmd: STD_LOGIC;
signal ReadTimerCmd: STD_LOGIC;
-- phase accumulator signals
signal ReadPhaseCmd: STD_LOGIC;
signal LoadPhaseCmd: STD_LOGIC;
-- counter signals --
signal CounterRead: STD_LOGIC_VECTOR (counters-1 downto 0); -- read counter
signal GlobalCounterEnable: STD_LOGIC; -- enable counting
signal GlobalCountLatchcmd: STD_LOGIC; -- command to latch counter value
signal GlobalCountLatch: STD_LOGIC; -- command + irq generated latch count
signal CountLatchEdge1: STD_LOGIC;
signal CountLatchEdge2: STD_LOGIC;
signal CCRLoadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg loads
signal CCRReadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg reads
signal GlobalCounterClear: STD_LOGIC; -- clear counter
-- secondary counter signals --
signal SCounterRead: STD_LOGIC_VECTOR (counters-1 downto 0); -- read counter
signal SCCRLoadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg loads
signal SCCRReadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg reads
-- pwm generator signals --
signal RefCountBus: STD_LOGIC_VECTOR (9 downto 0);
signal LoadPWM: STD_LOGIC_VECTOR (counters-1 downto 0);
signal ReadPWM: STD_LOGIC_VECTOR (counters-1 downto 0);
signal PCRLoadCmds: STD_LOGIC_VECTOR (counters-1 downto 0);
signal PCRReadCmds: STD_LOGIC_VECTOR (counters-1 downto 0);
signal GlobalPWMEnable: STD_LOGIC;
signal GlobalClearPWM: STD_LOGIC;
signal GlobalClearPWMCmd: STD_LOGIC;
signal StopPWM: STD_LOGIC;
-- misc i/o signals
signal PortASel: STD_LOGIC;
signal DDRASel: STD_LOGIC;
signal LoadPortA: STD_LOGIC;
signal LoadDDRA: STD_LOGIC;
signal ReadDDRA: STD_LOGIC;
signal ReadPortA: STD_LOGIC;
signal PortBSel: STD_LOGIC;
signal DDRBSel: STD_LOGIC;
signal LoadPortB: STD_LOGIC;
signal LoadDDRB: STD_LOGIC;
signal ReadDDRB: STD_LOGIC;
signal ReadPortB: STD_LOGIC;
-- decodes --
signal LEDViewSel: STD_LOGIC;
signal IndexSel: STD_LOGIC;
signal GCRSel: STD_LOGIC;
signal GMRSel: STD_LOGIC;
signal CCRSel: STD_LOGIC;
signal SCCRSel: STD_LOGIC;
signal PCRSel: STD_LOGIC;
signal TimeOutSel: STD_LOGIC;
signal TimerSel: STD_LOGIC;
signal IRQDIVSel: STD_LOGIC;
signal PWMValSel: STD_LOGIC;
signal PhaseSel: STD_LOGIC;
signal CounterSel: STD_LOGIC;
signal SCounterSel: STD_LOGIC;
function OneOfEightDecode(ena : std_logic; dec : std_logic_vector(2 downto 0)) return std_logic_vector is
variable result : std_logic_vector(counters-1 downto 0);
begin
if ena = '1' then
case dec is
when "000" => result := "00000001";
when "001" => result := "00000010";
when "010" => result := "00000100";
when "011" => result := "00001000";
when "100" => result := "00010000";
when "101" => result := "00100000";
when "110" => result := "01000000";
when "111" => result := "10000000";
when others => result := "00000000";
end case;
else
result := "00000000";
end if;
return result;
end OneOfEightDecode;
function OneOfEightMux(sel: std_logic_vector (2 downto 0); input: std_logic_vector(counters-1 downto 0)) return std_logic is
variable result : std_logic;
begin
case sel is
when "000" => result := input(0);
when "001" => result := input(1);
when "010" => result := input(2);
when "011" => result := input(3);
when "100" => result := input(4);
when "101" => result := input(5);
when "110" => result := input(6);
when "111" => result := input(7);
when others => result := '0';
end case;
return result;
end OneOfEightMux;
component indexreg
port (
clk: in STD_LOGIC;
ibus: in STD_LOGIC_VECTOR (15 downto 0);
obus: out STD_LOGIC_VECTOR (15 downto 0);
loadindex: in STD_LOGIC;
readindex: in STD_LOGIC;
index: out STD_LOGIC_VECTOR (7 downto 0)
);
end component;
component counter
port (
obus: out STD_LOGIC_VECTOR (31 downto 0);
ibus: in STD_LOGIC_VECTOR (31 downto 0);
quada: in STD_LOGIC;
quadb: in STD_LOGIC;
index: in STD_LOGIC;
ccrloadcmd: in STD_LOGIC;
ccrreadcmd: in STD_LOGIC;
countoutreadcmd: in STD_LOGIC;
countlatchcmd: in STD_LOGIC;
countclearcmd: in STD_LOGIC;
countenable: in STD_LOGIC;
indexmask: in STD_LOGIC;
nads: in STD_LOGIC;
clk: in STD_LOGIC
);
end component;
component pwmgen
port (
clk: in STD_LOGIC;
refcount: in STD_LOGIC_VECTOR (9 downto 0);
ibus: in STD_LOGIC_VECTOR (15 downto 0);
obus: out STD_LOGIC_VECTOR (15 downto 0);
loadpwmval: in STD_LOGIC;
readpwmval: in STD_LOGIC;
clearpwmval: in STD_LOGIC;
pcrloadcmd: STD_LOGIC;
pcrreadcmd: STD_LOGIC;
pwmout: out STD_LOGIC;
dirio: inout STD_LOGIC;
enablein: in STD_LOGIC;
enableout: out STD_LOGIC
);
end component pwmgen;
component pwmref is
port (
clk: in STD_LOGIC;
refcount: out STD_LOGIC_VECTOR (9 downto 0);
irqgen: out STD_LOGIC;
ibus: in STD_LOGIC_VECTOR (15 downto 0);
obus: out STD_LOGIC_VECTOR (15 downto 0);
irqdivload: in STD_LOGIC;
irqdivread: in STD_LOGIC;
phaseload: in STD_LOGIC;
phaseread: in STD_LOGIC
);
end component pwmref;
component globalcontrolreg is
port (
clk: in STD_LOGIC;
ibus: in STD_LOGIC_VECTOR (15 downto 0);
reset: in STD_LOGIC;
loadgcr: in STD_LOGIC;
ctrclear: out STD_LOGIC;
ctrlatch: out STD_LOGIC;
pwmclear: out STD_LOGIC;
irqclear: out STD_LOGIC;
reloadwd: out STD_LOGIC
);
end component globalcontrolreg;
component globalmodereg is
port (
clk: in STD_LOGIC;
ibus: in STD_LOGIC_VECTOR (15 downto 0);
obus: out STD_LOGIC_VECTOR (15 downto 0);
reset: in STD_LOGIC;
loadglobalmode: in STD_LOGIC;
readglobalmode: in STD_LOGIC;
ctrena: out STD_LOGIC;
pwmena: out STD_LOGIC;
clearpwmena: in STD_LOGIC;
loi: out STD_LOGIC;
som: out STD_LOGIC;
sot: out STD_LOGIC;
miout: out STD_LOGIC;
miin: in STD_LOGIC;
irqmask: out STD_LOGIC;
irqstatus: in STD_LOGIC
);
end component globalmodereg;
component WordPR24 is
port (
clear: in STD_LOGIC;
clk: in STD_LOGIC;
ibus: in STD_LOGIC_VECTOR (23 downto 0);
obus: out STD_LOGIC_VECTOR (23 downto 0);
loadport: in STD_LOGIC;
loadddr: in STD_LOGIC;
readddr: in STD_LOGIC;
portdata: out STD_LOGIC_VECTOR (23 downto 0)
);
end component WordPR24;
component Word24RB is
Port (
obus: out STD_LOGIC_VECTOR (23 downto 0);
readport: in STD_LOGIC;
portdata: in STD_LOGIC_VECTOR (23 downto 0) );
end component Word24RB;
component Timeout is
Port ( clk : in std_logic;
ibus : in std_logic_vector(15 downto 0);
obus : out std_logic_vector(15 downto 0);
timeoutload : in std_logic;
timeoutread : in std_logic;
timerread : in std_logic;
reload : in std_logic;
timerz : out std_logic);
end component Timeout;
component idreadback is
Generic ( id : std_logic_vector(31 downto 0);
mc : std_logic_vector(31 downto 0));
Port ( readid : in std_logic;
readmc : in std_logic;
obus : out std_logic_vector(31 downto 0));
end component idreadback;
begin
makecounters: for i in 0 to (counters -1) generate
counterx: counter port map (
obus => D,
ibus => LAD,
quada => A(i),
quadb => B(i),
index => Idx(i),
ccrloadcmd => CCRLoadCmds(i),
ccrreadcmd => CCRReadCmds(i),
countoutreadcmd => CounterRead(i),
countlatchcmd => GlobalCountLatch,
countclearcmd => GlobalCounterClear,
countenable => GlobalCounterEnable,
indexmask => IOBITSA(16+i),
nads => ADS,
clk => LClk
);
end generate;
makescounters: for i in 0 to 3 generate
counterx: counter port map (
obus => D,
ibus => LAD,
quada => IOBITSA((i*4)),
quadb => IOBITSA((i*4)+1),
index => IOBITSA((i*4)+2),
ccrloadcmd => SCCRLoadCmds(i),
ccrreadcmd => SCCRReadCmds(i),
countoutreadcmd => SCounterRead(i),
countlatchcmd => GlobalCountLatch,
countclearcmd => GlobalCounterClear,
countenable => GlobalCounterEnable,
indexmask => IOBITSA((i*4)+3),
nads => ADS,
clk => LClk
);
end generate;
makepwmgen: for i in 0 to (counters -1) generate
pwmgenx: pwmgen port map (
clk => LClk,
refcount => RefCountBus,
ibus => LAD(15 downto 0),
obus => D(15 downto 0),
loadpwmval => LoadPWM(i),
readpwmval => ReadPWM(i),
clearpwmval => GlobalClearPWM,
pcrloadcmd => PCRLoadCmds(i),
pcrreadcmd => PCRReadCmds(i),
pwmout => PWM(i),
dirio => Dir(i),
enablein => GlobalPWMEnable,
enableout =>EnaSigs(i)
);
end generate;
oporta: WordPR24 port map (
clear => '0',
clk => LClk,
ibus => LAD(23 downto 0),
obus => D(23 downto 0),
loadport => LoadPortA,
loadddr => LoadDDRA,
readddr => ReadDDRA,
portdata => IOBITSA
);
iporta: Word24RB port map (
obus => D(23 downto 0),
readport => ReadPortA,
portdata => IOBITSA
);
-- oportb: WordPR24 port map (
-- clear => '0',
-- clk => LClk,
-- ibus => LAD(23 downto 0),
-- obus => D(23 downto 0),
-- loadport => LoadPortB,
-- loadddr => LoadDDRB,
-- readddr => ReadDDRB,
-- portdata => IOBITSB
-- );
-- iportb: Word24RB port map (
-- obus => D(23 downto 0),
-- readport => ReadPortB,
-- portdata => IOBitsB
-- );
pwmrefcount: pwmref port map (
clk => LClk,
refcount => RefCountBus,
irqgen => IRQSource,
ibus => LAD(15 downto 0),
obus => D(15 downto 0),
irqdivload => LoadIRQDivCmd,
irqdivread => ReadIRQDivCmd,
phaseload => LoadPhaseCmd,
phaseread => ReadPhaseCmd
);
gLedreg: indexreg port map (
clk => LClk,
ibus => LAD(15 downto 0),
obus => D(15 downto 0),
loadindex => LoadLEDViewCmd,
readindex => ReadLEDViewCmd,
index => LEDView
);
ggcontrolreg: globalcontrolreg port map (
clk => LClk,
ibus => LAD(15 downto 0),
reset => '0',
loadgcr => LoadGCRCmd,
ctrclear => GlobalCounterClear,
ctrlatch => GlobalCountLatchCmd,
pwmclear => GlobalClearPWMCmd,
irqclear => ClearIRQCmd,
reloadwd => ReloadWDCmd
);
gglobalmodereg: globalmodereg port map (
clk => LClk,
ibus => LAD(15 downto 0),
obus => D(15 downto 0),
reset => '0',
loadglobalmode => loadGMRCmd,
readglobalmode => ReadGMRCmd,
ctrena => GlobalCounterEnable,
pwmena => GlobalPWMEnable,
clearpwmena => StopPWM,
loi => LatchOnInterrupt,
som => StopOnMissedIRQ,
sot => StopOnTimeout,
miout => ClearMissedIRQ,
miin => MissedIRQ,
irqmask => IRQMask,
irqstatus => IRQLatch
);
atimeout: timeout port map (
clk => LClk,
ibus => LAD(15 downto 0),
obus => D(15 downto 0),
timeoutload => loadTimeOutCmd,
timeoutread => ReadTimeOutCmd,
timerread => ReadTimerCmd,
reload => ReLoadWDCmd,
timerz => WDTimeout
);
aidreadback: idreadback
generic map (
id => HMID,
mc => MasterClock
)
port map(
readid => ReadIDCmd,
readmc => ReadMCCmd,
obus => D
);
LADDrivers: process (D,FastRead)
begin
if FastRead ='1' then
LAD <= D;
else
LAD <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
end if;
end process LADDrivers;
AddressLatch: process (lclk)
begin
if lclk'event and LClk = '1' then
if ADS = '0' then
LatchedA <= LAD(15 downto 0);
LatchedLBE <= LBE;
end if;
end if;
end process AddressLatch;
-- we generate an early read from ADS and LR_W
-- since the 10 nS LRD delay and 5 nS setup time
-- only give us 15 nS to provide data to the PLX chip
MakeFastRead: process (lclk,PreFastread,LRD)
begin
if lclk'event and LClk = '1' then
if ADS = '0' and LW_R = '0'then
PreFastRead <= '1';
else
PreFastRead <= '0';
end if;
end if;
FastRead <= PreFastRead or (not LRD);
end process MakeFastRead;
Decode: process (LatchedA)
begin
if LatchedA(7 downto 5) = "000" then -- 32 bit access
CounterSel <= '1';
else
CounterSel <= '0';
end if;
if LatchedA(7 downto 5) = "001" then -- 32 bit access
SCounterSel <= '1';
else
SCounterSel <= '0';
end if;
if LatchedA(7 downto 4) = "0100" then -- 16 bit access
CCRSel <= '1';
else
CCRSel <= '0';
end if;
if LatchedA(7 downto 4) = "0101" then -- 16 bit access
SCCRSel <= '1';
else
SCCRSel <= '0';
end if;
if LatchedA(7 downto 5) = "011" then -- 16 bit access
PWMValSel <= '1';
else
PWMValSel <= '0';
end if;
if LatchedA(7 downto 5) = "100" then -- 16 bit access
PCRSel <= '1';
else
PCRSel <= '0';
end if;
if LatchedA(7 downto 2) = "101000" then -- 32 bit access
PortASel <= '1';
else
PortASel <= '0';
end if;
if LatchedA(7 downto 2) = "101001" then -- 32 bit access
DDRASel <= '1';
else
DDRASel <= '0';
end if;
-- if LatchedA(7 downto 2) = "101010" then -- 32 bit access
-- PortBSel <= '1';
-- else
-- PortBSel <= '0';
-- end if;
-- if LatchedA(7 downto 2) = "101011" then -- 32 bit access
-- DDRBSel <= '1';
-- else
-- DDRBSel <= '0';
-- end if;
if LatchedA(7 downto 2) = "110100" then -- 32 bit access D0
IDSel <= '1';
else
IDSel <= '0';
end if;
if LatchedA(7 downto 2) = "110101" then -- 32 bit access D4
MCSel <= '1';
else
MCSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100000" then -- 16 bit access
GCRSel <= '1';
else
GCRSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100001" then -- 16 bit access
GMRSel <= '1';
else
GMRSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100010"then -- 16 bit access
IRQDivSel <= '1';
else
IRQDivSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100011"then -- 16 bit access
PhaseSel <= '1';
else
PhaseSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100100" then -- 16 bit access
TimeOutSel <= '1';
else
TimeOutSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100101" then -- 16 bit access
TimerSel <= '1';
else
TimerSel <= '0';
end if;
if LatchedA(7 downto 1) = "1100110" then -- 16 bit access
LEDViewSel <= '1';
else
LEDViewSel <= '0';
end if;
end process;
SigsOut: process (EnaSigs)
begin
Ena <= EnaSigs;
end process;
CounterDecode: process (CounterSel, Fastread, LatchedA)
begin
if FastRead = '1' then
CounterRead <= OneOfEightDecode(CounterSel,LatchedA(4 downto 2));
else
CounterRead <= (others => '0');
end if;
end process;
SCounterDecode: process (SCounterSel, Fastread, LatchedA)
begin
if FastRead = '1' then
SCounterRead <= OneOfEightDecode(SCounterSel,LatchedA(4 downto 2));
else
SCounterRead <= (others => '0');
end if;
end process;
CCRegs: process (CCRSel, FastRead, LWR, LatchedA)
begin
if FastRead = '1' then
CCRReadCmds <= OneOfEightDecode(CCRSel,LatchedA(3 downto 1));
else
CCRReadCmds <= (others => '0');
end if;
if LWR = '0' then
CCRLoadCmds <= OneOfEightDecode(CCRSel,LatchedA(3 downto 1));
else
CCRLoadCmds <= (others => '0');
end if;
end process;
SCCRegs: process (SCCRSel, FastRead, LWR, LatchedA)
begin
if FastRead = '1' then
SCCRReadCmds <= OneOfEightDecode(SCCRSel,LatchedA(3 downto 1));
else
SCCRReadCmds <= (others => '0');
end if;
if LWR = '0' then
SCCRLoadCmds <= OneOfEightDecode(SCCRSel,LatchedA(3 downto 1));
else
SCCRLoadCmds <= (others => '0');
end if;
end process;
PWMdecode: process (PWMValSel,Fastread, LWR, LatchedA)
begin
if FastRead = '1' then
ReadPWM <= OneOfEightDecode(PWMValSel,LatchedA(3 downto 1));
else
ReadPWM <= (others => '0');
end if;
if LWR = '0' then
LoadPWM <= OneOfEightDecode(PWMValSel,LatchedA(3 downto 1));
else
LoadPWM <= (others => '0');
end if;
end process;
PCRegs: process (PCRSel,Fastread, LWR, LatchedA)
begin
if FastRead = '1' then
PCRReadCmds <= OneOfEightDecode(PCRSel,LatchedA(3 downto 1));
else
PCRReadCmds <= (others => '0');
end if;
if LWR = '0' then
PCRLoadCmds <= OneOfEightDecode(PCRSel,LatchedA(3 downto 1));
else
PCRLoadCmds <= (others => '0');
end if;
end process;
PortADecode: process (PortASel,FastRead,LWR)
begin
if PortASel = '1' and LWR = '0' then
LoadPortA <= '1';
else
LoadPortA <= '0';
end if;
if PortASel = '1' and FastRead = '1' then
ReadPortA <= '1';
else
ReadPortA <= '0';
end if;
end process PortADecode;
DDRADecode: process (DDRASel,FastRead,LWR)
begin
if DDRASel = '1' and LWR = '0' then
LoadDDRA <= '1';
else
LoadDDRA <= '0';
end if;
if DDRASel = '1' and FastRead = '1' then
ReadDDRA <= '1';
else
ReadDDRA <= '0';
end if;
end process DDRADecode;
-- PortBDecode: process (PortBSel,FastRead,LWR)
-- begin
-- if PortBSel = '1' and LWR = '0' then
-- LoadPortB <= '1';
-- else
-- LoadPortB <= '0';
-- end if;
-- if PortBSel = '1' and FastRead = '1' then
-- ReadPortB <= '1';
-- else
-- ReadPortB <= '0';
-- end if;
-- end process PortBDecode;
-- DDRBDecode: process (DDRBSel,FastRead,LWR)
-- begin
-- if DDRBSel = '1' and LWR = '0' then
-- LoadDDRB <= '1';
-- else
-- LoadDDRB <= '0';
-- end if;
-- if DDRBSel = '1' and FastRead = '1' then
-- ReadDDRB <= '1';
-- else
-- ReadDDRB <= '0';
-- end if;
-- end process DDRBDecode;
GCRDecode: process (GCRSel,LWR)
begin
if GCRSel = '1' and LWR = '0' then
LoadGCRCmd <= '1';
else
LoadGCRCmd <= '0';
end if;
end process GCRDecode;
GMRDecode: process (GMRSel,FastRead,LWR)
begin
if GMRSel = '1' and LWR = '0' then
LoadGMRCmd <= '1';
else
LoadGMRCmd <= '0';
end if;
if GMRSel = '1' and FastRead = '1' then
ReadGMRCmd <= '1';
else
ReadGMRCmd <= '0';
end if;
end process GMRDecode;
TimeOutDecode: process (TimeOutSel,FastRead,LWR)
begin
if TimeoutSel = '1' and LWR = '0' then
LoadTimeOutCmd <= '1';
else
LoadTimeOutCmd <= '0';
end if;
if TimeOutSel = '1' and FastRead = '1' then
ReadTimeOutCmd <= '1';
else
ReadTimeOutCmd <= '0';
end if;
end process TimeOutDecode;
TimerDecode: process (TimerSel,FastRead,LWR)
begin
if TimerSel = '1' and FastRead = '1' then
ReadTimerCmd <= '1';
else
ReadTimerCmd <= '0';
end if;
end process TimerDecode;
LEDViewDecode: process (LedViewSel,FastRead,LWR)
begin
if LEDViewSel = '1' and LWR = '0' then
LoadLEDViewCmd <= '1';
else
LoadLEDViewCmd <= '0';
end if;
if LEDViewSel = '1' and FastRead= '1' then
ReadLEDViewCmd <= '1';
else
ReadLEDViewCmd <= '0';
end if;
end process LEDViewDecode;
IRQDivDecode: process (IRQDivSel,FastRead,LWR)
begin
if IRQDivSel = '1' and LWR = '0' then
LoadIRQDivCmd <= '1';
else
LoadIRQDivCmd <= '0';
end if;
if IRQDivSel = '1' and FastRead = '1' then
ReadIRQDivCmd <= '1';
else
ReadIRQDivCmd <= '0';
end if;
end process IrqDivDecode;
PhaseDecode: process (PhaseSel,FastRead,LWR)
begin
if PhaseSel = '1' and LWR = '0' then
LoadPhaseCmd <= '1';
else
LoadPhaseCmd <= '0';
end if;
if PhaseSel = '1' and FastRead = '1' then
ReadPhaseCmd <= '1';
else
ReadPhaseCmd <= '0';
end if;
end process PhaseDecode;
IDDecode: process (IDSel,FastRead)
begin
if IDSel = '1' and FastRead = '1' then
ReadIDCmd <= '1';
else
ReadIDCmd <= '0';
end if;
end process IDDecode;
MCDecode: process (MCSel,FastRead)
begin
if MCSel = '1' and FastRead = '1' then
ReadMCCmd <= '1';
else
ReadMCCmd <= '0';
end if;
end process MCDecode;
irqlogic: process (CardSelect,
IRQSource,
IrqLatch,
ClearMissedIRQ,
MissedIRQ,
StopOnMissedIRQ,
LatchOnInterrupt,
GlobalCountLatchCmd,
GlobalClearPWMCmd,
ClearIRQCmd)
begin
if IrqSource'event and IRQsource = '1' then
IRQLatch <= '1';
if IRQLatch = '1' then -- if IRQLatch is set and we get the next interrupt
MissedIRQ <= '1'; -- set Missed IRQ latch
end if;
end if;
if LClk'event and LClk = '1' then
if ((IRQLatch = '1') and (LatchOnInterrupt = '1')) or (GlobalCountLatchCmd = '1') then
CountLatchEdge1 <= '1';
else
CountLatchEdge1 <= '0';
end if;
CountLatchEdge2 <= CountLatchEdge1;
if CountLatchEdge2 = '0' and CountLatchEdge1 = '1' then
GlobalCountLatch <= '1';
else
GlobalCountLatch <= '0';
end if;
end if;
if ClearMissedIRQ = '1' then
MissedIRQ <= '0';
end if;
if ((MissedIRQ = '1') and (StopOnMissedIRQ = '1')) or
((WDTimeOut = '1') and (StopOnTimeout = '1')) then
StopPWM <= '1';
else
StopPWM <= '0';
end if;
if (StopPWM = '1') or (GlobalClearPWMCmd = '1') then -- either stop on pwm or global clear reset pwm gens
GlobalClearPWM <= '1';
else
GlobalClearPWM <= '0';
end if;
if ClearIRQCmd = '1' then -- clear IRQ
IRQLatch <= '0';
end if;
Int <= not (IRQLatch and IRQMask); -- drive our (active low) interrupt pin
Ready <= '0'; -- We're always ready
end process;
LEDDrive: process (A,B,Idx,Dir,PWM,IRQLatch,LedView)
begin
LEDS(7) <= not IRQLatch;
LEDS(6) <= not OneOfEightMux(LEDView(2 downto 0),A);
LEDS(5) <= not OneOfEightMux(LEDView(2 downto 0),B);
LEDS(4) <= not OneOfEightMux(LEDView(2 downto 0),Idx);
LEDS(3) <= not OneOfEightMux(LEDView(2 downto 0),Dir);
LEDS(2) <= not OneOfEightMux(LEDView(2 downto 0),PWM);
LEDS(1) <= OneOfEightMux(LEDView(2 downto 0),EnaSigs);
LEDS(0) <= not WDTimeout;
end process leddrive;
end dataflow;
| lgpl-2.1 |
pwsoft/fpga_examples | rtl/video/video_dither_tb.vhd | 1 | 1258 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
-- -----------------------------------------------------------------------
entity video_dither_tb is
end entity;
-- -----------------------------------------------------------------------
architecture tb of video_dither_tb is
signal clk : std_logic := '0';
signal stop : std_logic := '0';
type test_t is record
dither : unsigned(5 downto 0);
d : unsigned(7 downto 0);
end record;
signal test_reg : test_t := (
(others => '0'),
(others => '0'));
signal q : unsigned(1 downto 0);
procedure wait_clk is
begin
if clk = '1' then
wait until clk = '0';
end if;
wait until clk = '1';
end procedure;
begin
clk <= (not stop) and (not clk) after 5 ns;
dither_inst : entity work.video_dither
generic map (
dBits => 8,
qBits => 2,
ditherBits => 6
)
port map (
clk => clk,
dither => test_reg.dither,
d => test_reg.d,
q => q
);
process
begin
wait_clk;
pixel_loop : for pixel in 0 to 255 loop
dither_loop : for dither in 0 to 63 loop
test_reg.d <= to_unsigned(pixel, 8);
test_reg.dither <= to_unsigned(dither, 6);
wait_clk;
end loop;
end loop;
wait_clk;
stop <= '1';
wait;
end process;
end architecture;
| lgpl-2.1 |
pwsoft/fpga_examples | rtl/general/gen_bin2gray_tb.vhd | 1 | 2184 | -- -----------------------------------------------------------------------
--
-- Syntiac's generic VHDL support files.
--
-- -----------------------------------------------------------------------
-- Copyright 2005-2012 by Peter Wendrich ([email protected])
-- http://www.syntiac.com/fpga64.html
--
-- This source file is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This source file is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- -----------------------------------------------------------------------
--
-- gen_bin2gray_tb.vhd
--
-- -----------------------------------------------------------------------
--
-- Testbench for binary to gray-code converter
--
-- -----------------------------------------------------------------------
library IEEE;
use STD.textio.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
-- -----------------------------------------------------------------------
entity gen_bin2gray_tb is
end entity;
-- -----------------------------------------------------------------------
architecture rtl of gen_bin2gray_tb is
signal d : unsigned(3 downto 0);
signal q : unsigned(3 downto 0);
begin
myBin2Gray : entity work.gen_bin2gray
port map (
d => d,
q => q
);
process
begin
d <= "0000";
wait for 1 ns;
assert(q = "0000");
d <= "0001";
wait for 1 ns;
assert(q = "0001");
d <= "0010";
wait for 1 ns;
assert(q = "0011");
d <= "0011";
wait for 1 ns;
assert(q = "0010");
d <= "0110";
wait for 1 ns;
assert(q = "0101");
d <= "1100";
wait for 1 ns;
-- write(output, integer'image(to_integer(q)));
assert(q = "1010");
wait;
end process;
end architecture;
| lgpl-2.1 |
estadofinito/biblioteca-vhdl | proyectos/pjt002-mux-7seg-6bits/clk200Hz.vhd | 8 | 1564 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/07/26 08:23:31
-- Nombre del módulo: clk200Hz - Behavioral
-- Descripción:
-- Divisor de frecuencia implementado con contadores. Este divisor de frecuencia
-- reduce la escala en un factor de 125000 veces. En este caso, la frecuencia se
-- reduce de 50MHz de entrada a 200Hz a la salida.
--
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/divisor-frecuencia-vhdl/
--
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk200Hz is
Port (
entrada: in STD_LOGIC;
reset : in STD_LOGIC;
salida : out STD_LOGIC
);
end clk200Hz;
architecture Behavioral of clk200Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 124999 := 0;
begin
divisor_frecuencia: process (reset, entrada) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(entrada) then
if (contador = 124999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador+1;
end if;
end if;
end process;
salida <= temporal;
end Behavioral; | lgpl-2.1 |
estadofinito/biblioteca-vhdl | proyectos/pjt004-pwm-servo/servo_pwm.vhd | 4 | 2008 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/12/18 12:38:52
-- Nombre del módulo: servo_pwm - Behavioral
-- Descripción:
-- Controlador en VHDL para servomotor con las siguientes especificaciones:
-- Frecuencia de actualización de 20ms.
-- 128 estados posibles.
-- Ancho de pulso entre 0.5ms y 2.5ms.
--
-- NOTA: Para cumplir con las especificaciones mencionadas arriba debe recibir
-- una señal de reloj de 64kHz.Favor de consultar las URL mencionadas debajo
-- para conocer más acerca de los cálculos relacionados al diseño.
--
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/servo-pwm-vhdl
--
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity servo_pwm is
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
pos : IN STD_LOGIC_VECTOR(6 downto 0);
servo : OUT STD_LOGIC
);
end servo_pwm;
architecture Behavioral of servo_pwm is
-- Contador de 0 a 1279.
signal cnt : unsigned(10 downto 0);
-- Señal temporal para generar el PWM.
signal pwmi: unsigned(7 downto 0);
begin
-- Valor mínimo debe ser de 0.5ms.
pwmi <= unsigned('0' & pos) + 32;
-- Proceso del contador, de 0 a 1279.
contador: process (reset, clk) begin
if (reset = '1') then
cnt <= (others => '0');
elsif rising_edge(clk) then
if (cnt = 1279) then
cnt <= (others => '0');
else
cnt <= cnt + 1;
end if;
end if;
end process;
-- Señal de salida para el servomotor.
servo <= '1' when (cnt < pwmi) else '0';
end Behavioral; | lgpl-2.1 |
estadofinito/biblioteca-vhdl | todos-los-archivos/siete_segmentos_4bits.vhd | 4 | 1260 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity siete_segmentos_4bits is
PORT (
entrada: IN STD_LOGIC_VECTOR(3 downto 0);
salida : OUT STD_LOGIC_VECTOR(7 downto 0)
);
end siete_segmentos_4bits;
architecture Behavioral of siete_segmentos_4bits is
begin
visualizador: process (entrada) begin
case entrada is
when "0000" => salida <= x"C0"; -- 0
when "0001" => salida <= x"F9"; -- 1
when "0010" => salida <= x"A4"; -- 2
when "0011" => salida <= x"B0"; -- 3
when "0100" => salida <= x"99"; -- 4
when "0101" => salida <= x"92"; -- 5
when "0110" => salida <= x"82"; -- 6
when "0111" => salida <= x"F8"; -- 7
when "1000" => salida <= x"80"; -- 8
when "1001" => salida <= x"98"; -- 9
when "1010" => salida <= x"88"; -- A
when "1011" => salida <= x"83"; -- B
when "1100" => salida <= x"C6"; -- C
when "1101" => salida <= x"A1"; -- D
when "1110" => salida <= x"86"; -- E
when "1111" => salida <= x"8E"; -- F
when others => salida <= x"FF"; -- Nada
end case;
end process;
end Behavioral;
| lgpl-2.1 |
estadofinito/biblioteca-vhdl | todos-los-archivos/multiplexor_frecuencias.vhd | 2 | 1818 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity multiplexor_frecuencias is
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
selector: IN STD_LOGIC_VECTOR(2 DOWNTO 0);
salida : OUT STD_LOGIC
);
end multiplexor_frecuencias;
architecture Behavioral of multiplexor_frecuencias is
COMPONENT mux8a1 IS
PORT (
entrada : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
selector: IN STD_LOGIC_VECTOR(2 DOWNTO 0);
salida : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT clk0_0625Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
COMPONENT clk0_125Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
COMPONENT clk0_25Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
COMPONENT clk0_5Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
COMPONENT clk1Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
COMPONENT clk2Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
COMPONENT clk4Hz IS PORT (clk: IN STD_LOGIC; reset: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END COMPONENT;
signal clks : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"00";
begin
clks(0) <= '0';
clk0_0625Hz_i: clk0_0625Hz PORT MAP(clk, reset, clks(1));
clk0_125Hz_i: clk0_125Hz PORT MAP(clk, reset, clks(2));
clk0_25Hz_i: clk0_25Hz PORT MAP(clk, reset, clks(3));
clk0_5Hz_i: clk0_5Hz PORT MAP(clk, reset, clks(4));
clk1Hz_i: clk1Hz PORT MAP(clk, reset, clks(5));
clk2Hz_i: clk2Hz PORT MAP(clk, reset, clks(6));
clk4Hz_i: clk4Hz PORT MAP(clk, reset, clks(7));
mux8a1_i: mux8a1 PORT MAP(clks, selector, salida);
end Behavioral; | lgpl-2.1 |
estadofinito/biblioteca-vhdl | todos-los-archivos/rom512_28b.vhd | 4 | 9875 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/02 17:50:33
-- Nombre del módulo: rom512_28b - Behavioral
-- Descripción:
-- Módulo que contiene 512 registros de 28 bits cada uno, correspondientes a las
-- escalas necesarias a para implementar divisores de frecuencia en el rango de
-- 1 BPM a 512 BPM, asumiendo que el reloj de entrada sea de 3.125MHz.
--
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/rom/
--
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity rom512_28b is
port (
clk : in std_logic;
en : in std_logic;
addr : in std_logic_vector(8 downto 0);
data : out std_logic_vector(27 downto 0)
);
end rom512_28b;
architecture behavioral of rom512_28b is
type memoria_rom is array (0 to 511) of std_logic_vector (27 downto 0);
signal ROM : memoria_rom := (
x"b2d05df", x"59682ef", x"3b9ac9f", x"2cb4177", x"23c345f", x"1dcd64f", x"198b7b1", x"165a0bb", -- Fila con datos 0 a 7
x"13de434", x"11e1a2f", x"10417ce", x"0ee6b27", x"0dc1424", x"0cc5bd8", x"0bebc1f", x"0b2d05d", -- Fila con datos 8 a 15
x"0a84ba3", x"09ef21a", x"0969484", x"08f0d17", x"0883d3a", x"0820be6", x"07c646d", x"0773593", -- Fila con datos 16 a 23
x"07270df", x"06e0a11", x"069f6bb", x"0662dec", x"062a7ec", x"05f5e0f", x"05c4a82", x"059682e", -- Fila con datos 24 a 31
x"056b299", x"05425d1", x"051be56", x"04f790c", x"04d532f", x"04b4a42", x"0495c0b", x"047868b", -- Fila con datos 32 a 39
x"045c7f2", x"0441e9d", x"0428910", x"04105f3", x"03f940a", x"03e3236", x"03cdf71", x"03b9ac9", -- Fila con datos 40 a 47
x"03a6362", x"039386f", x"0381936", x"0370508", x"035fb47", x"034fb5d", x"03404c2", x"03316f5", -- Fila con datos 48 a 55
x"0323181", x"03153f6", x"0307ded", x"02faf07", x"02ee6e9", x"02e2541", x"02d69bd", x"02cb416", -- Fila con datos 56 a 63
x"02c0406", x"02b594c", x"02ab3aa", x"02a12e8", x"02976ce", x"028df2a", x"0284bcc", x"027bc86", -- Fila con datos 64 a 71
x"027312c", x"026a997", x"026259f", x"025a520", x"02527f8", x"024ae05", x"0243729", x"023c345", -- Fila con datos 72 a 79
x"023523e", x"022e3f8", x"022785b", x"0220f4e", x"021a8b9", x"0214488", x"020e2a3", x"02082f9", -- Fila con datos 80 a 87
x"0202575", x"01fca04", x"01f7097", x"01f191a", x"01ec380", x"01e6fb8", x"01e1db3", x"01dcd64", -- Fila con datos 88 a 95
x"01d7ebd", x"01d31b0", x"01ce632", x"01c9c37", x"01c53b3", x"01c0c9a", x"01bc6e3", x"01b8284", -- Fila con datos 96 a 103
x"01b3f71", x"01afda3", x"01abd0f", x"01a7dae", x"01a3f76", x"01a0260", x"019c664", x"0198b7a", -- Fila con datos 104 a 111
x"019519b", x"01918c0", x"018e0e2", x"018a9fa", x"0187403", x"0183ef6", x"0180acd", x"017d783", -- Fila con datos 112 a 119
x"017a512", x"0177374", x"01742a5", x"01712a0", x"016e35f", x"016b4de", x"0168719", x"0165a0b", -- Fila con datos 120 a 127
x"0162daf", x"0160203", x"015d701", x"015aca6", x"01582ed", x"01559d5", x"0153158", x"0150973", -- Fila con datos 128 a 135
x"014e224", x"014bb67", x"0149538", x"0146f95", x"0144a7a", x"01425e6", x"01401d4", x"013de42", -- Fila con datos 136 a 143
x"013bb2e", x"0139896", x"0137675", x"01354cb", x"0133394", x"01312cf", x"012f279", x"012d290", -- Fila con datos 144 a 151
x"012b311", x"01293fb", x"012754c", x"0125702", x"012391b", x"0121b94", x"011fe6c", x"011e1a2", -- Fila con datos 152 a 159
x"011c533", x"011a91e", x"0118d62", x"01171fc", x"01156eb", x"0113c2d", x"01121c1", x"01107a6", -- Fila con datos 160 a 167
x"010edda", x"010d45c", x"010bb2a", x"010a243", x"01089a6", x"0107151", x"0105944", x"010417c", -- Fila con datos 168 a 175
x"01029f9", x"01012ba", x"00ffbbd", x"00fe502", x"00fce87", x"00fb84b", x"00fa24d", x"00f8c8d", -- Fila con datos 176 a 183
x"00f7709", x"00f61c0", x"00f4cb1", x"00f37db", x"00f233e", x"00f0ed9", x"00efaaa", x"00ee6b1", -- Fila con datos 184 a 191
x"00ed2ee", x"00ebf5e", x"00eac01", x"00e98d8", x"00e85e0", x"00e7319", x"00e6082", x"00e4e1b", -- Fila con datos 192 a 199
x"00e3be3", x"00e29d9", x"00e17fc", x"00e064d", x"00df4c9", x"00de371", x"00dd244", x"00dc141", -- Fila con datos 200 a 207
x"00db068", x"00d9fb8", x"00d8f31", x"00d7ed1", x"00d6e99", x"00d5e87", x"00d4e9c", x"00d3ed7", -- Fila con datos 208 a 215
x"00d2f36", x"00d1fbb", x"00d1063", x"00d0130", x"00cf21f", x"00ce332", x"00cd466", x"00cc5bd", -- Fila con datos 216 a 223
x"00cb734", x"00ca8cd", x"00c9a86", x"00c8c5f", x"00c7e58", x"00c7070", x"00c62a7", x"00c54fd", -- Fila con datos 224 a 231
x"00c4770", x"00c3a01", x"00c2caf", x"00c1f7b", x"00c1262", x"00c0566", x"00bf886", x"00bebc1", -- Fila con datos 232 a 239
x"00bdf17", x"00bd288", x"00bc614", x"00bb9ba", x"00bad79", x"00ba152", x"00b9544", x"00b894f", -- Fila con datos 240 a 247
x"00b7d73", x"00b71af", x"00b6603", x"00b5a6f", x"00b4ef2", x"00b438c", x"00b383d", x"00b2d05", -- Fila con datos 248 a 255
x"00b21e3", x"00b16d7", x"00b0be1", x"00b0101", x"00af636", x"00aeb80", x"00ae0df", x"00ad652", -- Fila con datos 256 a 263
x"00acbda", x"00ac176", x"00ab726", x"00aacea", x"00aa2c1", x"00a98ab", x"00a8ea9", x"00a84b9", -- Fila con datos 264 a 271
x"00a7adc", x"00a7112", x"00a6759", x"00a5db3", x"00a541e", x"00a4a9b", x"00a412a", x"00a37ca", -- Fila con datos 272 a 279
x"00a2e7b", x"00a253d", x"00a1c0f", x"00a12f2", x"00a09e6", x"00a00e9", x"009f7fd", x"009ef21", -- Fila con datos 280 a 287
x"009e654", x"009dd97", x"009d4e9", x"009cc4a", x"009c3bb", x"009bb3a", x"009b2c8", x"009aa65", -- Fila con datos 288 a 295
x"009a210", x"00999ca", x"0099191", x"0098967", x"009814b", x"009793c", x"009713b", x"0096947", -- Fila con datos 296 a 303
x"0096161", x"0095988", x"00951bc", x"00949fd", x"009424b", x"0093aa6", x"009330d", x"0092b81", -- Fila con datos 304 a 311
x"0092401", x"0091c8d", x"0091525", x"0090dc9", x"009067a", x"008ff36", x"008f7fd", x"008f0d0", -- Fila con datos 312 a 319
x"008e9af", x"008e299", x"008db8e", x"008d48f", x"008cd9a", x"008c6b0", x"008bfd1", x"008b8fd", -- Fila con datos 320 a 327
x"008b234", x"008ab75", x"008a4c0", x"0089e16", x"0089776", x"00890e0", x"0088a54", x"00883d3", -- Fila con datos 328 a 335
x"0087d5b", x"00876ed", x"0087088", x"0086a2e", x"00863dc", x"0085d95", x"0085756", x"0085121", -- Fila con datos 336 a 343
x"0084af5", x"00844d3", x"0083eb9", x"00838a8", x"00832a0", x"0082ca1", x"00826ab", x"00820bd", -- Fila con datos 344 a 351
x"0081ad8", x"00814fc", x"0080f28", x"008095c", x"0080399", x"007fdde", x"007f82b", x"007f280", -- Fila con datos 352 a 359
x"007ecde", x"007e743", x"007e1b0", x"007dc25", x"007d6a2", x"007d126", x"007cbb2", x"007c646", -- Fila con datos 360 a 367
x"007c0e1", x"007bb84", x"007b62e", x"007b0df", x"007ab98", x"007a658", x"007a11f", x"0079bed", -- Fila con datos 368 a 375
x"00796c2", x"007919f", x"0078c82", x"007876c", x"007825d", x"0077d55", x"0077853", x"0077358", -- Fila con datos 376 a 383
x"0076e64", x"0076976", x"007648f", x"0075fae", x"0075ad4", x"0075600", x"0075133", x"0074c6b", -- Fila con datos 384 a 391
x"00747aa", x"00742ef", x"0073e3b", x"007398c", x"00734e3", x"0073041", x"0072ba4", x"007270d", -- Fila con datos 392 a 399
x"007227c", x"0071df1", x"007196c", x"00714ec", x"0071072", x"0070bfe", x"007078f", x"0070326", -- Fila con datos 400 a 407
x"006fec2", x"006fa64", x"006f60b", x"006f1b8", x"006ed6a", x"006e922", x"006e4de", x"006e0a0", -- Fila con datos 408 a 415
x"006dc67", x"006d834", x"006d405", x"006cfdc", x"006cbb7", x"006c798", x"006c37d", x"006bf68", -- Fila con datos 416 a 423
x"006bb57", x"006b74c", x"006b345", x"006af43", x"006ab46", x"006a74e", x"006a35a", x"0069f6b", -- Fila con datos 424 a 431
x"0069b80", x"006979b", x"00693b9", x"0068fdd", x"0068c05", x"0068831", x"0068462", x"0068097", -- Fila con datos 432 a 439
x"0067cd1", x"006790f", x"0067552", x"0067198", x"0066de3", x"0066a33", x"0066686", x"00662de", -- Fila con datos 440 a 447
x"0065f3a", x"0065b9a", x"00657fe", x"0065466", x"00650d2", x"0064d43", x"00649b7", x"006462f", -- Fila con datos 448 a 455
x"00642ab", x"0063f2c", x"0063bb0", x"0063838", x"00634c4", x"0063153", x"0062de7", x"0062a7e", -- Fila con datos 456 a 463
x"0062719", x"00623b8", x"006205a", x"0061d00", x"00619aa", x"0061657", x"0061308", x"0060fbd", -- Fila con datos 464 a 471
x"0060c75", x"0060931", x"00605f0", x"00602b3", x"005ff79", x"005fc42", x"005f910", x"005f5e0", -- Fila con datos 472 a 479
x"005f2b4", x"005ef8b", x"005ec66", x"005e944", x"005e625", x"005e309", x"005dff1", x"005dcdc", -- Fila con datos 480 a 487
x"005d9cb", x"005d6bc", x"005d3b1", x"005d0a9", x"005cda4", x"005caa2", x"005c7a3", x"005c4a7", -- Fila con datos 488 a 495
x"005c1af", x"005beb9", x"005bbc7", x"005b8d7", x"005b5ea", x"005b301", x"005b01a", x"005ad37", -- Fila con datos 496 a 503
x"005aa56", x"005a778", x"005a49d", x"005a1c5", x"0059ef0", x"0059c1e", x"005994f", x"0059682" -- Fila con datos 504 a 511
);
begin
process (clk) begin
if rising_edge(clk) then
if (en = '1') then
data <= ROM(conv_integer(addr));
end if;
end if;
end process;
end behavioral; | lgpl-2.1 |
estadofinito/biblioteca-vhdl | proyectos/pjt005-pwm-servo-dos-btn/servo_pwm_contador_clk64kHz_tb.vhd | 2 | 1339 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY servo_pwm_contador_clk64kHz_tb IS
END servo_pwm_contador_clk64kHz_tb;
ARCHITECTURE behavior OF servo_pwm_contador_clk64kHz_tb IS
-- Unidad bajo prueba.
COMPONENT servo_pwm_contador_clk64kHz
PORT(
clk : IN std_logic;
reset : IN std_logic;
cnt_up: IN std_logic;
cnt_dn: IN std_logic;
servo : OUT std_logic
);
END COMPONENT;
-- Entradas.
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal cnt_up : std_logic := '0';
signal cnt_dn : std_logic := '0';
-- Salidas.
signal servo : std_logic;
-- Definición del reloj.
constant clk_period : time := 10 ns;
BEGIN
-- Instancia de la unidad bajo prueba.
uut: servo_pwm_contador_clk64kHz PORT MAP (
clk => clk,
reset => reset,
cnt_up => cnt_up,
cnt_dn => cnt_dn,
servo => servo
);
-- Definición del proceso de reloj.
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Procesamiento de estímulos.
proceso_estimulos: process begin
-- Crear condición de reset.
reset <= '1';
wait for 50 ns;
reset <= '0';
-- Esperar poco menos de dos ciclos.
wait for 39 ms;
-- Crear el estímulo.
cnt_up <= '1';
wait for 15 us; -- Tiempo a editar.
--cnt_up <= '0';
wait;
end process;
END; | lgpl-2.1 |
estadofinito/biblioteca-vhdl | proyectos/pjt003-reloj-digital/reloj.vhd | 2 | 2426 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/10/26 21:01:42
-- Nombre del módulo: reloj - Behavioral
-- Descripción:
-- Une el contador del reloj con los divisores de frecuencia y el controlador
-- de siete segmentos completo para mostrar la hora en una tarjeta Basys2.
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/reloj-digital/
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity reloj is
PORT(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
salida: OUT STD_LOGIC_VECTOR(7 downto 0);
MUX : OUT STD_LOGIC_VECTOR(3 downto 0)
);
end reloj;
architecture Behavioral of reloj is
COMPONENT clk1Hz IS
PORT (
entrada: IN STD_LOGIC;
reset : IN STD_LOGIC;
salida : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT contador_reloj IS
PORT (
clk : IN STD_LOGIC;
reset: IN STD_LOGIC;
H1 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
H0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M1 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
M0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT siete_segmentos_completo IS
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
D0 : IN STD_LOGIC_VECTOR(5 downto 0);
D1 : IN STD_LOGIC_VECTOR(5 downto 0);
D2 : IN STD_LOGIC_VECTOR(5 downto 0);
D3 : IN STD_LOGIC_VECTOR(5 downto 0);
salida: OUT STD_LOGIC_VECTOR(7 downto 0);
MUX : OUT STD_LOGIC_VECTOR(3 downto 0)
);
END COMPONENT;
signal clk_out : STD_LOGIC := '0';
signal HH1, MM1: STD_LOGIC_VECTOR(2 downto 0);
signal HH0, MM0: STD_LOGIC_VECTOR(3 downto 0);
signal pHH1, pHH0, pMM1, pMM0: STD_LOGIC_VECTOR(5 downto 0);
begin
--PORT MAPs necesarios para habilitar el reloj.
clk_i: clk1Hz PORT MAP(clk, reset, clk_out);
cnt_i: contador_reloj PORT MAP(clk_out, reset, HH1, HH0, MM1, MM0);
seg_i: siete_segmentos_completo PORT MAP(clk, reset, pMM0, pMM1, pHH0, pHH1, salida, MUX);
--Padding de las señales del contador para siete segmentos.
pHH1 <= "000" & HH1;
pHH0 <= "00" & HH0;
pMM1 <= "000" & MM1;
pMM0 <= "00" & MM0;
end Behavioral; | lgpl-2.1 |
jandecaluwe/myhdl | example/manual/inc.vhd | 6 | 852 | -- File: inc.vhd
-- Generated by MyHDL 1.0dev
-- Date: Sun May 22 18:46:37 2016
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.pck_myhdl_10.all;
entity inc is
port (
count: inout unsigned(7 downto 0);
enable: in std_logic;
clock: in std_logic;
reset: in std_logic
);
end entity inc;
-- Incrementer with enable.
--
-- count -- output
-- enable -- control input, increment when 1
-- clock -- clock input
-- reset -- asynchronous reset input
architecture MyHDL of inc is
begin
INC_SEQ: process (clock, reset) is
begin
if (reset = '0') then
count <= to_unsigned(0, 8);
elsif rising_edge(clock) then
if bool(enable) then
count <= (count + 1);
end if;
end if;
end process INC_SEQ;
end architecture MyHDL;
| lgpl-2.1 |
hgomersall/myhdl | example/manual/pck_myhdl_10.vhd | 6 | 4346 | -- File: pck_myhdl_10.vhd
-- Generated by MyHDL 1.0dev
-- Date: Thu Jun 23 19:06:43 2016
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package pck_myhdl_10 is
attribute enum_encoding: string;
function stdl (arg: boolean) return std_logic;
function stdl (arg: integer) return std_logic;
function to_unsigned (arg: boolean; size: natural) return unsigned;
function to_signed (arg: boolean; size: natural) return signed;
function to_integer(arg: boolean) return integer;
function to_integer(arg: std_logic) return integer;
function to_unsigned (arg: std_logic; size: natural) return unsigned;
function to_signed (arg: std_logic; size: natural) return signed;
function bool (arg: std_logic) return boolean;
function bool (arg: unsigned) return boolean;
function bool (arg: signed) return boolean;
function bool (arg: integer) return boolean;
function "-" (arg: unsigned) return signed;
function tern_op(cond: boolean; if_true: std_logic; if_false: std_logic) return std_logic;
function tern_op(cond: boolean; if_true: unsigned; if_false: unsigned) return unsigned;
function tern_op(cond: boolean; if_true: signed; if_false: signed) return signed;
end pck_myhdl_10;
package body pck_myhdl_10 is
function stdl (arg: boolean) return std_logic is
begin
if arg then
return '1';
else
return '0';
end if;
end function stdl;
function stdl (arg: integer) return std_logic is
begin
if arg /= 0 then
return '1';
else
return '0';
end if;
end function stdl;
function to_unsigned (arg: boolean; size: natural) return unsigned is
variable res: unsigned(size-1 downto 0) := (others => '0');
begin
if arg then
res(0):= '1';
end if;
return res;
end function to_unsigned;
function to_signed (arg: boolean; size: natural) return signed is
variable res: signed(size-1 downto 0) := (others => '0');
begin
if arg then
res(0) := '1';
end if;
return res;
end function to_signed;
function to_integer(arg: boolean) return integer is
begin
if arg then
return 1;
else
return 0;
end if;
end function to_integer;
function to_integer(arg: std_logic) return integer is
begin
if arg = '1' then
return 1;
else
return 0;
end if;
end function to_integer;
function to_unsigned (arg: std_logic; size: natural) return unsigned is
variable res: unsigned(size-1 downto 0) := (others => '0');
begin
res(0):= arg;
return res;
end function to_unsigned;
function to_signed (arg: std_logic; size: natural) return signed is
variable res: signed(size-1 downto 0) := (others => '0');
begin
res(0) := arg;
return res;
end function to_signed;
function bool (arg: std_logic) return boolean is
begin
return arg = '1';
end function bool;
function bool (arg: unsigned) return boolean is
begin
return arg /= 0;
end function bool;
function bool (arg: signed) return boolean is
begin
return arg /= 0;
end function bool;
function bool (arg: integer) return boolean is
begin
return arg /= 0;
end function bool;
function "-" (arg: unsigned) return signed is
begin
return - signed(resize(arg, arg'length+1));
end function "-";
function tern_op(cond: boolean; if_true: std_logic; if_false: std_logic) return std_logic is
begin
if cond then
return if_true;
else
return if_false;
end if;
end function tern_op;
function tern_op(cond: boolean; if_true: unsigned; if_false: unsigned) return unsigned is
begin
if cond then
return if_true;
else
return if_false;
end if;
end function tern_op;
function tern_op(cond: boolean; if_true: signed; if_false: signed) return signed is
begin
if cond then
return if_true;
else
return if_false;
end if;
end function tern_op;
end pck_myhdl_10;
| lgpl-2.1 |
PsiStarPsi/firmware-ethernet | Ethernet/1000BASE-X/sim/CoreDataSim.vhd | 1 | 7796 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 00:36:20 08/28/2015
-- Design Name:
-- Module Name: C:/Users/Kurtis/Google Drive/mTC/svn/src/Ethernet/General/sim/IPv4Test.vhd
-- Project Name: ethernet
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: IPv4Tx
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.GigabitEthPkg.all;
use work.Eth1000BaseXPkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY CoreDataSim IS
END CoreDataSim;
ARCHITECTURE behavior OF CoreDataSim IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT IPv4Tx
PORT(
ethTxClk : IN std_logic;
ethTxRst : IN std_logic;
ipPacketLength : IN std_logic_vector(15 downto 0);
ipPacketId : IN std_logic_vector(15 downto 0);
ipMoreFragments : IN std_logic;
ipFragOffset : IN std_logic_vector(12 downto 0);
ipProtocol : IN std_logic_vector(7 downto 0);
ipSrcAddr : IN IpAddrType;
ipDstAddr : IN IpAddrType;
ipData : IN std_logic_vector(31 downto 0);
ipDataValid : IN std_logic;
ipDataReady : OUT std_logic;
ethTxDataIn : OUT std_logic_vector(7 downto 0);
ethTxDataValid : OUT std_logic;
ethTxDataLastByte : OUT std_logic;
ethTxDataReady : IN std_logic
);
END COMPONENT;
--Inputs
signal ethClk125 : std_logic := '0';
signal ethClk125Rst : std_logic := '0';
signal ethClk62 : std_logic := '0';
signal ethClk62Rst : std_logic := '0';
signal ethCoreMacAddr : MacAddrType := MAC_ADDR_DEFAULT_C;
signal ethCoreIpAddr : IpAddrType := IP_ADDR_DEFAULT_C;
signal phyRxLaneIn : EthRxPhyLaneInType;
signal phyTxLaneOut : EthTxPhyLaneOutType;
signal dummyPhyRxLaneIn : EthRxPhyLaneInType;
signal dummyPhyTxLaneOut : EthTxPhyLaneOutType;
signal ethRxLinkSync : sl;
signal ethAutoNegDone : sl;
-- User Data signals
signal tpData : slv(31 downto 0);
signal tpDataValid : sl;
signal tpDataLast : sl := '0';
signal tpDataReady : sl;
-- Clock period definitions
constant ethClk125_period : time := 8 ns;
constant ethClk62_period : time := 16 ns;
constant GATE_DELAY_C : time := 1 ns;
BEGIN
--------------------------------
-- Gigabit Ethernet Interface --
--------------------------------
U_Eth1000BaseXCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => 1,
EN_AUTONEG_G => true,
SIM_SPEEDUP_G => true,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr),
udpPorts => (0 => x"07D0"), --x7D0 = 2000
-- Data to/from GT
phyRxData => phyRxLaneIn,
phyTxData => phyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst,
-- User data
userTxData => (0 => tpData),
userTxDataValid => (0 => tpDataValid),
userTxDataLast => (0 => tpDataLast),
userTxDataReady(0) => tpDataReady,
userRxData => open,
userRxDataValid => open,
userRxDataLast => open,
userRxDataReady => (others => '1')
);
U_TpGenTx : entity work.TpGenTx
generic map (
NUM_WORDS_G => 1000,
WAIT_CYCLES_G => 5,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst or not(ethAutoNegDone),
-- Connection to user logic
userTxData => tpData,
userTxDataValid => tpDataValid,
userTxDataLast => tpDataLast,
userTxDataReady => tpDataReady
);
--------------------------------
-- DummyCore Ethernet Interface --
--------------------------------
U_DummyCore : entity work.Eth1000BaseXCore
generic map (
NUM_IP_G => 1,
EN_AUTONEG_G => true,
SIM_SPEEDUP_G => true,
GATE_DELAY_G => GATE_DELAY_C
)
port map (
-- 125 MHz clock and reset
eth125Clk => ethClk125,
eth125Rst => ethClk125Rst,
-- 62 MHz clock and reset
eth62Clk => ethClk62,
eth62Rst => ethClk62Rst,
-- Addressing
macAddr => ethCoreMacAddr,
ipAddrs => (0 => ethCoreIpAddr),
udpPorts => (0 => x"07D0"), --x7D0 = 2000
-- Data to/from GT
phyRxData => dummyPhyRxLaneIn,
phyTxData => dummyPhyTxLaneOut,
-- Status signals
statusSync => ethRxLinkSync,
statusAutoNeg => ethAutoNegDone,
-- User clock and reset
userClk => ethClk125,
userRst => ethClk125Rst,
-- User data
userTxData => (0 => tpData),
userTxDataValid => (0 => tpDataValid),
userTxDataLast => (0 => tpDataLast),
userTxDataReady(0) => tpDataReady,
userRxData => open,
userRxDataValid => open,
userRxDataLast => open,
userRxDataReady => (others => '1')
);
-- Match up B TX to A RX
phyRxLaneIn.data <= dummyPhyTxLaneOut.data;
phyRxLaneIn.dataK <= dummyPhyTxLaneOut.dataK;
phyRxLaneIn.dispErr <= (others => '0');
phyRxLaneIn.decErr <= (others => '0');
-- Match up A TX to B RX
dummyPhyRxLaneIn.data <= phyTxLaneOut.data;
dummyPhyRxLaneIn.dataK <= phyTxLaneOut.dataK;
dummyPhyRxLaneIn.dispErr <= (others => '0');
dummyPhyRxLaneIn.decErr <= (others => '0');
-- Clock process definitions
ethClk125_process : process
begin
ethClk125 <= '0';
wait for ethClk125_period/2;
ethClk125 <= '1';
wait for ethClk125_period/2;
end process;
ethClk62_process : process
begin
ethClk62 <= '0';
wait for ethClk62_period/2;
ethClk62 <= '1';
wait for ethClk62_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
ethClk125Rst <= '1';
ethClk62Rst <= '1';
wait for 100 ns;
ethClk125Rst <= '0';
ethClk62Rst <= '0';
wait for ethClk125_period*10;
-- insert stimulus here
wait;
end process;
END;
| lgpl-2.1 |
PsiStarPsi/firmware-ethernet | Ethernet/General/rtl/ArpIpArbiter.vhd | 1 | 4657 | ---------------------------------------------------------------------------------
-- Title : Arbiter between ARP and IPv4
-- Project : General Purpose Core
---------------------------------------------------------------------------------
-- File : ArpIpArbiter.vhd
-- Author : Kurtis Nishimura
---------------------------------------------------------------------------------
-- Description:
-- Prioritizes responding to ARP requests.
---------------------------------------------------------------------------------
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
use work.GigabitEthPkg.all;
entity ArpIpArbiter is
generic (
GATE_DELAY_G : in time := 1 ns
);
port (
-- 125 MHz ethernet clock in
ethTxClk : in sl;
ethTxRst : in sl;
-- ARP request/ack, data interface
arpTxReq : in sl;
arpTxAck : in sl;
arpTxData : in slv(7 downto 0);
arpTxDataValid : in sl;
arpTxDataLastByte : in sl;
arpTxDataReady : out sl;
-- IPv4 request/ack, data interface
ipTxData : in slv(7 downto 0);
ipTxDataValid : in sl;
ipTxDataLastByte : in sl;
ipTxDataReady : out sl;
-- Output MUXed data
ethTxEtherType : out EtherType;
ethTxData : out slv(7 downto 0);
ethTxDataValid : out sl;
ethTxDataLastByte : out sl;
ethTxDataReady : in sl
);
end ArpIpArbiter;
architecture rtl of ArpIpArbiter is
type StateType is (IDLE_S, WAIT_ARP_S, WAIT_IP_S, FINISH_ARP_S);
type RegType is record
state : StateType;
end record RegType;
constant REG_INIT_C : RegType := (
state => IDLE_S
);
signal r : RegType := REG_INIT_C;
signal rin : RegType;
-- ISE attributes to keep signals for debugging
-- attribute keep : string;
-- attribute keep of r : signal is "true";
-- attribute keep of crcOut : signal is "true";
-- Vivado attributes to keep signals for debugging
-- attribute dont_touch : string;
-- attribute dont_touch of r : signal is "true";
-- attribute dont_touch of crcOut : signal is "true";
begin
comb : process(r,ethTxRst,arpTxReq,arpTxAck,arpTxData,arpTxDataValid,arpTxDataLastByte,
ipTxData,ipTxDataValid,ipTxDataLastByte,ethTxDataReady) is
variable v : RegType;
begin
v := r;
-- Set defaults / reset any pulsed signals
ethTxEtherType <= (others => '0');
ethTxData <= (others => '0');
ethTxDataValid <= '0';
ethTxDataLastByte <= '0';
arpTxDataReady <= '0';
ipTxDataReady <= '0';
-- State machine
case(r.state) is
-- Ready should be zero for all possible output types
-- If we see an ARP request, go ahead with it
-- (if we want to add ICMP, put it here)
-- Otherwise, default to IP data
when IDLE_S =>
if (arpTxReq = '1') then
v.state := WAIT_ARP_S;
elsif ipTxDataValid = '1' then
v.state := WAIT_IP_S;
end if;
when WAIT_ARP_S =>
ethTxEtherType <= ETH_TYPE_ARP_C;
ethTxData <= arpTxData;
ethTxDataValid <= arpTxDataValid;
ethTxDataLastByte <= arpTxDataLastByte;
arpTxDataReady <= ethTxDataReady;
if arpTxAck = '1' then
v.state := FINISH_ARP_S;
end if;
when WAIT_IP_S =>
ethTxEtherType <= ETH_TYPE_IPV4_C;
ethTxData <= ipTxData;
ethTxDataValid <= ipTxDataValid;
ethTxDataLastByte <= ipTxDataLastByte;
ipTxDataReady <= ethTxDataReady;
-- Byte was valid, last, and accepted
if ipTxDataValid = '1' and ipTxDataLastByte = '1' and ethTxDataReady = '1' then
v.state := IDLE_S;
end if;
when FINISH_ARP_S =>
if arpTxReq = '0' then
v.state := IDLE_S;
end if;
when others =>
v.state := IDLE_S;
end case;
-- Reset logic
if (ethTxRst = '1') then
v := REG_INIT_C;
end if;
-- Outputs to ports
-- Assign variable to signal
rin <= v;
end process;
seq : process (ethTxClk) is
begin
if (rising_edge(ethTxClk)) then
r <= rin after GATE_DELAY_G;
end if;
end process seq;
end rtl;
| lgpl-2.1 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.