repo_name
stringlengths
6
92
path
stringlengths
7
220
copies
stringclasses
78 values
size
stringlengths
2
9
content
stringlengths
15
1.05M
license
stringclasses
15 values
AtmaMani/pyChakras
udemy_ml_bootcamp/Big-Data-and-Spark/Introduction to Spark and Python.ipynb
4
6837
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Introduction to Spark and Python\n", "\n", "Let's learn how to use Spark with Python by using the pyspark library! Make sure to view the video lecture explaining Spark and RDDs before continuing on with this code.\n", "\n", "This notebook will serve as reference code for the Big Data section of the course involving Amazon Web Services. The video will provide fuller explanations for what the code is doing.\n", "\n", "## Creating a SparkContext\n", "\n", "First we need to create a SparkContext. We will import this from pyspark:" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from pyspark import SparkContext" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now create the SparkContext,A SparkContext represents the connection to a Spark cluster, and can be used to create an RDD and broadcast variables on that cluster.\n", "\n", "*Note! You can only have one SparkContext at a time the way we are running things here.*" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sc = SparkContext()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Basic Operations\n", "\n", "We're going to start with a 'hello world' example, which is just reading a text file. First let's create a text file.\n", "___" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Let's write an example text file to read, we'll use some special jupyter notebook commands for this, but feel free to use any .txt file:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Overwriting example.txt\n" ] } ], "source": [ "%%writefile example.txt\n", "first line\n", "second line\n", "third line\n", "fourth line" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Creating the RDD" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we can take in the textfile using the **textFile** method off of the SparkContext we created. This method will read a text file from HDFS, a local file system (available on all\n", "nodes), or any Hadoop-supported file system URI, and return it as an RDD of Strings." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "textFile = sc.textFile('example.txt')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Spark’s primary abstraction is a distributed collection of items called a Resilient Distributed Dataset (RDD). RDDs can be created from Hadoop InputFormats (such as HDFS files) or by transforming other RDDs. \n", "\n", "### Actions\n", "\n", "We have just created an RDD using the textFile method and can perform operations on this object, such as counting the rows.\n", "\n", "RDDs have actions, which return values, and transformations, which return pointers to new RDDs. Let’s start with a few actions:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "4" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "textFile.count()" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'first line'" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "textFile.first()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Transformations\n", "\n", "Now we can use transformations, for example the filter transformation will return a new RDD with a subset of items in the file. Let's create a sample transformation using the filter() method. This method (just like Python's own filter function) will only return elements that satisfy the condition. Let's try looking for lines that contain the word 'second'. In which case, there should only be one line that has that." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "secfind = textFile.filter(lambda line: 'second' in line)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "PythonRDD[7] at RDD at PythonRDD.scala:43" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# RDD\n", "secfind" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['second line']" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Perform action on transformation\n", "secfind.collect()" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Perform action on transformation\n", "secfind.count()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice how the transformations won't display an output and won't be run until an action is called. In the next lecture: Advanced Spark and Python we will begin to see many more examples of this transformation and action relationship!\n", "\n", "# Great Job!" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
wehlutyk/brainscopypaste
data/notebooks/Model(time=Time.discrete, source=Source.all, past=Past.last_bin, durl=Durl.all, max_distance=2) - variation.ipynb
1
4958792
null
gpl-3.0
ioam/holoviews
examples/reference/elements/bokeh/BoxWhisker.ipynb
1
3106
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"contentcontainer med left\" style=\"margin-left: -50px;\">\n", "<dl class=\"dl-horizontal\">\n", " <dt>Title</dt> <dd> BoxWhisker Element</dd>\n", " <dt>Dependencies</dt> <dd>Bokeh</dd>\n", " <dt>Backends</dt>\n", " <dd><a href='./BoxWhisker.ipynb'>Bokeh</a></dd>\n", " <dd><a href='../matplotlib/BoxWhisker.ipynb'>Matplotlib</a></dd>\n", " <dd><a href='../plotly/BoxWhiskers.ipynb'>Plotly</a></dd>\n", "</dl>\n", "</div>" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import numpy as np\n", "import holoviews as hv\n", "from holoviews import opts\n", "hv.extension('bokeh')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A ``BoxWhisker`` Element is a quick way of visually summarizing one or more groups of numerical data through their quartiles. The boxes of a ``BoxWhisker`` element represent the first, second and third quartiles. The whiskers follow the Tukey boxplot definition representing the lowest datum still within 1.5 IQR of the lower quartile, and the highest datum still within 1.5 IQR of the upper quartile. Any points falling outside this range are shown as distinct outlier points.\n", "\n", "The data of a ``BoxWhisker`` Element may have any number of key dimensions representing the grouping of the value dimension and a single value dimensions representing the distribution of values within each group. See the [Tabular Datasets](../../../user_guide/08-Tabular_Datasets.ipynb) user guide for supported data formats, which include arrays, pandas dataframes and dictionaries of arrays." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Without any groups a BoxWhisker Element represents a single distribution of values:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "hv.BoxWhisker(np.random.randn(1000), vdims='Value')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "By supplying key dimensions we can compare our distributions across multiple variables." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "groups = [chr(65+g) for g in np.random.randint(0, 3, 200)]\n", "boxwhisker = hv.BoxWhisker((groups, np.random.randint(0, 5, 200), np.random.randn(200)),\n", " ['Group', 'Category'], 'Value').sort()\n", "boxwhisker.opts(\n", " opts.BoxWhisker(box_color='white', height=400, show_legend=False, whisker_color='gray', width=600))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For full documentation and the available style and plot options, use ``hv.help(hv.BoxWhisker).``" ] } ], "metadata": { "language_info": { "name": "python", "pygments_lexer": "ipython3" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
mlund/kirkwood-buff
nacl-water/nacl.ipynb
1
94491
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Kirkwood-Buff example: NaCl in water\n", "\n", "In this example we calculate Kirkwood-Buff integrals in a solute (c) and solvent (w) system and correct for finite size effects as described at http://dx.doi.org/10.1073/pnas.0902904106 (see Supporting Information)." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import mdtraj as md\n", "from math import pi\n", "from scipy import integrate\n", "plt.rcParams.update({'font.size': 16})" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Load gromacs trajectory/topology\n", "\n", "Gromacs was used to sample a dilute solution of sodium chloride in SPC/E water for 100 ns.\n", "The trajectory and `.gro` loaded below have been stripped from hydrogens to reduce disk space." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<mdtraj.Trajectory with 50001 frames, 999 atoms, 999 residues, and unitcells at 0x105829790>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "traj = md.load('gmx/traj_noh.xtc', top='gmx/conf_noh.gro')\n", "traj" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Calculate average number densities for solute and solvent" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Simulation time = 100.0 ns\n", "Average volume = 29.6724630365 nm-3\n", "Average side-length = 3.09588295076 nm\n", "Number of solute molecules = 36\n", "Number of water molecules = 963\n", "Solute density = 1.2132460981 nm-3\n", "Water density = 32.4543331241 nm-3\n" ] }, { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x109e523d0>]" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAbIAAAEcCAYAAACiZTGCAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nMBoaU2SGYRhGQ/P/8iuXO6YGGmoAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1058295d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "volume=0\n", "for vec in traj.unitcell_lengths: \n", " volume = volume + vec[0]*vec[1]*vec[2] / traj.n_frames\n", "N_c = len(traj.topology.select('name NA or name CL'))\n", "N_w = len(traj.topology.select('name O'))\n", "rho_c = N_c / volume\n", "rho_w = N_w / volume\n", "print \"Simulation time = \", traj.time[-1]*1e-3, 'ns'\n", "print \"Average volume = \", volume, 'nm-3'\n", "print \"Average side-length = \", volume**(1/3.), 'nm'\n", "print \"Number of solute molecules = \", N_c\n", "print \"Number of water molecules = \", N_w\n", "print \"Solute density = \", rho_c, 'nm-3'\n", "print \"Water density = \", rho_w, 'nm-3'\n", "\n", "steps=range(traj.n_frames)\n", "plt.xlabel('steps')\n", "plt.ylabel('box sidelength, x (nm)')\n", "plt.plot(traj.unitcell_lengths[:,0])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Compute and plot RDFs\n", "_Note:_ The radial distribution function in `mdtraj` differs from _i.e._ Gromacs `g_rdf` in\n", "the way data is normalized and the $g(r)$ may need rescaling. It seems that densities\n", "are calculated by the number of selected pairs which for the `cc` case exclude all the\n", "self terms. This can be easily corrected and is obviously not needed for the `wc` case." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x10a7d8890>]" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZsAAAEeCAYAAABc5biTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIiKSOIWNiIgk7v8DJgbG9McF7NEAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10a310810>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "rmax = (volume)**(1/3.)/2\n", "select_cc = traj.topology.select_pairs('name NA or name CL', 'name NA or name CL')\n", "select_wc = traj.topology.select_pairs('name NA or name CL', 'name O')\n", "r, g_cc = md.compute_rdf(traj, select_cc, r_range=[0.0,rmax], bin_width=0.01, periodic=True)\n", "r, g_wc = md.compute_rdf(traj, select_wc, r_range=[0.0,rmax], bin_width=0.01, periodic=True)\n", "g_cc = g_cc * len(select_cc) / (0.5*N_c**2) # re-scale to account for diagonal in pair matrix\n", "\n", "np.savetxt('g_cc.dat', np.column_stack( (r,g_cc) ))\n", "np.savetxt('g_wc.dat', np.column_stack( (r,g_wc) ))\n", "\n", "plt.xlabel('$r$/nm')\n", "plt.ylabel('$g(r)$')\n", "plt.plot(r, g_cc, 'r-')\n", "plt.plot(r, g_wc, 'b-')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Calculate KB integrals\n", "Here we calculate the number of solute molecules around other solute molecules (cc) and around water (wc).\n", "For example,\n", "\n", "$$ N_{cc} = 4\\pi\\rho_c\\int_0^{\\infty} \\left ( g(r)_{cc} -1 \\right ) r^2 dr$$\n", "\n", "The preferential binding parameter is subsequently calculated as $\\Gamma = N_{cc}-N_{wc}$." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x10d8fbf50>]" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAasAAAEeCAYAAAA0FjqrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x109c51790>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dr = r[1]-r[0]\n", "N_cc = rho_c * 4*pi*np.cumsum( ( g_cc - 1 )*r**2*dr )\n", "N_wc = rho_c * 4*pi*np.cumsum( ( g_wc - 1 )*r**2*dr )\n", "Gamma = N_cc - N_wc\n", "plt.xlabel('$r$/nm')\n", "plt.ylabel('$\\\\Gamma = N_{cc}-N_{wc}$')\n", "plt.plot(r, Gamma, 'r-')" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Finite system size corrected KB integrals\n", "As can be seen in the above figure, the KB integrals do not converge since in a finite sized $NVT$ simulation,\n", "$g(r)$ can never exactly go to unity at large separations.\n", "To correct for this, a simple scaling factor can be applied, as describe in the link on top of the page,\n", "\n", "$$ g_{gc}^{\\prime} (r) = g_{jc}(r) \\cdot\n", " \\frac{N_j\\left (1-V(r)/V\\right )}{N_j\\left (1-V(r)/V\\right )-\\Delta N_{jc}(r)-\\delta_{jc}} $$\n", " \n", "Lastly, we take a little extra care in producing a refined PDF file for the uncorrected and\n", "corrected integrals." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAasAAAEWCAYAAADYRbjGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10a55ce50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Vn = 4*pi/3*r**3 / volume\n", "g_ccc = g_cc * N_c * (1-Vn) / ( N_c*(1-Vn)-N_cc-1)\n", "g_wcc = g_wc * N_w * (1-Vn) / ( N_w*(1-Vn)-N_wc-0)\n", "N_ccc = rho_c * 4*pi*dr*np.cumsum( ( g_ccc - 1 )*r**2 )\n", "N_wcc = rho_c * 4*pi*dr*np.cumsum( ( g_wcc - 1 )*r**2 )\n", "Gammac = N_ccc - N_wcc\n", "plt.xlabel('$r$/nm')\n", "plt.ylabel('$\\\\Gamma = N_{cc}-N_{wc}$')\n", "plt.plot(r, Gamma, color='red', ls='-', lw=2, label='uncorrected')\n", "plt.plot(r, Gammac, color='green', lw=2, label='corrected')\n", "plt.legend(loc=0,frameon=False, fontsize=16)\n", "plt.yticks( np.arange(-0.4, 0.5, 0.1))\n", "plt.ylim((-0.45,0.45))\n", "plt.savefig('gamma.pdf', bbox_inches='tight')" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Exercises\n", "\n", "0. Plot the average solute concentration as a function of simulation _time_.\n", "0. Plot $\\Gamma$ for $g(r)$'s calculated with only $1/_2$ and $1/_4$ of the frames in the trajectory. Discuss how long one needs to simulate to get a good estimate.\n", "0. Explain the finite size correction factor for the KB integrals.\n", "0. The preferential binding parameter is related to the activity coefficient derivative with respect to the molar salt concentration (see article link at the top). Collect experimental data of the activity coefficient vs. concentration; load it into this Notebook and judge if the current NaCl model is sound. Good sources are\n", "Robinson and Stokes \"Electrolyte Solutions\" and the CRC Press Handbook of Chemistry and Physics." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Credits\n", "\n", "Vidar Aspelin & Mikael Lund. http://www.teokem.lu.se" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
kimkipyo/dss_git_kkp
통계, 머신러닝 복습/160502월_1일차_분석 환경, 소개/14.Pandas 고급 인덱싱.ipynb
1
44357
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Pandas 고급 인덱싱" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "pandas는 numpy 행렬과 같이 comma를 사용한 복수 인덱싱을 지원하기 위해 다음과 같은 특별한 인덱서 속성을 제공한다.\n", "\n", "* `ix` : 라벨과 숫자를 동시에 지원하는 복수 인덱싱\n", "* `loc` : 라벨 기반의 복수 인덱싱\n", "* `iloc` : 숫자 기반의 복수 인덱싱\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## ix 인덱서\n", "\n", "\n", "* 행(Row)/열(Column) 양쪽에서 라벨 인덱싱, 숫자 인덱싱, 불리언 인덱싱(행만) 동시 가능\n", " * 단일 숫자 인덱싱 가능\n", " * 열(column)도 라벨이 아닌 숫자 인덱싱 가능\n", " * 열(column)도 라벨 슬라이싱(label slicing) 가능\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data = {\n", " 'state': ['Ohio', 'Ohio', 'Ohio', 'Nevada', 'Nevada'],\n", " 'year': [2000, 2001, 2002, 2001, 2002],\n", " 'pop': [1.5, 1.7, 3.6, 2.4, 2.9]\n", "}" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pop</th>\n", " <th>state</th>\n", " <th>year</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1.5</td>\n", " <td>Ohio</td>\n", " <td>2000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1.7</td>\n", " <td>Ohio</td>\n", " <td>2001</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3.6</td>\n", " <td>Ohio</td>\n", " <td>2002</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2.4</td>\n", " <td>Nevada</td>\n", " <td>2001</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2.9</td>\n", " <td>Nevada</td>\n", " <td>2002</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pop state year\n", "0 1.5 Ohio 2000\n", "1 1.7 Ohio 2001\n", "2 3.6 Ohio 2002\n", "3 2.4 Nevada 2001\n", "4 2.9 Nevada 2002" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df = pd.DataFrame(data)\n", "df" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pop</th>\n", " <th>state</th>\n", " <th>year</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1.5</td>\n", " <td>Ohio</td>\n", " <td>2000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1.7</td>\n", " <td>Ohio</td>\n", " <td>2001</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3.6</td>\n", " <td>Ohio</td>\n", " <td>2002</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2.4</td>\n", " <td>Nevada</td>\n", " <td>2001</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2.9</td>\n", " <td>Nevada</td>\n", " <td>2002</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " pop state year\n", "0 1.5 Ohio 2000\n", "1 1.7 Ohio 2001\n", "2 3.6 Ohio 2002\n", "3 2.4 Nevada 2001\n", "4 2.9 Nevada 2002" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# 순차적 indexing 과 동일\n", "df.ix[1:3, [\"state\", \"pop\"]]" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>year</th>\n", " <th>state</th>\n", " <th>pop</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>one</th>\n", " <td>2000</td>\n", " <td>Ohio</td>\n", " <td>1.5</td>\n", " </tr>\n", " <tr>\n", " <th>two</th>\n", " <td>2001</td>\n", " <td>Ohio</td>\n", " <td>1.7</td>\n", " </tr>\n", " <tr>\n", " <th>three</th>\n", " <td>2002</td>\n", " <td>Ohio</td>\n", " <td>3.6</td>\n", " </tr>\n", " <tr>\n", " <th>four</th>\n", " <td>2001</td>\n", " <td>Nevada</td>\n", " <td>2.4</td>\n", " </tr>\n", " <tr>\n", " <th>five</th>\n", " <td>2002</td>\n", " <td>Nevada</td>\n", " <td>2.9</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " year state pop\n", "one 2000 Ohio 1.5\n", "two 2001 Ohio 1.7\n", "three 2002 Ohio 3.6\n", "four 2001 Nevada 2.4\n", "five 2002 Nevada 2.9" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df2 = pd.DataFrame(data,\n", " columns=['year', 'state', 'pop'],\n", " index=['one', 'two', 'three', 'four', 'five'])\n", "df2" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>state</th>\n", " <th>pop</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>two</th>\n", " <td>Ohio</td>\n", " <td>1.7</td>\n", " </tr>\n", " <tr>\n", " <th>three</th>\n", " <td>Ohio</td>\n", " <td>3.6</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " state pop\n", "two Ohio 1.7\n", "three Ohio 3.6" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# , 이용\n", "df2.ix[[\"two\", \"three\"], [\"state\", \"pop\"]]" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>year</th>\n", " <th>state</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>two</th>\n", " <td>2001</td>\n", " <td>Ohio</td>\n", " </tr>\n", " <tr>\n", " <th>three</th>\n", " <td>2002</td>\n", " <td>Ohio</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " year state\n", "two 2001 Ohio\n", "three 2002 Ohio" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# column에도 integer 기반 indexing 가능\n", "df2.ix[[\"two\", \"three\"], :2]" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>state</th>\n", " <th>pop</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>two</th>\n", " <td>Ohio</td>\n", " <td>1.7</td>\n", " </tr>\n", " <tr>\n", " <th>three</th>\n", " <td>Ohio</td>\n", " <td>3.6</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " state pop\n", "two Ohio 1.7\n", "three Ohio 3.6" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# column에도 Label Slicing 가능\n", "df2.ix[[\"two\", \"three\"], \"state\":\"pop\"]" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>state</th>\n", " <th>pop</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>one</th>\n", " <td>Ohio</td>\n", " <td>1.5</td>\n", " </tr>\n", " <tr>\n", " <th>two</th>\n", " <td>Ohio</td>\n", " <td>1.7</td>\n", " </tr>\n", " <tr>\n", " <th>three</th>\n", " <td>Ohio</td>\n", " <td>3.6</td>\n", " </tr>\n", " <tr>\n", " <th>four</th>\n", " <td>Nevada</td>\n", " <td>2.4</td>\n", " </tr>\n", " <tr>\n", " <th>five</th>\n", " <td>Nevada</td>\n", " <td>2.9</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " state pop\n", "one Ohio 1.5\n", "two Ohio 1.7\n", "three Ohio 3.6\n", "four Nevada 2.4\n", "five Nevada 2.9" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# `:` 사용\n", "df2.ix[:, [\"state\", \"pop\"]]" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>year</th>\n", " <th>state</th>\n", " <th>pop</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>two</th>\n", " <td>2001</td>\n", " <td>Ohio</td>\n", " <td>1.7</td>\n", " </tr>\n", " <tr>\n", " <th>five</th>\n", " <td>2002</td>\n", " <td>Nevada</td>\n", " <td>2.9</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " year state pop\n", "two 2001 Ohio 1.7\n", "five 2002 Nevada 2.9" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# `:` 사용\n", "df2.ix[[\"two\", \"five\"], :]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Index Label이 없는 경우의 주의점\n", "\n", "* Label이 지정되지 않는 경우에는 integer slicing을 label slicing으로 간주하여 마지막 값을 포함한다" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>0</th>\n", " <th>1</th>\n", " <th>2</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>-0.108509</td>\n", " <td>-0.733949</td>\n", " <td>-0.111357</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>-0.025895</td>\n", " <td>-0.621490</td>\n", " <td>0.193022</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0.857554</td>\n", " <td>-0.186033</td>\n", " <td>0.268976</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1.942993</td>\n", " <td>-0.371014</td>\n", " <td>0.022745</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1.220884</td>\n", " <td>-0.178527</td>\n", " <td>-0.743444</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " 0 1 2\n", "0 -0.108509 -0.733949 -0.111357\n", "1 -0.025895 -0.621490 0.193022\n", "2 0.857554 -0.186033 0.268976\n", "3 1.942993 -0.371014 0.022745\n", "4 1.220884 -0.178527 -0.743444" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df = pd.DataFrame(np.random.randn(5, 3))\n", "df" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>c2</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>-0.733949</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>-0.621490</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>-0.186033</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " c2\n", "0 -0.733949\n", "1 -0.621490\n", "2 -0.186033" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.columns = [\"c1\", \"c2\", \"c3\"]\n", "df.ix[0:2, 1:2]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## loc 인덱서\n", "\n", "* 라벨 기준 인덱싱\n", "\n", " * 숫자가 오더라도 라벨로 인식한다.\n", " * 라벨 리스트 가능\n", " * 라벨 슬라이싱 가능\n", " * 불리언 배열 가능" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## iloc 인덱서\n", "\n", "* 숫자 기준 인덱싱\n", "\n", " * 문자열 라벨은 불가\n", " * 숫자 리스트 가능\n", " * 숫자 슬라이싱 가능\n", " * 불리언 배열 가능" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>A</th>\n", " <th>B</th>\n", " <th>C</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>a</th>\n", " <td>6</td>\n", " <td>9</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>b</th>\n", " <td>6</td>\n", " <td>1</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>c</th>\n", " <td>2</td>\n", " <td>8</td>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>d</th>\n", " <td>10</td>\n", " <td>3</td>\n", " <td>5</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " A B C\n", "a 6 9 10\n", "b 6 1 1\n", "c 2 8 7\n", "d 10 3 5" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.random.seed(1)\n", "df = pd.DataFrame(np.random.randint(1, 11, size=(4,3)), \n", " columns=[\"A\", \"B\", \"C\"], index=[\"a\", \"b\", \"c\", \"d\"])\n", "df" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>B</th>\n", " <th>C</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>a</th>\n", " <td>9</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>c</th>\n", " <td>8</td>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " B C\n", "a 9 10\n", "c 8 7" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.ix[[\"a\", \"c\"], \"B\":\"C\"]" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>B</th>\n", " <th>C</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>a</th>\n", " <td>9</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>c</th>\n", " <td>8</td>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " B C\n", "a 9 10\n", "c 8 7" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.ix[[0, 2], 1:3]" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>B</th>\n", " <th>C</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>a</th>\n", " <td>9</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>c</th>\n", " <td>8</td>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " B C\n", "a 9 10\n", "c 8 7" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.loc[[\"a\", \"c\"], \"B\":\"C\"]" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>B</th>\n", " <th>C</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>c</th>\n", " <td>8</td>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>d</th>\n", " <td>3</td>\n", " <td>5</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " B C\n", "c 8 7\n", "d 3 5" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.ix[2:4, 1:3]" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "ename": "TypeError", "evalue": "cannot do slice indexing on <class 'pandas.indexes.base.Index'> with these indexers [2] of <class 'int'>", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-16-5f06278145c0>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mdf\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mloc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;36m4\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;36m3\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m__getitem__\u001b[1;34m(self, key)\u001b[0m\n\u001b[0;32m 1292\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1293\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mtype\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mis\u001b[0m \u001b[0mtuple\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1294\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getitem_tuple\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1295\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1296\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getitem_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_getitem_tuple\u001b[1;34m(self, tup)\u001b[0m\n\u001b[0;32m 802\u001b[0m \u001b[1;32mcontinue\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 803\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 804\u001b[1;33m \u001b[0mretval\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mgetattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mretval\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getitem_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mi\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 805\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 806\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mretval\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_getitem_axis\u001b[1;34m(self, key, axis)\u001b[0m\n\u001b[0;32m 1435\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mslice\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1436\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_has_valid_type\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1437\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_slice_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1438\u001b[0m \u001b[1;32melif\u001b[0m \u001b[0mis_bool_indexer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1439\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getbool_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_get_slice_axis\u001b[1;34m(self, slice_obj, axis)\u001b[0m\n\u001b[0;32m 1316\u001b[0m \u001b[0mlabels\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1317\u001b[0m indexer = labels.slice_indexer(slice_obj.start, slice_obj.stop,\n\u001b[1;32m-> 1318\u001b[1;33m slice_obj.step, kind=self.name)\n\u001b[0m\u001b[0;32m 1319\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1320\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mindexer\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mslice\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\indexes\\base.py\u001b[0m in \u001b[0;36mslice_indexer\u001b[1;34m(self, start, end, step, kind)\u001b[0m\n\u001b[0;32m 2783\u001b[0m \"\"\"\n\u001b[0;32m 2784\u001b[0m start_slice, end_slice = self.slice_locs(start, end, step=step,\n\u001b[1;32m-> 2785\u001b[1;33m kind=kind)\n\u001b[0m\u001b[0;32m 2786\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2787\u001b[0m \u001b[1;31m# return a slice\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\indexes\\base.py\u001b[0m in \u001b[0;36mslice_locs\u001b[1;34m(self, start, end, step, kind)\u001b[0m\n\u001b[0;32m 2962\u001b[0m \u001b[0mstart_slice\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2963\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mstart\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2964\u001b[1;33m \u001b[0mstart_slice\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_slice_bound\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mstart\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'left'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkind\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2965\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mstart_slice\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2966\u001b[0m \u001b[0mstart_slice\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;36m0\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\indexes\\base.py\u001b[0m in \u001b[0;36mget_slice_bound\u001b[1;34m(self, label, side, kind)\u001b[0m\n\u001b[0;32m 2901\u001b[0m \u001b[1;31m# For datetime indices label may be a string that has to be converted\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2902\u001b[0m \u001b[1;31m# to datetime boundary according to its resolution.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2903\u001b[1;33m \u001b[0mlabel\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_maybe_cast_slice_bound\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlabel\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mside\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkind\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2904\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2905\u001b[0m \u001b[1;31m# we need to look up the label\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\indexes\\base.py\u001b[0m in \u001b[0;36m_maybe_cast_slice_bound\u001b[1;34m(self, label, side, kind)\u001b[0m\n\u001b[0;32m 2859\u001b[0m \u001b[1;31m# this is rejected (generally .loc gets you here)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2860\u001b[0m \u001b[1;32melif\u001b[0m \u001b[0mis_integer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlabel\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2861\u001b[1;33m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_invalid_indexer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'slice'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlabel\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2862\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2863\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mlabel\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\indexes\\base.py\u001b[0m in \u001b[0;36m_invalid_indexer\u001b[1;34m(self, form, key)\u001b[0m\n\u001b[0;32m 1123\u001b[0m \"indexers [{key}] of {kind}\".format(\n\u001b[0;32m 1124\u001b[0m \u001b[0mform\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mform\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mklass\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mtype\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkey\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1125\u001b[1;33m kind=type(key)))\n\u001b[0m\u001b[0;32m 1126\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1127\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mget_duplicates\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mTypeError\u001b[0m: cannot do slice indexing on <class 'pandas.indexes.base.Index'> with these indexers [2] of <class 'int'>" ] } ], "source": [ "df.loc[2:4, 1:3]" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>B</th>\n", " <th>C</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>c</th>\n", " <td>8</td>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>d</th>\n", " <td>3</td>\n", " <td>5</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " B C\n", "c 8 7\n", "d 3 5" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.iloc[2:4, 1:3]" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "ename": "TypeError", "evalue": "cannot perform reduce with flexible type", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-19-25cc466bce07>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mdf\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0miloc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m\"a\"\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"c\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"B\"\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;34m\"C\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m__getitem__\u001b[1;34m(self, key)\u001b[0m\n\u001b[0;32m 1292\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1293\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mtype\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mis\u001b[0m \u001b[0mtuple\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1294\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getitem_tuple\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1295\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1296\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getitem_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_getitem_tuple\u001b[1;34m(self, tup)\u001b[0m\n\u001b[0;32m 1542\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m_getitem_tuple\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mtup\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1543\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1544\u001b[1;33m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_has_valid_tuple\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mtup\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1545\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1546\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_getitem_lowerdim\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mtup\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_has_valid_tuple\u001b[1;34m(self, key)\u001b[0m\n\u001b[0;32m 140\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mi\u001b[0m \u001b[1;33m>=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mndim\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 141\u001b[0m \u001b[1;32mraise\u001b[0m \u001b[0mIndexingError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'Too many indexers'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 142\u001b[1;33m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_has_valid_type\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mk\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mi\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 143\u001b[0m raise ValueError(\"Location based indexing can only have [%s] \"\n\u001b[0;32m 144\u001b[0m \"types\" % self._valid_types)\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_has_valid_type\u001b[1;34m(self, key, axis)\u001b[0m\n\u001b[0;32m 1512\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_is_valid_integer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1513\u001b[0m \u001b[1;32melif\u001b[0m \u001b[0mis_list_like_indexer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1514\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_is_valid_list_like\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1515\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[1;32mFalse\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1516\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py\u001b[0m in \u001b[0;36m_is_valid_list_like\u001b[1;34m(self, key, axis)\u001b[0m\n\u001b[0;32m 1535\u001b[0m \u001b[0max\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_axis\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1536\u001b[0m \u001b[0ml\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1537\u001b[1;33m \u001b[1;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marr\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mand\u001b[0m \u001b[1;33m(\u001b[0m\u001b[0marr\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmax\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>=\u001b[0m \u001b[0ml\u001b[0m \u001b[1;32mor\u001b[0m \u001b[0marr\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmin\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m<\u001b[0m \u001b[1;33m-\u001b[0m\u001b[0ml\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1538\u001b[0m \u001b[1;32mraise\u001b[0m \u001b[0mIndexError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"positional indexers are out-of-bounds\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1539\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py\u001b[0m in \u001b[0;36m_amax\u001b[1;34m(a, axis, out, keepdims)\u001b[0m\n\u001b[0;32m 24\u001b[0m \u001b[1;31m# small reductions\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 25\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m_amax\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mout\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkeepdims\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mFalse\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 26\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mumr_maximum\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mout\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkeepdims\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 27\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 28\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m_amin\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mout\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mkeepdims\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mFalse\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mTypeError\u001b[0m: cannot perform reduce with flexible type" ] } ], "source": [ "df.iloc[[\"a\", \"c\"], \"B\":\"C\"]" ] } ], "metadata": { "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
jsaudino/75.06_tp1_acs
old/Dai Parte TP.ipynb
2
254061
{ "cells": [ { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np \n", "import pandas as pd\n", "\n", "# plots\n", "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "%matplotlib inline\n", "\n", "trip= pd.read_csv('trip.csv')" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0QAAAEVCAYAAADAVCVVAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nMWZJQCSEEEIIIYQYs/4/LSWS7yM4tV0AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6e5c3efcd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Duracion de viajes por bicicleta.\n", "plt = trip.groupby('bike_id').sum()['duration'].plot(figsize=(14,4));\n", "plt.set_xlabel('bike_id')\n", "plt.set_ylabel('duration')\n", "plt.set_title('Cantidad de viajes por bicicleta');\n", "\n" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>duration</th>\n", " <th>start_date</th>\n", " <th>start_station_name</th>\n", " <th>start_station_id</th>\n", " <th>end_date</th>\n", " <th>end_station_name</th>\n", " <th>end_station_id</th>\n", " <th>bike_id</th>\n", " <th>subscription_type</th>\n", " <th>zip_code</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>573566</th>\n", " <td>568474</td>\n", " <td>17270400</td>\n", " <td>12/6/2014 21:59</td>\n", " <td>South Van Ness at Market</td>\n", " <td>66</td>\n", " <td>6/24/2015 20:18</td>\n", " <td>2nd at Folsom</td>\n", " <td>62</td>\n", " <td>535</td>\n", " <td>Customer</td>\n", " <td>95531</td>\n", " </tr>\n", " <tr>\n", " <th>382718</th>\n", " <td>825850</td>\n", " <td>2137000</td>\n", " <td>6/28/2015 21:50</td>\n", " <td>Market at Sansome</td>\n", " <td>77</td>\n", " <td>7/23/2015 15:27</td>\n", " <td>Yerba Buena Center of the Arts (3rd @ Howard)</td>\n", " <td>68</td>\n", " <td>466</td>\n", " <td>Customer</td>\n", " <td>97213</td>\n", " </tr>\n", " <tr>\n", " <th>440339</th>\n", " <td>750192</td>\n", " <td>1852590</td>\n", " <td>5/2/2015 6:17</td>\n", " <td>San Antonio Shopping Center</td>\n", " <td>31</td>\n", " <td>5/23/2015 16:53</td>\n", " <td>Castro Street and El Camino Real</td>\n", " <td>32</td>\n", " <td>680</td>\n", " <td>Subscriber</td>\n", " <td>94024</td>\n", " </tr>\n", " <tr>\n", " <th>371066</th>\n", " <td>841176</td>\n", " <td>1133540</td>\n", " <td>7/10/2015 10:35</td>\n", " <td>University and Emerson</td>\n", " <td>35</td>\n", " <td>7/23/2015 13:27</td>\n", " <td>University and Emerson</td>\n", " <td>35</td>\n", " <td>262</td>\n", " <td>Customer</td>\n", " <td>94306</td>\n", " </tr>\n", " <tr>\n", " <th>80510</th>\n", " <td>111309</td>\n", " <td>722236</td>\n", " <td>11/30/2013 13:29</td>\n", " <td>University and Emerson</td>\n", " <td>35</td>\n", " <td>12/8/2013 22:06</td>\n", " <td>University and Emerson</td>\n", " <td>35</td>\n", " <td>247</td>\n", " <td>Customer</td>\n", " <td>94301</td>\n", " </tr>\n", " <tr>\n", " <th>606063</th>\n", " <td>522337</td>\n", " <td>720454</td>\n", " <td>10/30/2014 8:29</td>\n", " <td>Redwood City Caltrain Station</td>\n", " <td>22</td>\n", " <td>11/7/2014 15:36</td>\n", " <td>Stanford in Redwood City</td>\n", " <td>25</td>\n", " <td>692</td>\n", " <td>Customer</td>\n", " <td>94010</td>\n", " </tr>\n", " <tr>\n", " <th>223016</th>\n", " <td>323594</td>\n", " <td>716480</td>\n", " <td>6/13/2014 16:57</td>\n", " <td>Harry Bridges Plaza (Ferry Building)</td>\n", " <td>50</td>\n", " <td>6/21/2014 23:59</td>\n", " <td>Civic Center BART (7th at Market)</td>\n", " <td>72</td>\n", " <td>633</td>\n", " <td>Subscriber</td>\n", " <td>94131</td>\n", " </tr>\n", " <tr>\n", " <th>195379</th>\n", " <td>361321</td>\n", " <td>715339</td>\n", " <td>7/13/2014 5:50</td>\n", " <td>Arena Green / SAP Center</td>\n", " <td>14</td>\n", " <td>7/21/2014 12:32</td>\n", " <td>Adobe on Almaden</td>\n", " <td>5</td>\n", " <td>251</td>\n", " <td>Customer</td>\n", " <td>nil</td>\n", " </tr>\n", " <tr>\n", " <th>421839</th>\n", " <td>774999</td>\n", " <td>688899</td>\n", " <td>5/20/2015 15:27</td>\n", " <td>Palo Alto Caltrain Station</td>\n", " <td>34</td>\n", " <td>5/28/2015 14:49</td>\n", " <td>California Ave Caltrain Station</td>\n", " <td>36</td>\n", " <td>230</td>\n", " <td>Customer</td>\n", " <td>nil</td>\n", " </tr>\n", " <tr>\n", " <th>524521</th>\n", " <td>635260</td>\n", " <td>655939</td>\n", " <td>2/8/2015 3:05</td>\n", " <td>San Jose Civic Center</td>\n", " <td>3</td>\n", " <td>2/15/2015 17:17</td>\n", " <td>SJSU 4th at San Carlos</td>\n", " <td>12</td>\n", " <td>132</td>\n", " <td>Customer</td>\n", " <td>89451</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id duration start_date \\\n", "573566 568474 17270400 12/6/2014 21:59 \n", "382718 825850 2137000 6/28/2015 21:50 \n", "440339 750192 1852590 5/2/2015 6:17 \n", "371066 841176 1133540 7/10/2015 10:35 \n", "80510 111309 722236 11/30/2013 13:29 \n", "606063 522337 720454 10/30/2014 8:29 \n", "223016 323594 716480 6/13/2014 16:57 \n", "195379 361321 715339 7/13/2014 5:50 \n", "421839 774999 688899 5/20/2015 15:27 \n", "524521 635260 655939 2/8/2015 3:05 \n", "\n", " start_station_name start_station_id \\\n", "573566 South Van Ness at Market 66 \n", "382718 Market at Sansome 77 \n", "440339 San Antonio Shopping Center 31 \n", "371066 University and Emerson 35 \n", "80510 University and Emerson 35 \n", "606063 Redwood City Caltrain Station 22 \n", "223016 Harry Bridges Plaza (Ferry Building) 50 \n", "195379 Arena Green / SAP Center 14 \n", "421839 Palo Alto Caltrain Station 34 \n", "524521 San Jose Civic Center 3 \n", "\n", " end_date end_station_name \\\n", "573566 6/24/2015 20:18 2nd at Folsom \n", "382718 7/23/2015 15:27 Yerba Buena Center of the Arts (3rd @ Howard) \n", "440339 5/23/2015 16:53 Castro Street and El Camino Real \n", "371066 7/23/2015 13:27 University and Emerson \n", "80510 12/8/2013 22:06 University and Emerson \n", "606063 11/7/2014 15:36 Stanford in Redwood City \n", "223016 6/21/2014 23:59 Civic Center BART (7th at Market) \n", "195379 7/21/2014 12:32 Adobe on Almaden \n", "421839 5/28/2015 14:49 California Ave Caltrain Station \n", "524521 2/15/2015 17:17 SJSU 4th at San Carlos \n", "\n", " end_station_id bike_id subscription_type zip_code \n", "573566 62 535 Customer 95531 \n", "382718 68 466 Customer 97213 \n", "440339 32 680 Subscriber 94024 \n", "371066 35 262 Customer 94306 \n", "80510 35 247 Customer 94301 \n", "606063 25 692 Customer 94010 \n", "223016 72 633 Subscriber 94131 \n", "195379 5 251 Customer nil \n", "421839 36 230 Customer nil \n", "524521 12 132 Customer 89451 " ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Top five bikes durations \n", "trip.sort_values('duration',ascending=False).head(10)\n" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEcCAYAAADdtCNzAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nzKzIAWFmZkUOCDMzK/pf49umRbRm9hMAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6e5c3116d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Las Mayores cantidad de duraciones por bike_id\n", "plt = trip['duration'].value_counts()[:5].plot('bar');\n", "plt.set_xlabel('bike_id')\n", "plt.set_ylabel('duration')\n", "plt.set_title('Bicicletas con Mayor viajes en Duracion');" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " this.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overriden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " // select the cell after this one\n", " var index = IPython.notebook.find_cell_index(this.cell_info[0]);\n", " IPython.notebook.select(index + 1);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAbAAAAEgCAYAAADVKCZpAAAB+UlEQVR4nO3BMQEAAADCoPVP7WkJoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4AaZiQAB1KMUfAAAAABJRU5ErkJggg==\" width=\"432\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " this.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overriden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " // select the cell after this one\n", " var index = IPython.notebook.find_cell_index(this.cell_info[0]);\n", " IPython.notebook.select(index + 1);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAbAAAAEgCAYAAADVKCZpAAAB+UlEQVR4nO3BMQEAAADCoPVP7WkJoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4AaZiQAB1KMUfAAAAABJRU5ErkJggg==\" width=\"432\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "duration_station = trip['duration'].value_counts()\n", "%matplotlib notebook\n", "# top 20\n", "duration_station[:10].plot('bar');" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAY4AAAHkCAYAAAApa8hAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRETEhKRwRETEhPwPmni36pS1SG8AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6e57f76610>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Que estacion de Origen tiene las mayor cantidad,las 10\n", "plt = trip['start_station_name'].value_counts()[:10].plot('bar');\n", "plt.set_xlabel('Estaciones')\n", "plt.set_ylabel('Partidas')\n", "plt.set_title('Las 10 estaciones con la mayor cantidad de salidas');" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAY4AAAHkCAYAAAApa8hAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEF66AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6e57eca110>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Que estacion de Origen tiene las mayor cantidad,las 20\n", "plt = trip['start_station_name'].value_counts()[:20].plot('bar');\n", "plt.set_xlabel('Estaciones')\n", "plt.set_ylabel('Partidas')\n", "plt.set_title('Las 20 estaciones con la mayor cantidad de salidas');" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAY4AAAHkCAYAAAApa8hAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f6e5c3bfe50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Que estacion de destino que tiene las mayor cantidad de llegadas,las 20\n", "plt = trip['end_station_name'].value_counts()[:20].plot('bar');\n", "plt.set_xlabel('Estaciones')\n", "plt.set_ylabel('Llegadas')\n", "plt.set_title('Las 20 estaciones con la mayor cantidad de llegadas');" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "status= pd.read_csv('stat80.csv')" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>station_id</th>\n", " <th>bikes_available</th>\n", " <th>docks_available</th>\n", " <th>time</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>185339</th>\n", " <td>2</td>\n", " <td>24</td>\n", " <td>3</td>\n", " <td>2014/01/07 17:46:03</td>\n", " </tr>\n", " <tr>\n", " <th>185338</th>\n", " <td>2</td>\n", " <td>24</td>\n", " <td>3</td>\n", " <td>2014/01/07 17:45:02</td>\n", " </tr>\n", " <tr>\n", " <th>185337</th>\n", " <td>2</td>\n", " <td>24</td>\n", " <td>3</td>\n", " <td>2014/01/07 17:44:02</td>\n", " </tr>\n", " <tr>\n", " <th>185336</th>\n", " <td>2</td>\n", " <td>24</td>\n", " <td>3</td>\n", " <td>2014/01/07 17:43:03</td>\n", " </tr>\n", " <tr>\n", " <th>63706</th>\n", " <td>2</td>\n", " <td>23</td>\n", " <td>4</td>\n", " <td>2013/10/14 18:38:01</td>\n", " </tr>\n", " <tr>\n", " <th>67035</th>\n", " <td>2</td>\n", " <td>23</td>\n", " <td>4</td>\n", " <td>2013/10/17 06:25:01</td>\n", " </tr>\n", " <tr>\n", " <th>84226</th>\n", " <td>2</td>\n", " <td>23</td>\n", " <td>4</td>\n", " <td>2013/10/29 07:35:02</td>\n", " </tr>\n", " <tr>\n", " <th>84225</th>\n", " <td>2</td>\n", " <td>23</td>\n", " <td>4</td>\n", " <td>2013/10/29 07:34:01</td>\n", " </tr>\n", " <tr>\n", " <th>67027</th>\n", " <td>2</td>\n", " <td>23</td>\n", " <td>4</td>\n", " <td>2013/10/17 06:16:01</td>\n", " </tr>\n", " <tr>\n", " <th>67028</th>\n", " <td>2</td>\n", " <td>23</td>\n", " <td>4</td>\n", " <td>2013/10/17 06:17:01</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " station_id bikes_available docks_available time\n", "185339 2 24 3 2014/01/07 17:46:03\n", "185338 2 24 3 2014/01/07 17:45:02\n", "185337 2 24 3 2014/01/07 17:44:02\n", "185336 2 24 3 2014/01/07 17:43:03\n", "63706 2 23 4 2013/10/14 18:38:01\n", "67035 2 23 4 2013/10/17 06:25:01\n", "84226 2 23 4 2013/10/29 07:35:02\n", "84225 2 23 4 2013/10/29 07:34:01\n", "67027 2 23 4 2013/10/17 06:16:01\n", "67028 2 23 4 2013/10/17 06:17:01" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "status.sort_values('bikes_available',ascending=False).head(10)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
google-research/google-research
label_bias/Label_Bias_EqualOpportunity.ipynb
1
41767
{ "cells": [ { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "AN3Ek2S9IIwK" }, "source": [ "Copyright 2019 Google LLC\n", "\n", "Licensed under the Apache License, Version 2.0 (the \"License\");\n", "you may not use this file except in compliance with the License.\n", "You may obtain a copy of the License at\n", "\n", " https://www.apache.org/licenses/LICENSE-2.0\n", "\n", "Unless required by applicable law or agreed to in writing, software\n", "distributed under the License is distributed on an \"AS IS\" BASIS,\n", "WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "See the License for the specific language governing permissions and\n", "limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "kY-P5psVbNUB" }, "source": [ "## Benchmark Fairness Experiments for Equal Opportunity\n", "\n", "Requires paths to the appropriate datasets." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "colab": {}, "colab_type": "code", "id": "UpWFW27lIxjS" }, "outputs": [], "source": [ "from __future__ import absolute_import\n", "from __future__ import division\n", "from __future__ import print_function\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import tensorflow as tf\n", "from sklearn.model_selection import train_test_split\n", "import cPickle\n" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "Kt5ZpMw3JiP7" }, "source": [ "## Load dataset" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "form", "colab": {}, "colab_type": "code", "id": "v_TTNGF7Fnaq" }, "outputs": [], "source": [ "#@title Load Adult dataset\n", "\n", "CATEGORICAL_COLUMNS = [\n", " 'workclass', 'education', 'marital_status', 'occupation', 'relationship',\n", " 'race', 'gender', 'native_country'\n", "]\n", "CONTINUOUS_COLUMNS = [\n", " 'age', 'capital_gain', 'capital_loss', 'hours_per_week', 'education_num'\n", "]\n", "COLUMNS = [\n", " 'age', 'workclass', 'fnlwgt', 'education', 'education_num',\n", " 'marital_status', 'occupation', 'relationship', 'race', 'gender',\n", " 'capital_gain', 'capital_loss', 'hours_per_week', 'native_country',\n", " 'income_bracket'\n", "]\n", "LABEL_COLUMN = 'label'\n", "\n", "PROTECTED_GROUPS = [\n", " 'gender_Female', 'gender_Male', 'race_White', 'race_Black'\n", "]\n", "\n", "\n", "def get_adult_data():\n", " train_file = PATH_TO_ADULT_TRAIN_FILE\n", " test_file = PATH_TO_ADULT_TEST_FILE\n", "\n", " train_df_raw = pd.read_csv(train_file, names=COLUMNS, skipinitialspace=True)\n", " test_df_raw = pd.read_csv(\n", " test_file, names=COLUMNS, skipinitialspace=True, skiprows=1)\n", "\n", " train_df_raw[LABEL_COLUMN] = (\n", " train_df_raw['income_bracket'].apply(lambda x: '\u003e50K' in x)).astype(int)\n", " test_df_raw[LABEL_COLUMN] = (\n", " test_df_raw['income_bracket'].apply(lambda x: '\u003e50K' in x)).astype(int)\n", " # Preprocessing Features\n", " pd.options.mode.chained_assignment = None # default='warn'\n", "\n", " # Functions for preprocessing categorical and continuous columns.\n", " def binarize_categorical_columns(input_train_df,\n", " input_test_df,\n", " categorical_columns=[]):\n", "\n", " def fix_columns(input_train_df, input_test_df):\n", " test_df_missing_cols = set(input_train_df.columns) - set(\n", " input_test_df.columns)\n", " for c in test_df_missing_cols:\n", " input_test_df[c] = 0\n", " train_df_missing_cols = set(input_test_df.columns) - set(\n", " input_train_df.columns)\n", " for c in train_df_missing_cols:\n", " input_train_df[c] = 0\n", " input_train_df = input_train_df[input_test_df.columns]\n", " return input_train_df, input_test_df\n", "\n", " # Binarize categorical columns.\n", " binarized_train_df = pd.get_dummies(\n", " input_train_df, columns=categorical_columns)\n", " binarized_test_df = pd.get_dummies(\n", " input_test_df, columns=categorical_columns)\n", " # Make sure the train and test dataframes have the same binarized columns.\n", " fixed_train_df, fixed_test_df = fix_columns(binarized_train_df,\n", " binarized_test_df)\n", " return fixed_train_df, fixed_test_df\n", " \n", " def bucketize_continuous_column(input_train_df,\n", " input_test_df,\n", " continuous_column_name,\n", " num_quantiles=None,\n", " bins=None):\n", " assert (num_quantiles is None or bins is None)\n", " if num_quantiles is not None:\n", " train_quantized, bins_quantized = pd.qcut(\n", " input_train_df[continuous_column_name],\n", " num_quantiles,\n", " retbins=True,\n", " labels=False)\n", " input_train_df[continuous_column_name] = pd.cut(\n", " input_train_df[continuous_column_name], bins_quantized, labels=False)\n", " input_test_df[continuous_column_name] = pd.cut(\n", " input_test_df[continuous_column_name], bins_quantized, labels=False)\n", " elif bins is not None:\n", " input_train_df[continuous_column_name] = pd.cut(\n", " input_train_df[continuous_column_name], bins, labels=False)\n", " input_test_df[continuous_column_name] = pd.cut(\n", " input_test_df[continuous_column_name], bins, labels=False)\n", "\n", " # Filter out all columns except the ones specified.\n", " train_df = train_df_raw[CATEGORICAL_COLUMNS + CONTINUOUS_COLUMNS +\n", " [LABEL_COLUMN]]\n", " test_df = test_df_raw[CATEGORICAL_COLUMNS + CONTINUOUS_COLUMNS +\n", " [LABEL_COLUMN]]\n", " # Bucketize continuous columns.\n", " bucketize_continuous_column(train_df, test_df, 'age', num_quantiles=4)\n", " bucketize_continuous_column(\n", " train_df, test_df, 'capital_gain', bins=[-1, 1, 4000, 10000, 100000])\n", " bucketize_continuous_column(\n", " train_df, test_df, 'capital_loss', bins=[-1, 1, 1800, 1950, 4500])\n", " bucketize_continuous_column(\n", " train_df, test_df, 'hours_per_week', bins=[0, 39, 41, 50, 100])\n", " bucketize_continuous_column(\n", " train_df, test_df, 'education_num', bins=[0, 8, 9, 11, 16])\n", " train_df, test_df = binarize_categorical_columns(\n", " train_df,\n", " test_df,\n", " categorical_columns=CATEGORICAL_COLUMNS + CONTINUOUS_COLUMNS)\n", " feature_names = list(train_df.keys())\n", " feature_names.remove(LABEL_COLUMN)\n", " num_features = len(feature_names)\n", " return train_df, test_df, feature_names\n", "\n", "\n", "train_df, test_df, feature_names = get_adult_data()\n", "X_train_adult = np.array(train_df[feature_names])\n", "y_train_adult = np.array(train_df[LABEL_COLUMN])\n", "X_test_adult = np.array(test_df[feature_names])\n", "y_test_adult = np.array(test_df[LABEL_COLUMN])\n", "\n", "protected_train_adult = [np.array(train_df[g]) for g in PROTECTED_GROUPS]\n", "protected_test_adult = [np.array(test_df[g]) for g in PROTECTED_GROUPS]\n" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "form", "colab": {}, "colab_type": "code", "id": "n5T11e7ZjyP-" }, "outputs": [], "source": [ "#@title Load Bank dataset\n", "\n", "FEATURES = [\n", " u'campaign', u'previous', u'emp.var.rate', u'cons.price.idx',\n", " u'cons.conf.idx', u'euribor3m', u'nr.employed', u'job_admin.',\n", " u'job_blue-collar', u'job_entrepreneur', u'job_housemaid',\n", " u'job_management', u'job_retired', u'job_self-employed', u'job_services',\n", " u'job_student', u'job_technician', u'job_unemployed', u'job_unknown',\n", " u'marital_divorced', u'marital_married', u'marital_single',\n", " u'marital_unknown', u'education_basic.4y', u'education_basic.6y',\n", " u'education_basic.9y', u'education_high.school', u'education_illiterate',\n", " u'education_professional.course', u'education_university.degree',\n", " u'education_unknown', u'default_no', u'default_unknown', u'default_yes',\n", " u'housing_no', u'housing_unknown', u'housing_yes', u'loan_no',\n", " u'loan_unknown', u'loan_yes', u'contact_cellular', u'contact_telephone',\n", " u'day_of_week_fri', u'day_of_week_mon', u'day_of_week_thu',\n", " u'day_of_week_tue', u'day_of_week_wed', u'poutcome_failure',\n", " u'poutcome_nonexistent', u'poutcome_success', u'y_yes', u'age_0', u'age_1',\n", " u'age_2', u'age_3', u'age_4', u'duration_0.0', u'duration_1.0',\n", " u'duration_2.0', u'duration_3.0', u'duration_4.0'\n", "]\n", "features = [\n", " u'campaign', u'previous', u'emp.var.rate', u'cons.price.idx',\n", " u'cons.conf.idx', u'euribor3m', u'nr.employed', u'job_admin.',\n", " u'job_blue-collar', u'job_entrepreneur', u'job_housemaid',\n", " u'job_management', u'job_retired', u'job_self-employed', u'job_services',\n", " u'job_student', u'job_technician', u'job_unemployed', u'job_unknown',\n", " u'marital_divorced', u'marital_married', u'marital_single',\n", " u'marital_unknown', u'education_basic.4y', u'education_basic.6y',\n", " u'education_basic.9y', u'education_high.school', u'education_illiterate',\n", " u'education_professional.course', u'education_university.degree',\n", " u'education_unknown', u'default_no', u'default_unknown', u'default_yes',\n", " u'housing_no', u'housing_unknown', u'housing_yes', u'loan_no',\n", " u'loan_unknown', u'loan_yes', u'contact_cellular', u'contact_telephone',\n", " u'day_of_week_fri', u'day_of_week_mon', u'day_of_week_thu',\n", " u'day_of_week_tue', u'day_of_week_wed', u'poutcome_failure',\n", " u'poutcome_nonexistent', u'poutcome_success', u'age_0', u'age_1',\n", " u'age_2', u'age_3', u'age_4', u'duration_0.0', u'duration_1.0',\n", " u'duration_2.0', u'duration_3.0', u'duration_4.0'\n", "] \n", "LABEL_COLUMN = [\"y_yes\"]\n", "protected_features = ['age_0', 'age_1', 'age_2', 'age_3', 'age_4']\n", "\n", "\n", "def get_data():\n", " data_path = PATH_TO_BANK_DATA\n", " df = pd.read_csv(data_file, sep=';')\n", " continuous_features = [\n", " 'campaign', 'previous', 'emp.var.rate', 'cons.price.idx', 'cons.conf.idx',\n", " 'euribor3m', 'nr.employed'\n", " ]\n", " continuous_to_categorical_features = ['age', 'duration']\n", " categorical_features = [\n", " 'job', 'marital', 'education', 'default', 'housing', 'loan', 'contact',\n", " 'day_of_week', 'poutcome', 'y'\n", " ]\n", "\n", " # Functions for preprocessing categorical and continuous columns.\n", " def binarize_categorical_columns(input_df, categorical_columns=[]):\n", " # Binarize categorical columns.\n", " binarized_df = pd.get_dummies(input_df, columns=categorical_columns)\n", " return binarized_df\n", "\n", " def bucketize_continuous_column(input_df, continuous_column_name, bins=None):\n", " input_df[continuous_column_name] = pd.cut(\n", " input_df[continuous_column_name], bins, labels=False)\n", "\n", " for c in continuous_to_categorical_features:\n", " b = [0] + list(np.percentile(df[c], [20, 40, 60, 80, 100]))\n", " bucketize_continuous_column(df, c, bins=b)\n", "\n", " df = binarize_categorical_columns(\n", " df,\n", " categorical_columns=categorical_features +\n", " continuous_to_categorical_features)\n", "\n", " to_fill = [\n", " u'duration_0.0', u'duration_1.0', u'duration_2.0', u'duration_3.0',\n", " u'duration_4.0'\n", " ]\n", " for i in range(len(to_fill) - 1):\n", " df[to_fill[i]] = df[to_fill[i:]].max(axis=1)\n", "\n", " normalize_features = [\n", " 'cons.price.idx', 'cons.conf.idx', 'euribor3m', 'nr.employed'\n", " ]\n", " for feature in normalize_features:\n", " df[feature] = df[feature] - np.mean(df[feature])\n", "\n", " label = [\"u'y_yes\"]\n", " df = df[FEATURES]\n", "\n", " return df\n", "\n", "\n", "df = get_data()\n", "\n", "y = np.array(df[LABEL_COLUMN]).flatten()\n", "\n", "X_train_bank, X_test_bank, y_train_bank, y_test_bank = train_test_split(df, y, test_size=0.2, random_state=42)\n", "protected_train_bank = [X_train_bank[g] for g in protected_features]\n", "protected_test_bank = [X_test_bank[g] for g in protected_features]\n", "X_train_bank = np.array(X_train_bank[features])\n", "X_test_bank = np.array(X_test_bank[features])\n", "\n" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "form", "colab": {}, "colab_type": "code", "id": "USb_xvfFnP_z" }, "outputs": [], "source": [ "#@title Load COMPAS dataset\n", "\n", "LABEL_COLUMN = 'two_year_recid'\n", "PROTECTED_GROUPS = [\n", " 'sex_Female', 'sex_Male', 'race_Caucasian', 'race_African-American'\n", "]\n", "\n", "\n", "def get_data():\n", " data_path = PATH_TO_COMPAS_DATA\n", " df = pd.read_csv(data_path)\n", " FEATURES = [\n", " 'age', 'c_charge_degree', 'race', 'age_cat', 'score_text', 'sex',\n", " 'priors_count', 'days_b_screening_arrest', 'decile_score', 'is_recid',\n", " 'two_year_recid'\n", " ]\n", " df = df[FEATURES]\n", " df = df[df.days_b_screening_arrest \u003c= 30]\n", " df = df[df.days_b_screening_arrest \u003e= -30]\n", " df = df[df.is_recid != -1]\n", " df = df[df.c_charge_degree != 'O']\n", " df = df[df.score_text != 'N/A']\n", " continuous_features = [\n", " 'priors_count', 'days_b_screening_arrest', 'is_recid', 'two_year_recid'\n", " ]\n", " continuous_to_categorical_features = ['age', 'decile_score', 'priors_count']\n", " categorical_features = ['c_charge_degree', 'race', 'score_text', 'sex']\n", "\n", " # Functions for preprocessing categorical and continuous columns.\n", " def binarize_categorical_columns(input_df, categorical_columns=[]):\n", " # Binarize categorical columns.\n", " binarized_df = pd.get_dummies(input_df, columns=categorical_columns)\n", " return binarized_df\n", "\n", " def bucketize_continuous_column(input_df, continuous_column_name, bins=None):\n", " input_df[continuous_column_name] = pd.cut(\n", " input_df[continuous_column_name], bins, labels=False)\n", "\n", " for c in continuous_to_categorical_features:\n", " b = [0] + list(np.percentile(df[c], [20, 40, 60, 80, 90, 100]))\n", " if c == 'priors_count':\n", " b = list(np.percentile(df[c], [0, 50, 70, 80, 90, 100]))\n", " bucketize_continuous_column(df, c, bins=b)\n", "\n", " df = binarize_categorical_columns(\n", " df,\n", " categorical_columns=categorical_features +\n", " continuous_to_categorical_features)\n", "\n", " to_fill = [\n", " u'decile_score_0', u'decile_score_1', u'decile_score_2',\n", " u'decile_score_3', u'decile_score_4', u'decile_score_5'\n", " ]\n", " for i in range(len(to_fill) - 1):\n", " df[to_fill[i]] = df[to_fill[i:]].max(axis=1)\n", " to_fill = [\n", " u'priors_count_0.0', u'priors_count_1.0', u'priors_count_2.0',\n", " u'priors_count_3.0', u'priors_count_4.0'\n", " ]\n", " for i in range(len(to_fill) - 1):\n", " df[to_fill[i]] = df[to_fill[i:]].max(axis=1)\n", "\n", " features = [\n", " u'days_b_screening_arrest', u'c_charge_degree_F', u'c_charge_degree_M',\n", " u'race_African-American', u'race_Asian', u'race_Caucasian',\n", " u'race_Hispanic', u'race_Native American', u'race_Other',\n", " u'score_text_High', u'score_text_Low', u'score_text_Medium',\n", " u'sex_Female', u'sex_Male', u'age_0', u'age_1', u'age_2', u'age_3',\n", " u'age_4', u'age_5', u'decile_score_0', u'decile_score_1',\n", " u'decile_score_2', u'decile_score_3', u'decile_score_4',\n", " u'decile_score_5', u'priors_count_0.0', u'priors_count_1.0',\n", " u'priors_count_2.0', u'priors_count_3.0', u'priors_count_4.0'\n", " ]\n", " label = ['two_year_recid']\n", "\n", " df = df[features + label]\n", " return df, features, label\n", "\n", "df, feature_names, label_column = get_data()\n", "\n", "from sklearn.utils import shuffle\n", "df = shuffle(df, random_state=12345)\n", "N = len(df)\n", "train_df = df[:int(N * 0.66)]\n", "test_df = df[int(N * 0.66):]\n", "\n", "X_train_compas = np.array(train_df[feature_names])\n", "y_train_compas = np.array(train_df[label_column]).flatten()\n", "X_test_compas = np.array(test_df[feature_names])\n", "y_test_compas = np.array(test_df[label_column]).flatten()\n", "\n", "protected_train_compas = [np.array(train_df[g]) for g in PROTECTED_GROUPS]\n", "protected_test_compas = [np.array(test_df[g]) for g in PROTECTED_GROUPS]" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "form", "colab": {}, "colab_type": "code", "id": "8mI2pv7Mqwms" }, "outputs": [], "source": [ "#@title Load Crime dataset\n", "\n", "LABEL_COLUMN = 'label'\n", "\n", "EXCLUDED_COLUMNS = [\n", " 'state', 'county', 'community', 'communityname', 'ViolentCrimesPerPop'\n", "]\n", "PROTECTED_GROUPS = ['racepctblack_cat_low', 'racepctblack_cat_high',\n", " 'racePctAsian_cat_low', 'racePctAsian_cat_high',\n", " 'racePctWhite_cat_low', 'racePctWhite_cat_high',\n", " 'racePctHisp_cat_low','racePctHisp_cat_high']\n", "\n", "def _dataframes(data_dir):\n", " \"\"\"Returns the dataframes and feature names.\"\"\"\n", " train_file = os.path.join(data_dir, 'train.csv')\n", " val_file = os.path.join(data_dir, 'val.csv')\n", " test_file = os.path.join(data_dir, 'test.csv')\n", "\n", " # Replace all missing feature values with the mean over the training set.\n", " feature_names = [\n", " name for name in train_df.keys()\n", " if name not in [LABEL_COLUMN] + EXCLUDED_COLUMNS\n", " ]\n", " for column in feature_names:\n", " train_mean = train_df[column].mean()\n", " train_df[column].fillna(train_mean, inplace=True)\n", " val_df[column].fillna(train_mean, inplace=True)\n", " test_df[column].fillna(train_mean, inplace=True)\n", "\n", " return train_df, val_df, test_df, feature_names\n", "\n", "train_df, val_df, test_df, feature_names = _dataframes(PATH_TO_CRIME_DATA)\n", "train_df = pd.concat((train_df, val_df))\n", "X_train_crime = np.array(train_df[feature_names])\n", "y_train_crime = np.array(train_df[LABEL_COLUMN]).flatten()\n", "X_test_crime = np.array(test_df[feature_names])\n", "y_test_crime = np.array(test_df[LABEL_COLUMN]).flatten()\n", "\n", "protected_train_crime = [np.array(train_df[g]) for g in PROTECTED_GROUPS]\n", "protected_test_crime = [np.array(test_df[g]) for g in PROTECTED_GROUPS]" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "form", "colab": {}, "colab_type": "code", "id": "nmsPQ6NrvXBu" }, "outputs": [], "source": [ "#@title Load German Statlog dataset \n", "\n", "\n", "data_path = PATH_TO_GERMAN_STATLOG\n", "with open(data_path, \"rb\") as fp:\n", " X = cPickle.load(fp)\n", " y = cPickle.load(fp)\n", "\n", "# protected attribute is whether is age\n", "X_train_german, X_test_german, y_train_german, y_test_german = train_test_split(X, y, test_size=0.33, random_state=42)\n", "protected_train_german = [np.where(X_train_german[:, 9] \u003c= 30, 1, 0)]\n", "protected_test_german = [np.where(X_test_german[:, 9] \u003c= 30, 1, 0)]" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "cYl7_Y188FsM" }, "source": [ "## Prepare Data" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "colab": {}, "colab_type": "code", "id": "1WkOnsAuI4h8" }, "outputs": [], "source": [ "\n", "dataset_names = [\"Adult\", \"Bank\", \"COMPAS\", \"Crime\", \"German Statlog\"]\n", "\n", "datas = [(X_train_adult, y_train_adult, X_test_adult, y_test_adult, protected_train_adult, protected_test_adult),\n", " (X_train_bank, y_train_bank, X_test_bank, y_test_bank, protected_train_bank, protected_test_bank),\n", " (X_train_compas, y_train_compas, X_test_compas, y_test_compas, protected_train_compas, protected_test_compas),\n", " (X_train_crime, y_train_crime, X_test_crime, y_test_crime, protected_train_crime, protected_test_crime),\n", " (X_train_german, y_train_german, X_test_german, y_test_german, protected_train_german, protected_test_german),]" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": {}, "colab_type": "code", "id": "fkmnRfsj6_Yz" }, "outputs": [], "source": [ "#@title Helper Functions\n", "def get_error_and_violations(y_pred, y, protected_attributes):\n", " acc = np.mean(y_pred != y)\n", " violations = []\n", " for p in protected_attributes:\n", " protected_idxs = np.where(np.logical_and(p \u003e 0, y \u003e 0))\n", " positive_idxs = np.where(y \u003e 0)\n", " violations.append(np.mean(y_pred[positive_idxs]) - np.mean(y_pred[protected_idxs]))\n", " pairwise_violations = []\n", " for i in range(len(protected_attributes)):\n", " for j in range(i+1, len(protected_attributes)):\n", " protected_idxs = np.where(np.logical_and(protected_attributes[i] \u003e 0, protected_attributes[j] \u003e 0))\n", " if len(protected_idxs[0]) == 0:\n", " continue\n", " pairwise_violations.append(np.mean(y_pred) - np.mean(y_pred[protected_idxs]))\n", " return acc, violations, pairwise_violations" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "figp3NbvS7QK" }, "source": [ "## Logistic Regression on original dataset" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "form", "colab": { "height": 734 }, "colab_type": "code", "executionInfo": { "elapsed": 670, "status": "ok", "timestamp": 1539411707162, "user": { "displayName": "Heinrich Jiang", "photoUrl": "", "userId": "02010368581707572492" }, "user_tz": 420 }, "id": "gIWtoX1mTEXb", "outputId": "eb0e64d1-c448-48bb-dfce-a2aac14668dd" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Processing Adult\n", "Train Accuracy 0.859770891557\n", "Train Violation 0.117634167714 \t\t All violations [0.093056776546672548, -0.01646861896555496, -0.0067588222483615512, 0.11763416771380275]\n", "Train Intersect Violations 0.158277923277 \t All violations [0.11361360164680066, 0.1582779232765294, -0.072077592314821026, 0.073860584934578546]\n", "Test Accuracy 0.858485351023\n", "Test Violation 0.119537965876 \t\t All violations [0.086236195210520283, -0.015626337584215944, -0.0076106310728074611, 0.11953796587617693]\n", "Test Intersect Violations 0.158158349955 \t All violations [0.11115215201775935, 0.15815834995501074, -0.07108345283695916, 0.072908589919246203]\n", "\n", "\n", "Processing Bank\n", "Train Accuracy 0.906798179059\n", "Train Violation 0.119987259118 \t\t All violations [-0.038546536336591608, 0.06213278994735949, 0.080963480963480938, 0.1199872591176939, -0.10843584276420098]\n", "Test Accuracy 0.905802379218\n", "Test Violation 0.145225362872 \t\t All violations [-0.075956555983915763, 0.013190730837789655, 0.094868435032050114, 0.14522536287242166, -0.082047364400305578]\n", "\n", "\n", "Processing COMPAS\n", "Train Accuracy 0.691136754235\n", "Train Violation 0.189881765509 \t\t All violations [0.18988176550944463, -0.033380488713762202, 0.14381743707886441, -0.10887713500370222]\n", "Train Intersect Violations 0.210802544913 \t All violations [0.21080254491335595, 0.12743529487943922, 0.10710921572983068, -0.19365824572629647]\n", "Test Accuracy 0.685088137208\n", "Test Violation 0.23729070499 \t\t All violations [0.23729070498972948, -0.039356932909918818, 0.14476474631799102, -0.12460145086510754]\n", "Test Intersect Violations 0.26353718769 \t All violations [0.26353718769034074, 0.12354402997566405, 0.080890467322101423, -0.1808030161855414]\n", "\n", "\n", "Processing Crime\n", "Train Accuracy 0.869565217391\n", "Train Violation 0.664829866373 \t\t All violations [0.36809784022898778, -0.1164826586372254, 0.01713165665410854, -0.0082306621864711715, -0.14280623154464922, 0.66482986637277863, -0.00075605303435433147, -0.033489461358313766]\n", "Train Intersect Violations 0.516806020067 \t All violations [0.21505672503114959, 0.21796409487563911, -0.19635147461234415, 0.25819397993311038, 0.25819397993311038, 0.082137641904941383, -0.4189360649099389, -0.33722586739513388, -0.49871209741495587, -0.32469372060164897, -0.48413730841044794, -0.51680602006688958, 0.25436256230858934, 0.011545186367426741, -0.23160193843423654, -0.30813255067913453, 0.25819397993311038, 0.20980688315891682, -0.13195753521840475, -0.48807467678330757, -0.39035674470457077, 0.25492600607690125, 0.25819397993311038]\n", "Test Accuracy 0.88376753507\n", "Test Violation 0.551282051282 \t\t All violations [0.24572649572649574, -0.074824143408214194, -0.0095287595287595339, -0.0072285870158209642, -0.11099865047233459, 0.55128205128205132, 0.041282051282051313, -0.038224121557454804]\n", "Test Intersect Violations 0.521477738085 \t All violations [0.2779425517702071, 0.16175207557973093, -0.2836260756807733, 0.30460921843687377, 0.30460921843687377, 0.054609218436873774, -0.41449190515863182, -0.29955744822979286, -0.46811805429039893, -0.2953907815631262, -0.41160699777934245, -0.51892019332783201, 0.29178870561636094, -0.0049145910869357601, -0.28998537615772085, -0.33718182633924559, 0.30460921843687377, 0.30460921843687377, -0.16907499208944199, -0.52147773808486542, -0.39632536100237853, 0.29408290264740011, 0.30460921843687377]\n", "\n", "\n", "Processing German Statlog\n", "Train Accuracy 0.782089552239\n", "Train Violation 0.0364558642268 \t\t All violations [-0.036455864226794366]\n", "Test Accuracy 0.751515151515\n", "Test Violation 0.111975483263 \t\t All violations [-0.11197548326261203]\n", "\n", "\n" ] } ], "source": [ "#@title Run experiment\n", "\n", "from sklearn.linear_model import LogisticRegression\n", "for dataset_idx, dataset_name in enumerate(dataset_names):\n", " print(\"Processing \", dataset_name)\n", " X_train, y_train, X_test, y_test, protected_train, protected_test = datas[dataset_idx]\n", " model = LogisticRegression()\n", " model.fit(X_train, y_train)\n", " y_pred_train = model.predict(X_train)\n", " y_pred_test = model.predict(X_test)\n", "\n", " acc, violations, pairwise_violations = get_error_and_violations(y_pred_train, y_train, protected_train)\n", " print(\"Train Accuracy\", acc)\n", " print(\"Train Violation\", max(np.abs(violations)), \" \\t\\t All violations\", violations)\n", " if len(pairwise_violations) \u003e 0:\n", " print(\"Train Intersect Violations\", max(np.abs(pairwise_violations)), \" \\t All violations\", pairwise_violations)\n", "\n", " acc, violations, pairwise_violations = get_error_and_violations(y_pred_test, y_test, protected_test)\n", " print(\"Test Accuracy\", acc)\n", " print(\"Test Violation\", max(np.abs(violations)), \" \\t\\t All violations\", violations)\n", " if len(pairwise_violations) \u003e 0:\n", " print(\"Test Intersect Violations\", max(np.abs(pairwise_violations)), \" \\t All violations\", pairwise_violations)\n", " print()\n", " print()" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "XaS909wgKpoM" }, "source": [ "## Data debiasing procedure" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "colab": {}, "colab_type": "code", "id": "IeLAmk9OKvck" }, "outputs": [], "source": [ "def debias_weights(original_labels, predicted, protected_attributes, multipliers):\n", " exponents = np.zeros(len(original_labels))\n", " for i, m in enumerate(multipliers):\n", " exponents -= m * protected_attributes[i]\n", " weights = np.exp(exponents)/ (np.exp(exponents) + np.exp(-exponents))\n", " #weights = np.where(predicted \u003e 0, weights, 1 - weights)\n", " weights = np.where(original_labels \u003e 0, 1 - weights, weights)\n", " return weights" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "dPwShzeDIbLR" }, "source": [ "## Our method" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "colab": { "height": 836 }, "colab_type": "code", "executionInfo": { "elapsed": 46597, "status": "ok", "timestamp": 1539412417717, "user": { "displayName": "Heinrich Jiang", "photoUrl": "", "userId": "02010368581707572492" }, "user_tz": 420 }, "id": "Bz-NnoqbEkbC", "outputId": "0644130b-4357-4f74-8202-941c83028b6e" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Processing Adult\n", "[ 0.21833614 -0.03863979 -0.01807924 0.23354414]\n", "Train Accuracy 0.85900310187\n", "Train Violation 0.00112902859052 \t\t All violations [-0.00026112713678849708, 4.6212683019186684e-05, 6.1482610411500715e-05, -0.0011290285905234398]\n", "Train Intersect Violations 0.130279936614 \t All violations [0.091603198244022174, 0.13027993661365347, -0.056324075987816191, 0.037163506749669006]\n", "Test Accuracy 0.855352865303\n", "Test Violation 0.00922528521253 \t\t All violations [-0.0036806896004654144, 0.00066695542514572104, -0.0011747403993581651, 0.0092252852125257467]\n", "Test Intersect Violations 0.123498634906 \t All violations [0.086740460457961896, 0.12349863490574281, -0.054071182050675848, 0.040328017047144199]\n", "\n", "\n", "Processing Bank\n", "[-0.06107246 0.12154373 0.19560109 0.29810647 -0.28492557]\n", "Train Accuracy 0.903915022762\n", "Train Violation 0.0115456113539 \t\t All violations [-0.0077944542614504786, 0.011545611353906915, 0.00083697978434821296, 0.0018826330039144468, 9.0352172048946411e-05]\n", "Test Accuracy 0.905195435785\n", "Test Violation 0.0431524253802 \t\t All violations [-0.025834266053144317, -0.043152425380210135, 0.009639834260013469, 0.029335370511841097, 0.029335370511841097]\n", "\n", "\n", "Processing COMPAS\n", "[ 0.22599589 -0.03972921 -0.06108455 -0.39770964]\n", "Train Accuracy 0.661919960717\n", "Train Violation 0.0200590387363 \t\t All violations [0.020059038736319812, -0.0035263023510982983, 0.0044887569627084511, -0.0030193122985927578]\n", "Train Intersect Violations 0.0506167659985 \t All violations [0.016598315313431145, 0.050616765998548474, 0.016805805716999966, -0.036290229445032351]\n", "Test Accuracy 0.663649356837\n", "Test Violation 0.0774950542329 \t\t All violations [0.077495054232894434, -0.012853295919983743, -0.0019744636040542329, -0.014298407266026048]\n", "Test Intersect Violations 0.0688641953054 \t All violations [0.060927144330332111, 0.068864195305357756, -0.0038139373727749115, -0.035213776471729075]\n", "\n", "\n", "Processing Crime\n", "[ 0.43283893 -0.89550574 0.32184859 0.23670366 -0.95687812 0.01845984\n", " 0.25051172 -0.56081187]\n", "Train Accuracy 0.747826086957\n", "Train Violation 0.042981126877 \t\t All violations [-0.022066094197241731, 0.0042377606780417132, 0.0030546787496181782, -0.0041916980619760291, 0.0030546787496181782, -0.042981126876980302, -0.00036880635822161834, 0.00015612802498049527]\n", "Train Intersect Violations 0.0564272766729 \t All violations [0.019279952783789099, 0.031315111674931763, -0.011736089996959562, 0.030463685536149303, 0.025015770242445157, 0.0216826039851147, -0.037908124240742684, -0.056427276672879063, -0.053875718324433199, -0.03205694561193282, -0.049215175328805628, -0.047190635451505016, 0.019820858801368545, -0.013490903548019767, -0.0082110436147703261, -0.028619206880076443, 0.042809364548494981, 0.026680332290430465, -0.0064330596939292592, -0.046742874257475163, -0.036900780379041244, 0.023201521411240079, 0.042809364548494981]\n", "Test Accuracy 0.731462925852\n", "Test Violation 0.0833333333333 \t\t All violations [-0.055555555555555552, 0.025073746312684358, -0.022522522522522542, 0.039007092198581561, 0.017543859649122806, -0.083333333333333343, 0.026666666666666644, -0.0061728395061728392]\n", "Test Intersect Violations 0.116350347754 \t All violations [0.033453573814295257, 0.024405954766676209, -0.1163503477543322, 0.052055724351929664, 0.047620240480961917, -0.03078885042812899, -0.063475265137015596, 0.018453573814295257, -0.045940365579644142, -0.01987975951903808, -0.034474354113632678, -0.057526818342567489, 0.034479214839936281, -0.011308330947609503, -0.10204192168120024, 0.015344121077976849, 0.060120240480961921, 0.060120240480961921, 0.0074886615335935033, -0.026836281258168511, -0.03333770344427172, 0.03906760890201455, 0.060120240480961921]\n", "\n", "\n", "Processing German Statlog\n", "[-0.09576183]\n", "Train Accuracy 0.779104477612\n", "Train Violation 0.00621029676685 \t\t All violations [-0.0062102967668531406]\n", "Test Accuracy 0.745454545455\n", "Test Violation 0.0662423385196 \t\t All violations [-0.066242338519566235]\n", "\n", "\n" ] } ], "source": [ "for dataset_idx, dataset_name in enumerate(dataset_names):\n", " print(\"Processing \", dataset_name)\n", " X_train, y_train, X_test, y_test, protected_train, protected_test = datas[dataset_idx]\n", " multipliers = np.zeros(len(protected_train))\n", " weights = np.array([1] * X_train.shape[0])\n", " learning_rate = 1.\n", " n_iters = 100\n", " for it in xrange(n_iters):\n", " model = LogisticRegression()\n", "\n", " model.fit(X_train, y_train, weights)\n", " y_pred_train = model.predict(X_train)\n", "\n", " weights = debias_weights(y_train, y_pred_train, protected_train, multipliers)\n", "\n", " acc, violations, pairwise_violations = get_error_and_violations(y_pred_train, y_train, protected_train)\n", " multipliers += learning_rate * np.array(violations)\n", "\n", "\n", " if (it + 1) % n_iters == 0:\n", " print(multipliers)\n", " y_pred_test = model.predict(X_test)\n", " acc, violations, pairwise_violations = get_error_and_violations(y_pred_train, y_train, protected_train)\n", " print(\"Train Accuracy\", acc)\n", " print(\"Train Violation\", max(np.abs(violations)), \" \\t\\t All violations\", violations)\n", " if len(pairwise_violations) \u003e 0:\n", " print(\"Train Intersect Violations\", max(np.abs(pairwise_violations)), \" \\t All violations\", pairwise_violations)\n", "\n", " acc, violations, pairwise_violations = get_error_and_violations(y_pred_test, y_test, protected_test)\n", " print(\"Test Accuracy\", acc)\n", " print(\"Test Violation\", max(np.abs(violations)), \" \\t\\t All violations\", violations)\n", " if len(pairwise_violations) \u003e 0:\n", " print(\"Test Intersect Violations\", max(np.abs(pairwise_violations)), \" \\t All violations\", pairwise_violations)\n", " print()\n", " print()" ] } ], "metadata": { "colab": { "collapsed_sections": [], "last_runtime": { "build_target": "//evaluation/analysis/colab/python:rl_colab", "kind": "private" }, "name": "Label Bias - EqualOpportunity.ipynb", "provenance": [ { "file_id": "1ImucMUz030O2tJgwTeUYH9ep-_bmB0rw", "timestamp": 1566864491471 }, { "file_id": "1SSy4hzElo40ctyS_nfSYvEXBpO4eGbtl", "timestamp": 1539411541784 } ], "version": "0.3.2" }, "kernelspec": { "display_name": "Python 2", "name": "python2" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
faizankshaikh/Project
trials/trial5-Copy1.ipynb
1
399004
{ "cells": [ { "cell_type": "code", "execution_count": 139, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# import modules\n", "%matplotlib inline\n", "import random\n", "import pylab\n", "import pandas as pd\n", "import numpy as np\n", "import cPickle as pkl\n", "from PIL import Image\n", "from lasagne import layers, updates\n", "from theano.tensor.nnet import softmax\n", "from nolearn.lasagne import NeuralNet, BatchIterator\n", "from sklearn.cross_validation import train_test_split" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(768, 1024, 3)\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAVIAAAEACAYAAADhk/4TAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCnoBqCrymxb9PR4AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f62ece37e90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# test image\n", "from scipy.misc import imread as ims\n", "img = ims('/home/faizy/workspace/project/project/datasets/svt/svt1/img/00_13.jpg')#[292:450, 176:850, :]#img -> 00_12\n", "print img.shape\n", "# image[y:y + image_height, x:x + image_width, :]\n", "pylab.imshow(img)\n", "pylab.show()" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# extra functions\n", "def unpickle(filer):\n", " f = open(filer, 'rb')\n", " d_dict = pkl.load(f)\n", " f.close()\n", " return d_dict" ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def shiftup(dataset):\n", " shifted_dataset = np.zeros(dataset.shape)\n", " # loop for images\n", " for i in range(dataset.shape[0]):\n", " # loop for shift up\n", " for j in range(32 - 10):\n", " shifted_dataset[i, 0, j:j+1, :] = dataset[i, 0, 10 + j : 10 + j + 1, :]\n", " for j in range(32 - 10, 32):\n", " shifted_dataset[i, 0, j:j+1, :] = shifted_dataset[i, :, 32 - 10 - 1, :]\n", " return shifted_dataset" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def shiftdown(dataset):\n", " shifted_dataset = np.zeros(dataset.shape)\n", " # loop for images\n", " for i in range(dataset.shape[0]):\n", " # loop for shift up\n", " for j in range(10, 32):\n", " shifted_dataset[i, 0, j:j+1, :] = dataset[i, 0, j - 10 : j + 1 - 10, :]\n", " for j in range(10):\n", " shifted_dataset[i, 0, j:j+1, :] = shifted_dataset[i, :, 10, :]\n", " return shifted_dataset" ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def shiftleft(dataset):\n", " shifted_dataset = np.zeros(dataset.shape)\n", " # loop for images\n", " for i in range(dataset.shape[0]):\n", " for j in range(32 - 10):\n", " shifted_dataset[i, 0, :, j:j+1] = dataset[i, 0, :, 10 + j: 10 + j + 1]\n", " for j in range(32 - 10, 32):\n", " shifted_dataset[i, :, :, j] = shifted_dataset[i, :, :, 32 - 10 - 1]\n", " \n", " return shifted_dataset" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def shiftright(dataset):\n", " shifted_dataset = np.zeros(dataset.shape)\n", " # loop for images\n", " for i in range(dataset.shape[0]):\n", " for j in range(10, 32):\n", " shifted_dataset[i, 0, :, j : j + 1] = dataset[i, 0, :, j - 10 : j + 1 - 10]\n", " for j in range(10):\n", " shifted_dataset[i, 0, :, j] = dataset[i, 0, :, 0]\n", " \n", " return shifted_dataset" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# load train_test set\n", "# cifar\n", "train_dict = unpickle('/home/faizy/workspace/cifar/cifar-10-batches-py/data_batch_1')\n", "train2_images = train_dict['data'].astype('float32')\n", "train2_y = np.zeros((10000, )).astype('int')\n", "test_dict = unpickle('/home/faizy/workspace/cifar/cifar-10-batches-py/test_batch')\n", "test2_images = test_dict['data'].astype('float32')\n", "\n", "# chars74k\n", "data = pd.read_csv('/home/faizy/workspace/project/project/scripts/LISTFILE.txt', sep = ' ', header = None)\n", "root = '/home/faizy/workspace/project/project/datasets/English/'\n", "data_x = np.zeros((data.shape[0], 1, 32, 32))\n", "data_y = np.ones((data.shape[0], )).astype('int32')\n", "from scipy.misc import imread, imresize\n", "for idx, path in enumerate(data[0]):\n", " img = imread(root + path)\n", " img = imresize(img, (32, 32))\n", " if len(img.shape) == 3:\n", " data_x[idx, ...] = img.dot([0.299, 0.587, 0.144])\n", " else:\n", " data_x[idx, ...] = img\n", " \n", "data_x = data_x.astype('float32')\n", "train1_x, test1_x, train1_y, test1_y = train_test_split(data_x, data_y, test_size = 0.2)" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Augmented data\n", "train3_x = shiftup(train1_x)\n", "train4_x = shiftdown(train1_x)\n", "train5_x = shiftleft(train1_x)\n", "train6_x = shiftright(train1_x)\n", "\n", "train3_y = np.zeros((train3_x.shape[0], )).astype('int')\n", "train4_y = np.zeros((train4_x.shape[0], )).astype('int')\n", "train5_y = np.zeros((train5_x.shape[0], )).astype('int')\n", "train6_y = np.zeros((train6_x.shape[0], )).astype('int')" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(6164, 1, 32, 32) (10000, 3072) (6164, 1, 32, 32) (6164, 1, 32, 32) (6164, 1, 32, 32) (6164, 1, 32, 32)\n" ] } ], "source": [ "print train1_x.shape, train2_images.shape, train3_x.shape, train4_x.shape, train5_x.shape, train6_x.shape" ] }, { "cell_type": "code", "execution_count": 127, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# preprocess\n", "# cifar\n", "train2_images /= train2_images.std(axis = None)\n", "train2_images -= train2_images.mean()\n", "\n", "test2_images /= test2_images.std(axis = None)\n", "test2_images -= test2_images.mean()\n", "\n", "# chars74k\n", "train1_x /= train1_x.std(axis = None)\n", "train1_x -= train1_x.mean()\n", "\n", "test1_x /= test1_x.std(axis = None)\n", "test1_x -= test1_x.mean()\n", "\n", "# augmented data\n", "\n", "train3_x /= train3_x.std(axis = None)\n", "train3_x -= train3_x.mean()\n", "\n", "train4_x /= train4_x.std(axis = None)\n", "train4_x -= train4_x.mean()\n", "\n", "train5_x /= train5_x.std(axis = None)\n", "train5_x -= train5_x.mean()\n", "\n", "train6_x /= train6_x.std(axis = None)\n", "train6_x -= train6_x.mean()" ] }, { "cell_type": "code", "execution_count": 128, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# reshape dataset\n", "# cifar\n", "# grayscaling and cropping to size\n", "train2_x_rgb = train2_images.reshape(-1, 3, 32, 32).transpose(0, 2, 3, 1)\n", "\n", "train2_x = np.zeros((10000, 1, 32, 32))\n", "for i in range(10000):\n", " train2_x[i, :, :, :] = np.dot(train2_x_rgb[i, :, :, :], [0.299, 0.587, 0.144])\n", "\n", "test2_x_rgb = test2_images.reshape(-1, 3, 32, 32).transpose(0, 2, 3, 1)\n", "\n", "test2_x = np.zeros((10000, 1, 32, 32))\n", "for i in range(10000):\n", " test2_x[i, :, :, :] = np.dot(test2_x_rgb[i, :, :, :], [0.299, 0.587, 0.144])" ] }, { "cell_type": "code", "execution_count": 134, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# finally\n", "#train_x = np.vstack((train1_x, train2_x))\n", "train_x = np.vstack((train1_x, train3_x))\n", "train_x = np.vstack((train_x, train4_x))\n", "train_x = np.vstack((train_x, train5_x))\n", "train_x = np.vstack((train_x, train6_x))\n", "\n", "train_y = np.concatenate([train1_y, train3_y, train4_y, train5_y, train6_y])#train2_y, \n", "\n", "test_x = test1_x#np.vstack((test1_x, test2_x))\n", "\n", "test_y = test1_y" ] }, { "cell_type": "code", "execution_count": 135, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# check again\n", "train_x = train_x.astype('float32')\n", "train_y = train_y.astype('int32')\n", "test_x = test_x.astype('float32')\n", "test_y = test_y.astype('int32')" ] }, { "cell_type": "code", "execution_count": 142, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# setting nn \n", "net = NeuralNet(\n", " layers = [\n", " ('input', layers.InputLayer),\n", " ('conv1', layers.Conv2DLayer),\n", " ('pool1', layers.MaxPool2DLayer),\n", " ('dropout1', layers.DropoutLayer),\n", " ('hidden4', layers.DenseLayer),\n", " ('output', layers.DenseLayer),\n", " ],\n", "\n", " input_shape = (None, 1, 32, 32),\n", " conv1_num_filters = 32, conv1_filter_size = (10, 10),\n", " pool1_pool_size = (2, 2),\n", " dropout1_p = 0.2,\n", " hidden4_num_units = 128,\n", " output_num_units = 2, output_nonlinearity = softmax,\n", "\n", " batch_iterator_train = BatchIterator(batch_size = 1000),\n", " batch_iterator_test = BatchIterator(batch_size = 1000),\n", "\n", " update=updates.adam,\n", "\n", " use_label_encoder = True,\n", " regression = False,\n", " max_epochs = 500,\n", " verbose = 1,\n", ")" ] }, { "cell_type": "code", "execution_count": 143, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "# Neural Network with 499234 learnable parameters\n", "\n", "## Layer information\n", "\n", " # name size\n", "--- -------- --------\n", " 0 input 1x32x32\n", " 1 conv1 32x23x23\n", " 2 pool1 32x11x11\n", " 3 dropout1 32x11x11\n", " 4 hidden4 128\n", " 5 output 2\n", "\n", " epoch train loss valid loss train/val valid acc dur\n", "------- ------------ ------------ ----------- ----------- -----\n", " 1 \u001b[36m2.04943\u001b[0m \u001b[32m0.68469\u001b[0m 2.99323 0.82386 8.94s\n", " 2 \u001b[36m0.68016\u001b[0m \u001b[32m0.67585\u001b[0m 1.00637 0.82386 8.68s\n", " 3 \u001b[36m0.67224\u001b[0m \u001b[32m0.66743\u001b[0m 1.00722 0.82386 8.69s\n", " 4 \u001b[36m0.66452\u001b[0m \u001b[32m0.65923\u001b[0m 1.00803 0.82386 8.69s\n", " 5 \u001b[36m0.65704\u001b[0m \u001b[32m0.65129\u001b[0m 1.00883 0.82386 14.68s\n", " 6 \u001b[36m0.64983\u001b[0m \u001b[32m0.64363\u001b[0m 1.00962 0.82386 8.68s\n", " 7 \u001b[36m0.64290\u001b[0m \u001b[32m0.63627\u001b[0m 1.01041 0.82386 8.68s\n", " 8 \u001b[36m0.63626\u001b[0m \u001b[32m0.62921\u001b[0m 1.01120 0.82386 10.16s\n", " 9 \u001b[36m0.62990\u001b[0m \u001b[32m0.62243\u001b[0m 1.01200 0.82386 9.17s\n", " 10 \u001b[36m0.62381\u001b[0m \u001b[32m0.61593\u001b[0m 1.01280 0.82386 8.79s\n", " 11 \u001b[36m0.61800\u001b[0m \u001b[32m0.60971\u001b[0m 1.01359 0.82386 9.59s\n", " 12 \u001b[36m0.61244\u001b[0m \u001b[32m0.60375\u001b[0m 1.01439 0.82386 8.68s\n", " 13 \u001b[36m0.60713\u001b[0m \u001b[32m0.59805\u001b[0m 1.01519 0.82386 8.68s\n", " 14 \u001b[36m0.60207\u001b[0m \u001b[32m0.59259\u001b[0m 1.01599 0.82386 8.68s\n", " 15 \u001b[36m0.59723\u001b[0m \u001b[32m0.58738\u001b[0m 1.01679 0.82386 8.69s\n", " 16 \u001b[36m0.59262\u001b[0m \u001b[32m0.58238\u001b[0m 1.01758 0.82386 8.69s\n", " 17 \u001b[36m0.58822\u001b[0m \u001b[32m0.57761\u001b[0m 1.01837 0.82386 8.69s\n", " 18 \u001b[36m0.58403\u001b[0m \u001b[32m0.57305\u001b[0m 1.01916 0.82386 8.68s\n", " 19 \u001b[36m0.58002\u001b[0m \u001b[32m0.56868\u001b[0m 1.01995 0.82386 8.69s\n", " 20 \u001b[36m0.57621\u001b[0m \u001b[32m0.56451\u001b[0m 1.02073 0.82386 8.68s\n", " 21 \u001b[36m0.57257\u001b[0m \u001b[32m0.56052\u001b[0m 1.02150 0.82386 8.68s\n", " 22 \u001b[36m0.56910\u001b[0m \u001b[32m0.55670\u001b[0m 1.02227 0.82386 8.68s\n", " 23 \u001b[36m0.56580\u001b[0m \u001b[32m0.55305\u001b[0m 1.02304 0.82386 8.69s\n", " 24 \u001b[36m0.56264\u001b[0m \u001b[32m0.54957\u001b[0m 1.02380 0.82386 8.68s\n", " 25 \u001b[36m0.55964\u001b[0m \u001b[32m0.54623\u001b[0m 1.02455 0.82386 8.68s\n", " 26 \u001b[36m0.55677\u001b[0m \u001b[32m0.54304\u001b[0m 1.02529 0.82386 8.68s\n", " 27 \u001b[36m0.55404\u001b[0m \u001b[32m0.53999\u001b[0m 1.02603 0.82386 8.68s\n", " 28 \u001b[36m0.55144\u001b[0m \u001b[32m0.53707\u001b[0m 1.02675 0.82386 8.68s\n", " 29 \u001b[36m0.54896\u001b[0m \u001b[32m0.53428\u001b[0m 1.02747 0.82386 8.68s\n", " 30 \u001b[36m0.54659\u001b[0m \u001b[32m0.53161\u001b[0m 1.02818 0.82386 8.69s\n", " 31 \u001b[36m0.54434\u001b[0m \u001b[32m0.52906\u001b[0m 1.02888 0.82386 8.68s\n", " 32 \u001b[36m0.54219\u001b[0m \u001b[32m0.52661\u001b[0m 1.02958 0.82386 8.70s\n", " 33 \u001b[36m0.54014\u001b[0m \u001b[32m0.52427\u001b[0m 1.03026 0.82386 8.99s\n", " 34 \u001b[36m0.53818\u001b[0m \u001b[32m0.52204\u001b[0m 1.03093 0.82386 9.31s\n", " 35 \u001b[36m0.53632\u001b[0m \u001b[32m0.51990\u001b[0m 1.03160 0.82386 8.69s\n", " 36 \u001b[36m0.53454\u001b[0m \u001b[32m0.51784\u001b[0m 1.03225 0.82386 8.69s\n", " 37 \u001b[36m0.53285\u001b[0m \u001b[32m0.51588\u001b[0m 1.03289 0.82386 8.68s\n", " 38 \u001b[36m0.53124\u001b[0m \u001b[32m0.51400\u001b[0m 1.03353 0.82386 8.68s\n", " 39 \u001b[36m0.52970\u001b[0m \u001b[32m0.51220\u001b[0m 1.03415 0.82386 8.68s\n", " 40 \u001b[36m0.52823\u001b[0m \u001b[32m0.51048\u001b[0m 1.03476 0.82386 8.92s\n", " 41 \u001b[36m0.52682\u001b[0m \u001b[32m0.50883\u001b[0m 1.03537 0.82386 8.68s\n", " 42 \u001b[36m0.52549\u001b[0m \u001b[32m0.50725\u001b[0m 1.03596 0.82386 8.97s\n", " 43 \u001b[36m0.52421\u001b[0m \u001b[32m0.50573\u001b[0m 1.03654 0.82386 8.68s\n", " 44 \u001b[36m0.52300\u001b[0m \u001b[32m0.50428\u001b[0m 1.03712 0.82386 8.68s\n", " 45 \u001b[36m0.52184\u001b[0m \u001b[32m0.50289\u001b[0m 1.03768 0.82386 8.68s\n", " 46 \u001b[36m0.52073\u001b[0m \u001b[32m0.50155\u001b[0m 1.03823 0.82386 8.68s\n", " 47 \u001b[36m0.51967\u001b[0m \u001b[32m0.50027\u001b[0m 1.03878 0.82386 8.68s\n", " 48 \u001b[36m0.51867\u001b[0m \u001b[32m0.49905\u001b[0m 1.03931 0.82386 8.68s\n", " 49 \u001b[36m0.51770\u001b[0m \u001b[32m0.49787\u001b[0m 1.03983 0.82386 8.68s\n", " 50 \u001b[36m0.51679\u001b[0m \u001b[32m0.49674\u001b[0m 1.04035 0.82386 8.68s\n", " 51 \u001b[36m0.51591\u001b[0m \u001b[32m0.49566\u001b[0m 1.04085 0.82386 8.68s\n", " 52 \u001b[36m0.51507\u001b[0m \u001b[32m0.49462\u001b[0m 1.04135 0.82386 8.68s\n", " 53 \u001b[36m0.51428\u001b[0m \u001b[32m0.49363\u001b[0m 1.04183 0.82386 8.68s\n", " 54 \u001b[36m0.51351\u001b[0m \u001b[32m0.49267\u001b[0m 1.04231 0.82386 8.68s\n", " 55 \u001b[36m0.51279\u001b[0m \u001b[32m0.49175\u001b[0m 1.04278 0.82386 8.68s\n", " 56 \u001b[36m0.51209\u001b[0m \u001b[32m0.49087\u001b[0m 1.04323 0.82386 8.68s\n", " 57 \u001b[36m0.51143\u001b[0m \u001b[32m0.49002\u001b[0m 1.04368 0.82386 8.69s\n", " 58 \u001b[36m0.51080\u001b[0m \u001b[32m0.48921\u001b[0m 1.04412 0.82386 8.68s\n", " 59 \u001b[36m0.51019\u001b[0m \u001b[32m0.48843\u001b[0m 1.04455 0.82386 8.68s\n", " 60 \u001b[36m0.50961\u001b[0m \u001b[32m0.48768\u001b[0m 1.04498 0.82386 8.68s\n", " 61 \u001b[36m0.50906\u001b[0m \u001b[32m0.48696\u001b[0m 1.04539 0.82386 8.68s\n", " 62 \u001b[36m0.50854\u001b[0m \u001b[32m0.48627\u001b[0m 1.04580 0.82386 8.68s\n", " 63 \u001b[36m0.50803\u001b[0m \u001b[32m0.48560\u001b[0m 1.04619 0.82386 8.68s\n", " 64 \u001b[36m0.50755\u001b[0m \u001b[32m0.48496\u001b[0m 1.04658 0.82386 8.69s\n", " 65 \u001b[36m0.50709\u001b[0m \u001b[32m0.48435\u001b[0m 1.04697 0.82386 8.68s\n", " 66 \u001b[36m0.50666\u001b[0m \u001b[32m0.48376\u001b[0m 1.04734 0.82386 8.68s\n", " 67 \u001b[36m0.50624\u001b[0m \u001b[32m0.48319\u001b[0m 1.04771 0.82386 8.69s\n", " 68 \u001b[36m0.50584\u001b[0m \u001b[32m0.48264\u001b[0m 1.04807 0.82386 8.68s\n", " 69 \u001b[36m0.50545\u001b[0m \u001b[32m0.48211\u001b[0m 1.04842 0.82386 8.68s\n", " 70 \u001b[36m0.50509\u001b[0m \u001b[32m0.48161\u001b[0m 1.04876 0.82386 8.68s\n", " 71 \u001b[36m0.50474\u001b[0m \u001b[32m0.48112\u001b[0m 1.04910 0.82386 8.69s\n", " 72 \u001b[36m0.50441\u001b[0m \u001b[32m0.48065\u001b[0m 1.04943 0.82386 8.68s\n", " 73 \u001b[36m0.50409\u001b[0m \u001b[32m0.48020\u001b[0m 1.04975 0.82386 8.68s\n", " 74 \u001b[36m0.50378\u001b[0m \u001b[32m0.47976\u001b[0m 1.05007 0.82386 8.69s\n", " 75 \u001b[36m0.50349\u001b[0m \u001b[32m0.47934\u001b[0m 1.05038 0.82386 8.68s\n", " 76 \u001b[36m0.50321\u001b[0m \u001b[32m0.47894\u001b[0m 1.05068 0.82386 8.68s\n", " 77 \u001b[36m0.50295\u001b[0m \u001b[32m0.47855\u001b[0m 1.05098 0.82386 8.68s\n", " 78 \u001b[36m0.50269\u001b[0m \u001b[32m0.47818\u001b[0m 1.05127 0.82386 8.69s\n", " 79 \u001b[36m0.50245\u001b[0m \u001b[32m0.47782\u001b[0m 1.05156 0.82386 8.68s\n", " 80 \u001b[36m0.50222\u001b[0m \u001b[32m0.47747\u001b[0m 1.05183 0.82386 8.68s\n", " 81 \u001b[36m0.50199\u001b[0m \u001b[32m0.47713\u001b[0m 1.05211 0.82386 8.69s\n", " 82 \u001b[36m0.50178\u001b[0m \u001b[32m0.47681\u001b[0m 1.05238 0.82386 8.68s\n", " 83 \u001b[36m0.50158\u001b[0m \u001b[32m0.47650\u001b[0m 1.05264 0.82386 8.68s\n", " 84 \u001b[36m0.50138\u001b[0m \u001b[32m0.47620\u001b[0m 1.05289 0.82386 8.68s\n", " 85 \u001b[36m0.50120\u001b[0m \u001b[32m0.47591\u001b[0m 1.05314 0.82386 8.69s\n", " 86 \u001b[36m0.50102\u001b[0m \u001b[32m0.47563\u001b[0m 1.05339 0.82386 8.68s\n", " 87 \u001b[36m0.50085\u001b[0m \u001b[32m0.47536\u001b[0m 1.05363 0.82386 8.68s\n", " 88 \u001b[36m0.50069\u001b[0m \u001b[32m0.47510\u001b[0m 1.05387 0.82386 8.68s\n", " 89 \u001b[36m0.50053\u001b[0m \u001b[32m0.47485\u001b[0m 1.05410 0.82386 8.68s\n", " 90 \u001b[36m0.50039\u001b[0m \u001b[32m0.47460\u001b[0m 1.05432 0.82386 8.68s\n", " 91 \u001b[36m0.50024\u001b[0m \u001b[32m0.47437\u001b[0m 1.05454 0.82386 8.68s\n", " 92 \u001b[36m0.50011\u001b[0m \u001b[32m0.47414\u001b[0m 1.05476 0.82386 8.69s\n", " 93 \u001b[36m0.49998\u001b[0m \u001b[32m0.47393\u001b[0m 1.05497 0.82386 8.68s\n", " 94 \u001b[36m0.49985\u001b[0m \u001b[32m0.47371\u001b[0m 1.05518 0.82386 8.69s\n", " 95 \u001b[36m0.49973\u001b[0m \u001b[32m0.47351\u001b[0m 1.05538 0.82386 8.68s\n", " 96 \u001b[36m0.49962\u001b[0m \u001b[32m0.47331\u001b[0m 1.05558 0.82386 8.68s\n", " 97 \u001b[36m0.49951\u001b[0m \u001b[32m0.47312\u001b[0m 1.05577 0.82386 8.68s\n", " 98 \u001b[36m0.49941\u001b[0m \u001b[32m0.47294\u001b[0m 1.05596 0.82386 8.68s\n", " 99 \u001b[36m0.49931\u001b[0m \u001b[32m0.47276\u001b[0m 1.05615 0.82386 8.69s\n", " 100 \u001b[36m0.49921\u001b[0m \u001b[32m0.47259\u001b[0m 1.05633 0.82386 8.68s\n", " 101 \u001b[36m0.49912\u001b[0m \u001b[32m0.47242\u001b[0m 1.05651 0.82386 8.68s\n", " 102 \u001b[36m0.49904\u001b[0m \u001b[32m0.47226\u001b[0m 1.05669 0.82386 8.68s\n", " 103 \u001b[36m0.49895\u001b[0m \u001b[32m0.47211\u001b[0m 1.05686 0.82386 8.68s\n", " 104 \u001b[36m0.49887\u001b[0m \u001b[32m0.47196\u001b[0m 1.05703 0.82386 8.68s\n", " 105 \u001b[36m0.49880\u001b[0m \u001b[32m0.47181\u001b[0m 1.05719 0.82386 8.68s\n", " 106 \u001b[36m0.49872\u001b[0m \u001b[32m0.47167\u001b[0m 1.05735 0.82386 8.68s\n", " 107 \u001b[36m0.49865\u001b[0m \u001b[32m0.47154\u001b[0m 1.05751 0.82386 8.68s\n", " 108 \u001b[36m0.49859\u001b[0m \u001b[32m0.47141\u001b[0m 1.05766 0.82386 8.68s\n", " 109 \u001b[36m0.49852\u001b[0m \u001b[32m0.47128\u001b[0m 1.05781 0.82386 8.68s\n", " 110 \u001b[36m0.49846\u001b[0m \u001b[32m0.47115\u001b[0m 1.05796 0.82386 8.68s\n", " 111 \u001b[36m0.49840\u001b[0m \u001b[32m0.47104\u001b[0m 1.05810 0.82386 8.68s\n", " 112 \u001b[36m0.49835\u001b[0m \u001b[32m0.47092\u001b[0m 1.05824 0.82386 8.68s\n", " 113 \u001b[36m0.49830\u001b[0m \u001b[32m0.47081\u001b[0m 1.05838 0.82386 8.68s\n", " 114 \u001b[36m0.49824\u001b[0m \u001b[32m0.47070\u001b[0m 1.05852 0.82386 9.40s\n", " 115 \u001b[36m0.49820\u001b[0m \u001b[32m0.47059\u001b[0m 1.05865 0.82386 9.22s\n", " 116 \u001b[36m0.49815\u001b[0m \u001b[32m0.47049\u001b[0m 1.05878 0.82386 8.68s\n", " 117 \u001b[36m0.49810\u001b[0m \u001b[32m0.47039\u001b[0m 1.05891 0.82386 8.99s\n", " 118 \u001b[36m0.49806\u001b[0m \u001b[32m0.47030\u001b[0m 1.05903 0.82386 9.79s\n", " 119 \u001b[36m0.49802\u001b[0m \u001b[32m0.47021\u001b[0m 1.05916 0.82386 9.53s\n", " 120 \u001b[36m0.49798\u001b[0m \u001b[32m0.47012\u001b[0m 1.05927 0.82386 9.56s\n", " 121 \u001b[36m0.49794\u001b[0m \u001b[32m0.47003\u001b[0m 1.05939 0.82386 9.03s\n", " 122 \u001b[36m0.49791\u001b[0m \u001b[32m0.46994\u001b[0m 1.05951 0.82386 9.29s\n", " 123 \u001b[36m0.49787\u001b[0m \u001b[32m0.46986\u001b[0m 1.05962 0.82386 9.53s\n", " 124 \u001b[36m0.49784\u001b[0m \u001b[32m0.46978\u001b[0m 1.05973 0.82386 9.71s\n", " 125 \u001b[36m0.49781\u001b[0m \u001b[32m0.46971\u001b[0m 1.05983 0.82386 9.21s\n", " 126 \u001b[36m0.49778\u001b[0m \u001b[32m0.46963\u001b[0m 1.05994 0.82386 9.53s\n", " 127 \u001b[36m0.49775\u001b[0m \u001b[32m0.46956\u001b[0m 1.06004 0.82386 9.18s\n", " 128 \u001b[36m0.49772\u001b[0m \u001b[32m0.46949\u001b[0m 1.06014 0.82386 9.18s\n", " 129 \u001b[36m0.49770\u001b[0m \u001b[32m0.46942\u001b[0m 1.06024 0.82386 9.17s\n", " 130 \u001b[36m0.49767\u001b[0m \u001b[32m0.46935\u001b[0m 1.06034 0.82386 9.42s\n", " 131 \u001b[36m0.49765\u001b[0m \u001b[32m0.46929\u001b[0m 1.06043 0.82386 9.94s\n", " 132 \u001b[36m0.49763\u001b[0m \u001b[32m0.46923\u001b[0m 1.06053 0.82386 9.53s\n", " 133 \u001b[36m0.49760\u001b[0m \u001b[32m0.46916\u001b[0m 1.06062 0.82386 9.36s\n", " 134 \u001b[36m0.49758\u001b[0m \u001b[32m0.46911\u001b[0m 1.06071 0.82386 9.13s\n", " 135 \u001b[36m0.49756\u001b[0m \u001b[32m0.46905\u001b[0m 1.06079 0.82386 9.14s\n", " 136 \u001b[36m0.49754\u001b[0m \u001b[32m0.46899\u001b[0m 1.06088 0.82386 9.11s\n", " 137 \u001b[36m0.49753\u001b[0m \u001b[32m0.46894\u001b[0m 1.06096 0.82386 9.23s\n", " 138 \u001b[36m0.49751\u001b[0m \u001b[32m0.46889\u001b[0m 1.06104 0.82386 9.53s\n", " 139 \u001b[36m0.49749\u001b[0m \u001b[32m0.46883\u001b[0m 1.06112 0.82386 9.37s\n", " 140 \u001b[36m0.49748\u001b[0m \u001b[32m0.46879\u001b[0m 1.06120 0.82386 9.52s\n", " 141 \u001b[36m0.49746\u001b[0m \u001b[32m0.46874\u001b[0m 1.06128 0.82386 9.15s\n", " 142 \u001b[36m0.49745\u001b[0m \u001b[32m0.46869\u001b[0m 1.06136 0.82386 9.14s\n", " 143 \u001b[36m0.49743\u001b[0m \u001b[32m0.46864\u001b[0m 1.06143 0.82386 9.19s\n", " 144 \u001b[36m0.49742\u001b[0m \u001b[32m0.46860\u001b[0m 1.06150 0.82386 9.41s\n", " 145 \u001b[36m0.49741\u001b[0m \u001b[32m0.46856\u001b[0m 1.06157 0.82386 9.50s\n", " 146 \u001b[36m0.49739\u001b[0m \u001b[32m0.46852\u001b[0m 1.06164 0.82386 9.61s\n", " 147 \u001b[36m0.49738\u001b[0m \u001b[32m0.46847\u001b[0m 1.06171 0.82386 9.50s\n", " 148 \u001b[36m0.49737\u001b[0m \u001b[32m0.46843\u001b[0m 1.06177 0.82386 9.40s\n", " 149 \u001b[36m0.49736\u001b[0m \u001b[32m0.46840\u001b[0m 1.06184 0.82386 9.61s\n", " 150 \u001b[36m0.49735\u001b[0m \u001b[32m0.46836\u001b[0m 1.06190 0.82386 9.19s\n", " 151 \u001b[36m0.49734\u001b[0m \u001b[32m0.46832\u001b[0m 1.06197 0.82386 9.22s\n", " 152 \u001b[36m0.49733\u001b[0m \u001b[32m0.46829\u001b[0m 1.06203 0.82386 9.80s\n", " 153 \u001b[36m0.49732\u001b[0m \u001b[32m0.46825\u001b[0m 1.06209 0.82386 9.31s\n", " 154 \u001b[36m0.49732\u001b[0m \u001b[32m0.46822\u001b[0m 1.06215 0.82386 10.02s\n", " 155 \u001b[36m0.49731\u001b[0m \u001b[32m0.46819\u001b[0m 1.06220 0.82386 9.45s\n", " 156 \u001b[36m0.49730\u001b[0m \u001b[32m0.46815\u001b[0m 1.06226 0.82386 9.37s\n", " 157 \u001b[36m0.49729\u001b[0m \u001b[32m0.46812\u001b[0m 1.06231 0.82386 9.56s\n", " 158 \u001b[36m0.49729\u001b[0m \u001b[32m0.46809\u001b[0m 1.06237 0.82386 9.66s\n", " 159 \u001b[36m0.49728\u001b[0m \u001b[32m0.46806\u001b[0m 1.06242 0.82386 9.51s\n", " 160 \u001b[36m0.49727\u001b[0m \u001b[32m0.46803\u001b[0m 1.06247 0.82386 9.66s\n", " 161 \u001b[36m0.49727\u001b[0m \u001b[32m0.46801\u001b[0m 1.06252 0.82386 9.58s\n", " 162 \u001b[36m0.49726\u001b[0m \u001b[32m0.46798\u001b[0m 1.06257 0.82386 9.37s\n", " 163 \u001b[36m0.49726\u001b[0m \u001b[32m0.46795\u001b[0m 1.06262 0.82386 10.15s\n", " 164 \u001b[36m0.49725\u001b[0m \u001b[32m0.46793\u001b[0m 1.06267 0.82386 9.49s\n", " 165 \u001b[36m0.49725\u001b[0m \u001b[32m0.46790\u001b[0m 1.06272 0.82386 9.71s\n", " 166 \u001b[36m0.49724\u001b[0m \u001b[32m0.46788\u001b[0m 1.06276 0.82386 9.29s\n", " 167 \u001b[36m0.49724\u001b[0m \u001b[32m0.46785\u001b[0m 1.06281 0.82386 9.70s\n", " 168 \u001b[36m0.49723\u001b[0m \u001b[32m0.46783\u001b[0m 1.06285 0.82386 9.37s\n", " 169 \u001b[36m0.49723\u001b[0m \u001b[32m0.46781\u001b[0m 1.06289 0.82386 9.32s\n", " 170 \u001b[36m0.49722\u001b[0m \u001b[32m0.46778\u001b[0m 1.06293 0.82386 9.62s\n", " 171 \u001b[36m0.49722\u001b[0m \u001b[32m0.46776\u001b[0m 1.06298 0.82386 9.54s\n", " 172 \u001b[36m0.49722\u001b[0m \u001b[32m0.46774\u001b[0m 1.06302 0.82386 9.17s\n", " 173 \u001b[36m0.49721\u001b[0m \u001b[32m0.46772\u001b[0m 1.06306 0.82386 9.13s\n", " 174 \u001b[36m0.49721\u001b[0m \u001b[32m0.46770\u001b[0m 1.06309 0.82386 9.27s\n", " 175 \u001b[36m0.49721\u001b[0m \u001b[32m0.46768\u001b[0m 1.06313 0.82386 9.12s\n", " 176 \u001b[36m0.49720\u001b[0m \u001b[32m0.46766\u001b[0m 1.06317 0.82386 9.30s\n", " 177 \u001b[36m0.49720\u001b[0m \u001b[32m0.46764\u001b[0m 1.06321 0.82386 9.05s\n", " 178 \u001b[36m0.49720\u001b[0m \u001b[32m0.46763\u001b[0m 1.06324 0.82386 9.08s\n", " 179 \u001b[36m0.49720\u001b[0m \u001b[32m0.46761\u001b[0m 1.06328 0.82386 10.38s\n", " 180 \u001b[36m0.49719\u001b[0m \u001b[32m0.46759\u001b[0m 1.06331 0.82386 10.36s\n", " 181 \u001b[36m0.49719\u001b[0m \u001b[32m0.46757\u001b[0m 1.06334 0.82386 9.76s\n", " 182 \u001b[36m0.49719\u001b[0m \u001b[32m0.46756\u001b[0m 1.06338 0.82386 10.07s\n", " 183 \u001b[36m0.49719\u001b[0m \u001b[32m0.46754\u001b[0m 1.06341 0.82386 9.27s\n", " 184 \u001b[36m0.49719\u001b[0m \u001b[32m0.46753\u001b[0m 1.06344 0.82386 9.47s\n", " 185 \u001b[36m0.49718\u001b[0m \u001b[32m0.46751\u001b[0m 1.06347 0.82386 9.54s\n", " 186 \u001b[36m0.49718\u001b[0m \u001b[32m0.46750\u001b[0m 1.06350 0.82386 9.52s\n", " 187 \u001b[36m0.49718\u001b[0m \u001b[32m0.46748\u001b[0m 1.06353 0.82386 9.61s\n", " 188 \u001b[36m0.49718\u001b[0m \u001b[32m0.46747\u001b[0m 1.06356 0.82386 9.64s\n", " 189 \u001b[36m0.49718\u001b[0m \u001b[32m0.46745\u001b[0m 1.06359 0.82386 9.41s\n", " 190 \u001b[36m0.49718\u001b[0m \u001b[32m0.46744\u001b[0m 1.06362 0.82386 9.71s\n", " 191 \u001b[36m0.49718\u001b[0m \u001b[32m0.46743\u001b[0m 1.06364 0.82386 9.68s\n", " 192 \u001b[36m0.49717\u001b[0m \u001b[32m0.46741\u001b[0m 1.06367 0.82386 9.45s\n", " 193 \u001b[36m0.49717\u001b[0m \u001b[32m0.46740\u001b[0m 1.06370 0.82386 9.91s\n", " 194 \u001b[36m0.49717\u001b[0m \u001b[32m0.46739\u001b[0m 1.06372 0.82386 9.55s\n", " 195 \u001b[36m0.49717\u001b[0m \u001b[32m0.46738\u001b[0m 1.06375 0.82386 9.53s\n", " 196 \u001b[36m0.49717\u001b[0m \u001b[32m0.46736\u001b[0m 1.06377 0.82386 9.78s\n", " 197 \u001b[36m0.49717\u001b[0m \u001b[32m0.46735\u001b[0m 1.06380 0.82386 9.70s\n", " 198 \u001b[36m0.49717\u001b[0m \u001b[32m0.46734\u001b[0m 1.06382 0.82386 9.35s\n", " 199 \u001b[36m0.49717\u001b[0m \u001b[32m0.46733\u001b[0m 1.06384 0.82386 9.31s\n", " 200 \u001b[36m0.49717\u001b[0m \u001b[32m0.46732\u001b[0m 1.06387 0.82386 9.31s\n", " 201 \u001b[36m0.49717\u001b[0m \u001b[32m0.46731\u001b[0m 1.06389 0.82386 9.47s\n", " 202 \u001b[36m0.49717\u001b[0m \u001b[32m0.46730\u001b[0m 1.06391 0.82386 9.61s\n", " 203 \u001b[36m0.49717\u001b[0m \u001b[32m0.46729\u001b[0m 1.06393 0.82386 9.26s\n", " 204 \u001b[36m0.49716\u001b[0m \u001b[32m0.46728\u001b[0m 1.06395 0.82386 9.52s\n", " 205 \u001b[36m0.49716\u001b[0m \u001b[32m0.46727\u001b[0m 1.06397 0.82386 9.13s\n", " 206 \u001b[36m0.49716\u001b[0m \u001b[32m0.46726\u001b[0m 1.06399 0.82386 9.11s\n", " 207 \u001b[36m0.49716\u001b[0m \u001b[32m0.46725\u001b[0m 1.06401 0.82386 9.16s\n", " 208 \u001b[36m0.49716\u001b[0m \u001b[32m0.46724\u001b[0m 1.06403 0.82386 9.17s\n", " 209 \u001b[36m0.49716\u001b[0m \u001b[32m0.46723\u001b[0m 1.06405 0.82386 9.45s\n", " 210 \u001b[36m0.49716\u001b[0m \u001b[32m0.46723\u001b[0m 1.06407 0.82386 9.36s\n", " 211 \u001b[36m0.49716\u001b[0m \u001b[32m0.46722\u001b[0m 1.06409 0.82386 9.35s\n", " 212 \u001b[36m0.49716\u001b[0m \u001b[32m0.46721\u001b[0m 1.06411 0.82386 9.64s\n", " 213 \u001b[36m0.49716\u001b[0m \u001b[32m0.46720\u001b[0m 1.06412 0.82386 9.45s\n", " 214 \u001b[36m0.49716\u001b[0m \u001b[32m0.46719\u001b[0m 1.06414 0.82386 9.60s\n", " 215 \u001b[36m0.49716\u001b[0m \u001b[32m0.46719\u001b[0m 1.06416 0.82386 9.27s\n", " 216 \u001b[36m0.49716\u001b[0m \u001b[32m0.46718\u001b[0m 1.06417 0.82386 9.62s\n", " 217 \u001b[36m0.49716\u001b[0m \u001b[32m0.46717\u001b[0m 1.06419 0.82386 9.62s\n", " 218 \u001b[36m0.49716\u001b[0m \u001b[32m0.46717\u001b[0m 1.06421 0.82386 9.49s\n", " 219 \u001b[36m0.49716\u001b[0m \u001b[32m0.46716\u001b[0m 1.06422 0.82386 9.23s\n", " 220 \u001b[36m0.49716\u001b[0m \u001b[32m0.46715\u001b[0m 1.06424 0.82386 9.38s\n", " 221 \u001b[36m0.49716\u001b[0m \u001b[32m0.46714\u001b[0m 1.06425 0.82386 9.44s\n", " 222 \u001b[36m0.49716\u001b[0m \u001b[32m0.46714\u001b[0m 1.06427 0.82386 9.63s\n", " 223 \u001b[36m0.49716\u001b[0m \u001b[32m0.46713\u001b[0m 1.06428 0.82386 9.44s\n", " 224 \u001b[36m0.49716\u001b[0m \u001b[32m0.46713\u001b[0m 1.06429 0.82386 9.28s\n", " 225 \u001b[36m0.49716\u001b[0m \u001b[32m0.46712\u001b[0m 1.06431 0.82386 9.33s\n", " 226 \u001b[36m0.49716\u001b[0m \u001b[32m0.46711\u001b[0m 1.06432 0.82386 9.13s\n", " 227 \u001b[36m0.49716\u001b[0m \u001b[32m0.46711\u001b[0m 1.06433 0.82386 9.19s\n", " 228 \u001b[36m0.49716\u001b[0m \u001b[32m0.46710\u001b[0m 1.06435 0.82386 9.51s\n", " 229 \u001b[36m0.49716\u001b[0m \u001b[32m0.46710\u001b[0m 1.06436 0.82386 9.12s\n", " 230 0.49716 \u001b[32m0.46709\u001b[0m 1.06437 0.82386 9.62s\n", " 231 \u001b[36m0.49716\u001b[0m \u001b[32m0.46709\u001b[0m 1.06438 0.82386 9.82s\n", " 232 \u001b[36m0.49716\u001b[0m \u001b[32m0.46708\u001b[0m 1.06440 0.82386 10.11s\n", " 233 0.49716 \u001b[32m0.46708\u001b[0m 1.06441 0.82386 10.29s\n", " 234 0.49716 \u001b[32m0.46707\u001b[0m 1.06442 0.82386 9.68s\n", " 235 0.49716 \u001b[32m0.46707\u001b[0m 1.06443 0.82386 9.20s\n", " 236 0.49716 \u001b[32m0.46706\u001b[0m 1.06444 0.82386 9.51s\n", " 237 0.49716 \u001b[32m0.46706\u001b[0m 1.06445 0.82386 9.47s\n", " 238 0.49716 \u001b[32m0.46705\u001b[0m 1.06446 0.82386 9.69s\n", " 239 0.49716 \u001b[32m0.46705\u001b[0m 1.06447 0.82386 9.56s\n", " 240 0.49716 \u001b[32m0.46704\u001b[0m 1.06448 0.82386 9.50s\n", " 241 0.49716 \u001b[32m0.46704\u001b[0m 1.06449 0.82386 9.80s\n", " 242 0.49716 \u001b[32m0.46703\u001b[0m 1.06450 0.82386 9.67s\n", " 243 0.49716 \u001b[32m0.46703\u001b[0m 1.06451 0.82386 9.69s\n", " 244 0.49716 \u001b[32m0.46703\u001b[0m 1.06452 0.82386 9.43s\n", " 245 0.49716 \u001b[32m0.46702\u001b[0m 1.06453 0.82386 9.73s\n", " 246 0.49716 \u001b[32m0.46702\u001b[0m 1.06454 0.82386 10.01s\n", " 247 0.49716 \u001b[32m0.46702\u001b[0m 1.06455 0.82386 9.51s\n", " 248 0.49716 \u001b[32m0.46701\u001b[0m 1.06456 0.82386 9.23s\n", " 249 0.49716 \u001b[32m0.46701\u001b[0m 1.06456 0.82386 9.39s\n", " 250 0.49716 \u001b[32m0.46700\u001b[0m 1.06457 0.82386 9.40s\n", " 251 0.49716 \u001b[32m0.46700\u001b[0m 1.06458 0.82386 9.95s\n", " 252 0.49716 \u001b[32m0.46700\u001b[0m 1.06459 0.82386 9.47s\n", " 253 0.49716 \u001b[32m0.46699\u001b[0m 1.06460 0.82386 10.59s\n", " 254 0.49716 \u001b[32m0.46699\u001b[0m 1.06460 0.82386 9.63s\n", " 255 0.49716 \u001b[32m0.46699\u001b[0m 1.06461 0.82386 9.50s\n", " 256 0.49716 \u001b[32m0.46699\u001b[0m 1.06462 0.82386 10.11s\n", " 257 0.49716 \u001b[32m0.46698\u001b[0m 1.06463 0.82386 9.54s\n", " 258 0.49716 \u001b[32m0.46698\u001b[0m 1.06463 0.82386 9.51s\n", " 259 0.49716 \u001b[32m0.46698\u001b[0m 1.06464 0.82386 9.74s\n", " 260 0.49716 \u001b[32m0.46697\u001b[0m 1.06465 0.82386 9.89s\n", " 261 0.49716 \u001b[32m0.46697\u001b[0m 1.06465 0.82386 9.55s\n", " 262 0.49716 \u001b[32m0.46697\u001b[0m 1.06466 0.82386 10.39s\n", " 263 0.49716 \u001b[32m0.46697\u001b[0m 1.06467 0.82386 9.67s\n", " 264 0.49716 \u001b[32m0.46696\u001b[0m 1.06467 0.82386 9.71s\n", " 265 0.49716 \u001b[32m0.46696\u001b[0m 1.06468 0.82386 9.85s\n", " 266 0.49716 \u001b[32m0.46696\u001b[0m 1.06468 0.82386 9.74s\n", " 267 0.49716 \u001b[32m0.46696\u001b[0m 1.06469 0.82386 9.51s\n", " 268 0.49716 \u001b[32m0.46695\u001b[0m 1.06470 0.82386 9.69s\n", " 269 0.49716 \u001b[32m0.46695\u001b[0m 1.06470 0.82386 9.61s\n", " 270 0.49716 \u001b[32m0.46695\u001b[0m 1.06471 0.82386 9.58s\n", " 271 0.49716 \u001b[32m0.46695\u001b[0m 1.06471 0.82386 9.36s\n", " 272 0.49716 \u001b[32m0.46694\u001b[0m 1.06472 0.82386 9.69s\n", " 273 0.49716 \u001b[32m0.46694\u001b[0m 1.06472 0.82386 9.60s\n", " 274 0.49716 \u001b[32m0.46694\u001b[0m 1.06473 0.82386 9.43s\n", " 275 0.49716 \u001b[32m0.46694\u001b[0m 1.06473 0.82386 10.05s\n", " 276 0.49716 \u001b[32m0.46694\u001b[0m 1.06474 0.82386 9.80s\n", " 277 0.49716 \u001b[32m0.46693\u001b[0m 1.06474 0.82386 9.47s\n", " 278 0.49716 \u001b[32m0.46693\u001b[0m 1.06475 0.82386 9.60s\n", " 279 0.49716 \u001b[32m0.46693\u001b[0m 1.06475 0.82386 9.58s\n", " 280 0.49716 \u001b[32m0.46693\u001b[0m 1.06476 0.82386 10.12s\n", " 281 0.49716 \u001b[32m0.46693\u001b[0m 1.06476 0.82386 9.39s\n", " 282 0.49716 \u001b[32m0.46692\u001b[0m 1.06476 0.82386 9.84s\n", " 283 0.49716 \u001b[32m0.46692\u001b[0m 1.06477 0.82386 9.83s\n", " 284 0.49716 \u001b[32m0.46692\u001b[0m 1.06477 0.82386 9.69s\n", " 285 0.49716 \u001b[32m0.46692\u001b[0m 1.06478 0.82386 9.18s\n", " 286 0.49716 \u001b[32m0.46692\u001b[0m 1.06478 0.82386 9.41s\n", " 287 0.49716 \u001b[32m0.46692\u001b[0m 1.06478 0.82386 9.23s\n", " 288 0.49716 \u001b[32m0.46691\u001b[0m 1.06479 0.82386 9.28s\n", " 289 0.49716 \u001b[32m0.46691\u001b[0m 1.06479 0.82386 9.27s\n", " 290 0.49716 \u001b[32m0.46691\u001b[0m 1.06480 0.82386 9.19s\n", " 291 0.49716 \u001b[32m0.46691\u001b[0m 1.06480 0.82386 9.32s\n", " 292 0.49716 \u001b[32m0.46691\u001b[0m 1.06480 0.82386 9.38s\n", " 293 0.49716 \u001b[32m0.46691\u001b[0m 1.06481 0.82386 8.87s\n", " 294 0.49716 \u001b[32m0.46691\u001b[0m 1.06481 0.82386 8.87s\n", " 295 0.49717 \u001b[32m0.46690\u001b[0m 1.06481 0.82386 8.98s\n", " 296 0.49717 \u001b[32m0.46690\u001b[0m 1.06482 0.82386 8.74s\n", " 297 0.49717 \u001b[32m0.46690\u001b[0m 1.06482 0.82386 8.86s\n", " 298 0.49717 \u001b[32m0.46690\u001b[0m 1.06482 0.82386 9.47s\n", " 299 0.49717 \u001b[32m0.46690\u001b[0m 1.06483 0.82386 9.36s\n", " 300 0.49717 \u001b[32m0.46690\u001b[0m 1.06483 0.82386 9.24s\n", " 301 0.49717 \u001b[32m0.46690\u001b[0m 1.06483 0.82386 9.15s\n", " 302 0.49717 \u001b[32m0.46690\u001b[0m 1.06483 0.82386 9.12s\n", " 303 0.49717 \u001b[32m0.46689\u001b[0m 1.06484 0.82386 9.85s\n", " 304 0.49717 \u001b[32m0.46689\u001b[0m 1.06484 0.82386 9.15s\n", " 305 0.49717 \u001b[32m0.46689\u001b[0m 1.06484 0.82386 9.13s\n", " 306 0.49717 \u001b[32m0.46689\u001b[0m 1.06484 0.82386 9.28s\n", " 307 0.49717 \u001b[32m0.46689\u001b[0m 1.06485 0.82386 9.60s\n", " 308 0.49717 \u001b[32m0.46689\u001b[0m 1.06485 0.82386 9.24s\n", " 309 0.49717 \u001b[32m0.46689\u001b[0m 1.06485 0.82386 9.86s\n", " 310 0.49717 \u001b[32m0.46689\u001b[0m 1.06485 0.82386 9.65s\n", " 311 0.49717 \u001b[32m0.46689\u001b[0m 1.06486 0.82386 9.53s\n", " 312 0.49717 \u001b[32m0.46688\u001b[0m 1.06486 0.82386 9.37s\n", " 313 0.49717 \u001b[32m0.46688\u001b[0m 1.06486 0.82386 9.93s\n", " 314 0.49717 \u001b[32m0.46688\u001b[0m 1.06486 0.82386 10.12s\n", " 315 0.49717 \u001b[32m0.46688\u001b[0m 1.06487 0.82386 9.29s\n", " 316 0.49717 \u001b[32m0.46688\u001b[0m 1.06487 0.82386 9.14s\n", " 317 0.49717 \u001b[32m0.46688\u001b[0m 1.06487 0.82386 9.22s\n", " 318 0.49717 \u001b[32m0.46688\u001b[0m 1.06487 0.82386 8.76s\n", " 319 0.49717 \u001b[32m0.46688\u001b[0m 1.06487 0.82386 8.96s\n", " 320 0.49717 \u001b[32m0.46688\u001b[0m 1.06488 0.82386 9.54s\n" ] } ], "source": [ "# train and test nn\n", "net.fit(train_x, train_y)\n", "pred = net.predict(test_x)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "f = open('/home/faizy/workspace/project/project/models/detector_2.pkl', 'wb')\n", "pkl.dump(net, f)\n", "f.close()" ] }, { "cell_type": "code", "execution_count": 144, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " precision recall f1-score support\n", "\n", " 0 0.00 0.00 0.00 0\n", " 1 0.00 0.00 0.00 1541\n", "\n", "avg / total 0.00 0.00 0.00 1541\n", "\n" ] } ], "source": [ "from sklearn.metrics import accuracy_score, classification_report\n", "print classification_report(test_y, pred)" ] }, { "cell_type": "code", "execution_count": 72, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(134, 193, 1, 100, 60, 3)\n" ] } ], "source": [ "from sklearn.feature_extraction import image\n", "patches = image.extract_patches(img, (100, 60, 3), extraction_step = 5)\n", "print patches.shape" ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "25862\n" ] } ], "source": [ "from scipy.misc import imresize\n", "new_lst = []\n", "for i in range(patches.shape[0]):\n", " for j in range(patches.shape[1]):\n", " new_lst.append(imresize(patches[i, j, 0, :, :, :], (32, 32)))\n", " \n", "print len(new_lst)" ] }, { "cell_type": "code", "execution_count": 74, "metadata": { "collapsed": false }, "outputs": [], "source": [ "new_list = np.stack(new_lst)\n", "new_list = new_list.dot([0.299, 0.587, 0.144])\n", "tester = new_list.reshape(patches.shape[0]*patches.shape[1], 1, 32, 32)" ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "collapsed": true }, "outputs": [], "source": [ "tester /= tester.std(axis = None)\n", "tester -= tester.mean()\n", "tester = tester.astype('float32')" ] }, { "cell_type": "code", "execution_count": 76, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(25862, 1, 32, 32)\n" ] } ], "source": [ "print tester.shape" ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "collapsed": false }, "outputs": [], "source": [ "preder = net.predict_proba(tester)" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(134, 193)\n" ] } ], "source": [ "heatmap = preder[:, 1].reshape((patches.shape[0], patches.shape[1]))\n", "print heatmap.shape" ] }, { "cell_type": "code", "execution_count": 79, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXsAAAEACAYAAABS29YJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsnXmYXUWZ/z8XuiGdpBvoRJpIkAYCEoawa9jUILjgyPwQ\nUQREUXEbUBlkFFdExAWRUWDABSEjIi5sIyqoYYiAhEhYhjA2SyMtSQjBpLN0oAnpcH9/1Pueem/d\nuufe3u5t6Po+Tz91uk6dqjrn1K3z7m+hWCySkJCQkPDyxmaNnkBCQkJCwugjbfYJCQkJ4wBps09I\nSEgYB0ibfUJCQsI4QNrsExISEsYB0mafkJCQMA6QNvuEhISEcYC02SckJCSMA6TNPiEhIWEcIG32\nCQkJCeMAabNPSEhIGAdIm31CQkLCOEDa7BMSEhLGAdJmn5CQkDAOkDb7hISEhHGAtNknJCQkjAOk\nzT4hISFhHCBt9gkJCQnjAGmzT0hISBgHSJt9QkJCwjhAU91H/EbBZTh/ClgldWulPAU4VI5vlXJX\nKHxCkqIvuF4qu4GNctwi5UzgSHe4jVRNATbI8YCUsTuu9BQ2r3wbNaEZmCrHWm4HTAraLQMek+MV\nUq7Hz3nAtN1IbdgU/L+5zAdggqkfoDoGs0qG+sx0vrH5NJl+m4P6EOH1g5l7bGx93tXGrbW/GLS/\nvPZ567bWZx6uCUV4vW032HuoNJ9w7Er9DvXZVptnXr+13uNw8LyUfaXjFYsU6jA6kCj7hISEhHGB\n+lP2b5SyF0/RbynlLFj9Ckepb7NTPwC37X8QLFhqLgJHboVk7wCwzh2uFmp/tSXH6gj9Vm+Np6I7\nTZ1+5R+Wcj7QL3PPSPt+0+FgSQ9L/sszGGiCATnut699JEj7vOccu1bbx9iUAXNNc1AOFeEchtLf\naP1UGrRGE8YdEmWfkJCQMA5Qd8r+j7OdUP4OXs9EngNgCTsAcOn1Z8AZrt1W1z4NwNqntgH+UUPP\nTXgqtUHUkj7NV0jZiafolXvpAR6Q4y698DE819InpaV6KwmyQ8Tuu6nK+cGi1iUTjlVNwB6j8msV\nlA/2+dT6TDZGzleaRziHWu+nOXI+j/OKzb1S+5jCoRaM9JpJGAuo+2b/Xq4G4JmLXuWUkAC3SHkH\ngBPZrJ003dUNACyWBvYH1BSUzXhlbR1hRTYyZTqkbMZv7KqAHejBaWTBb/Cr8GKboWiL8l7jUDSL\ng+0jb0OIbUi1jlfrpldtHtrWisZGaxOrpkGv9eNRy/WxTVnHH44GtNoYI4XBiv9GYpxqGvfBijVf\nOh/DJMZJSEhIGAeoO2X/TPOr/D/ZR1Qp3C4y6mtAqbBl5ryimXIKqs63EopstsdR9+AJ9y6AB+Wf\nbil78RSmlrXaU44UGinOGUy/w5nnUMRctSDWR6X3F7ZtrnG8wYqbbNvB2m/WOpfh9GPnNJzf6Uiv\n25FeXyFi76IlGLd9GHMYHBJln5CQkDAOUH/TS3WuKMam0YSnkv4uZT/lDlSWsm8zZR1uR6ewXVBO\nAP5Pjpcrxb4Qp5EFr3i191MPb44YRnPc8B30R1vVFyMpVx0udTwU3cVQUGmcWnUGtehhhoKR4KQG\nawrcVKGfoXLU1e5/MMYG9aPs67/ZF61I5jkpdfPpI7OVz+pim6O1vNlo6kYZTXjvVxXZqM38PcCA\n2sjfJ+Uy/P0MRwH7UsJYvL9GzSmmGK4nat2UR0sZWo9xaxlvuKKbwRol5CG8bq8h9jN4JDFOQkJC\nwjhA/Sn7ErYlZGF68ZR6qJSF6iaGIQU1wmZRA/hYOz1SrpGy2Is3EbWmlY0W2SQ0Do1+5yM9fi3b\nxVgQ24UYaQ/s4aBxppr13+xnSLk1Xv6tQcJu2A9vvWLFOCGa8Q8tZls/Ws5VG73oPRtDQzl0U24/\nb8M6JCS81PFSXcuNFuNZNO5jmMQ4CQkJCeMA9afsvyZlC54oVs/TzYFrO+WfZ6Rsp1xp24r/Qlqb\n5KF4a9aCdaYcCOqWmVLrkugmISFhbP3+E2WfkJCQMA5Qf8r+IPnaDWwOd0tgmT1dMe1XT7B8153c\nP93WbE2peLWp78BT8arknUI8uNRIwJpNhhS9yufXMX7MKxMSEl5qqP9mf4sMuRIXx91gedNOsKv8\n06026ytMC1XG7mfq9QNQyXFiOAjDGvRTvpHnnUtISEgYG0hinISEhIRxgPpT9hry9yF8BqoFU1z5\n7RbjhaoKWmuqpHaPA3iKXqn9NkYP1ktX56Pim7FoV5yQkJBQivpv9r+SciX4jVI27KOBGyUY/EBM\n/q2bbjtDT8wwGOjj0Q+KdZJqibTJ8w1ISEhIaBySGCchISFhHKD+lL2GG2AjmTb2HfhylRzf1mLa\nBd6yTfjk2aMKHWOiqWsJSm2zjvIQD9VSCyYkJCTUB4myT0hISBgHqD9lr/lZ+0xM+qMddTznhFuY\n3/1WV3ebnVrgkToQSwQ9mmj3ZVlCIHUD7oAdmkuritfjM1RZJCo/ISGhvigUi8XqrUZywDZJW7I1\nTiEL3HfRHgDs+3AXe+zuYsF3Ff5XrlhmrtZN9+OjPk/AS2omSDkZH7RtcynfJE3PWs3BbXcBcOvt\n/+wq3wBwkzRUKyPrfKVIm39CwnhEsXh2oV5jJTFOQkJCwjhA/cU4b5HyTHjn7KsB2Pemrmw2x+5+\nLQDncqg0fIb6J+QOIG4A7EAW2iEL1SzTPLjtLjawhftHqf+DgAU7yj+alUvDLUCi6BMSEuqFxlnj\n9MB1rScC8O2jFgLwM07ggfcdKA1uKru0NOJkLI79KMnxNQXhdGBLOV4i5Z2uuHW7I5j5yr8C8O49\n/guAX572fnhY0o6tViexXpIdfkJCQr2RxDgJCQkJ4wD1V9DuIQra7fCGKioauRm8rfp8KZdR7rV6\nInWh7HUIZTammCGeNnUABwDPusNDv/pHAHaih6vu+7Cr3P8xafhnykNBxBisJOJJSHi5IyloExIS\nEhJGFPWn7NuFsh8A+sIwxs/gqV2ti8WjeTfeYN9ihCl7JbgPknIyPsG42tIrAT6BjMo/6h4XAOhf\nuZRWCd526NcXuZNfALhcLrIet2GsnV4SdZ+Q8PJGPSn7+itodf/qA7+h/13KdfiNXTf9mCVOP3Vx\nqtokpc55I36zX2mmopBzd714MAAnb3Ylb314PgD3fd75Enz181/mxsIpcsHVUrYAc8wxuA+CtdxR\npA9AQkLC4JHEOAkJCQnjAPWn7Kea4z7NNG7J45CabY4c1zNUAp6anwo8L8dNQTmwEVY7b99V3+4E\n4J3v+i0f2v1SAN7BDQD8at3xND/yHnfNPznTU3YApIpOKT9qk6pb7ibvlSWqPyEhIY76b/Y29EBf\nq/yjm3ermZLd6Ox5GN1EJQaqzlDLm63NObW3LzGZ394VIp3aZee/0iH/LGQ2ABPbnsts8xl40JVP\nAN8Xe/wLtK+h2OLb1zkQqXupIn3EEhKGiyTGSUhISBgHqL81zsFCL68B1PR8QEU3/fjAZ13mKqVO\n1QJnNnE7+1HCNlJ24gOgqWhHPYIt8XmIaa/tHpdysvwBXPNnOVhBdm/T5OLlF1NO3VtxTnOkLuGl\ngxi3MhwRXXht4oZeCkh29gkJCQkJI4r6C3QfkvI4vLXh3SKDX9MGTyj1rmaZzZRT9qNA1YffV8vw\nKHX+PJ5q30lKJaCextve7yDljfjMW6v1fpbhtbDWl0C4m+VKqceUsi2U2+MPEKfua6EcB0P9jSQH\nUeu4L2euZbA/vdFu/3JB4mgqof4rok+UkjfuBZ+Quo9JuRSYK8dLZkYuroPoRsU0m/AbvpYbzRRU\nFKMKZ/CbvfaxAfEnAB8b4jF8XH4VxTRRfm9t+M2uzbRTWDGOdQQYLgZj6VTLeLH+gmQ0I4qy7DKR\ncSF/XhbV+hkMhnK/tY5V6/283DFeP3LVkcQ4CQkJCeMA9VfQFi6WAdvJZCJNna7cGm9d2SNl0VKu\no0jZqxin5HGo4ljHb/fim92llCyKTAC2cof7HHc3AA/89UBvP79YvWVXAGJmWRJzQW9cOZpn8DIg\nxURKRToKVeRaynGwVF0eRV+przwKXecZ843YWOG4EipRxDEqLrwPu36qoVauIA/VFOdh39WUsrVy\nT0MNpjdc6n+o3Nlwxh0JjnC49x1bZ4NHsfiFl3G4hGwDXUcmsx4QscbK6bBSs4J0uqLQDMU8FnWE\nHKyyTV7n1+vnl22mLfCEjPfEtjINcQw7iUxW/1F+AMD9eyzkh1t+Kuh3INLvAH7zbjd1ZlzAfRBC\nkY5tZzffcPFV2hBiqHVD0nbWaijc5MP/bftafyCVPmLhGLYu9ixsP+E9xT5G9tqW4FyIcB3aj/FQ\nRTHVRHTa3upyFHbu1cbP2zzznu1g+xoN1FOkVg1jW2yWxDgJCQkJ4wANoOztF7UvqLPiDPFGjVJc\nfZTDKjnzqP1+yqnNfrzIxGaU0jrrjdpszgPz5P8VHeAyKjKLxQAsZpaZis6tn3IqzNZNlLIVT+UL\nF0EHGWWvj2k9hivpN+VQKfvBUDyaatFyKKGYKSbGUS4nJmKpVfxhEeMebH8xb+QYVxAbK+QK8ijs\nwaAWztTO3d5DOPcWyr3Kq3EFAznt7JyqPdtK/dprK53Po4QH+0yrvZfwfK0cWq1txjZVD2NGda0L\nuRdvtdLpimIH5WGP1xFfoLo5amk3Vds+FCP048MN95i55Dk1ad8yp8Uz4fT9ALjpd0cBcC3Hesex\nbPxm4hug/lh1F2+i/KPW5s06Vdo13ZwekDmtafHmovbxWMsh8FZDUBrhM7b/6nl7zbPynO1Yeks2\nLAa48BL6yFbKdc/jYw3ZUuesY1rkqZhi0s+iTgziH4w8PZD9aOaJniptELV8KGKw7XUNxDZgnXsb\nft3YPmqxeqr0UQjvyRI6tl0txEFTpN1QNseR3GSrmSYPRc8ztjf8JMZJSEhIGAcYI5S9oh/P4i8z\n9Vpn0/mFVHcL/stqWc+QMrMJQ2zdquC8parz2FUzj5sduf2tX5zt/j8NWPmL4B5a8CIqRSsZJ9Mq\n1EtfM6WUWwCl6I/wl2ZYQ22SiyY85R0jaiy1HYurFiZyAU/5K6GpaRu3MWNpYLk1kbEsgWS5DX0d\nz5r2yj1ouTWlhJaO9bR9poKQA7FcT3bfLbBe3kG/vIMNlD+LLYkTndqPnfvzkXb6zELCucRPIybO\nMYEEQ1+7EvFeNcT6jinah2PpFP6GhkIJj4QFT4zbGayxRzWDhbGJRNknJCQkjAOMMcoePHWxzPwf\nKmZtRquYHNHKV21IAig1VVRqvg9Pecds1mMIybsVwJ/c4XuOkrqbKeVQAKbDTCfb97HeHiMLBZER\nV114/YG5n6XTS6+djKcWlTpdj39UGqjNTtVS35by1jZWX679hRRpk9TbdnmYgKfstzelUu/a/3rK\nEeM6LBGqVK2l7K0Xs2YVs31riOpXSDkJ/wwU6/Hci15bSU86wRyHc9Vr11D+zCaYaycF4y/Dv+ce\nuclnW8r1IR3ANNMfuHu2YT4UIXG8Ac+9qM7H6tnt8wx/apuM/ilcMxZNQL/0bbm1kPuM6Wgs8tZZ\nNbVEmHWu3xxX1PXkIC+8yhjFGN7sdZN+LnIO4qurOajrxYt+Vph22o+1qR8qC2bnoXPVD4tVxip2\nhGPl8GYpF5n5qc8B3ZSLpQagKHNeJD+eRc2em9cfv/1xWxHBhKCuBR+jf0tzTZ45dSgusOM9T7kY\nR+dkk9Yo7EYX82eKbVLhj9Zeu7UZb7Jpp/2sMdfouPq939rMUc8NUL7Jb6L8w2c3aXs/oUJ8QuRa\nO1dxysuefydeEd8j5Ur8vW8nZQdelKfPvY/SD73OafOgzn4M9fnYzd5+WMJ3FBO52WvsB0Pv24qo\nQuV87Cc4gfIPj70mLO2ammDOhduFFXVa4wN9ZvajHBOJhr+D5yk3igiff3gPdUYS4yQkJCSMA4xB\nyl5hRTH6GbWikzxljfV6VO3hM5F2YTiE4WCjGaMnmAeUkEUaakEzVtFn2sY4mhZThlxJvxfZ9MVE\nUDEPyFrOVUO1pROal1oTxzzbbQPLKocUqQ1UV619qJieTDn1N8lMcUtzXXibVsxlz4VK1krK7xDW\nxUI5C+W2JuMpTKW+l+MpUaUkn8YvG+0jxkFAOYVrTW1jlsXh+CFCqttyLzaoYDiuNbuNWXza9xNy\nSPZ8TLyo7y9mea2IGR1UGsteY8exZaXnGPvJNWjXfQls9hZ24wifrH3CKujsp9SCZzQxgN+A7zP1\noTXQY3CDyOzna5suc621AgrTNlofAb3/dZRbU1j+OhZZM8/CIoahuPvHwhHU4rRj5lQ073sgbG/5\na/kwFs192x93+EPvqzR+nm35YDGYZ1bDx08/ZBPwYiMrSgg/hlAu8orRAPba2Pc4zxx9c8rFGVbs\nYpHXT95HcSBSb0WOoZzf/nzsx3vroM5uuuGHGkrFU6HFmBXR2bnHPjyhOKyBm30S4yQkJCSMAzQg\n6uU5VQaMUYRWjKFtYrbBIV9WSbsy2qjmiRd6O/ZXaKf3q6E12yi/7/5InRUBWXIl9BYdilt8NZFX\njKOo1G9TpJ0N6jUxOGdh7zsvAJzWh+OGyONKhos8t32o3QtV+wqf6XCCAVZaq3mkvW2TF3bCopZ7\nHI5Xbc46KxCn3kODhaEED7W+FooYh2S5J9O2+MeoLdCoIFH2CQkJCeMADZAeqWlhb24rj42UxwKx\niGlFGkHNW1SjYmKB3GIIKZ3Y/dvno7Dy8TxqqZ/a5NTVgpPZ8yFlX00/EFJkseA8Vp5u76vW2DO1\nLHMb2GewCvvBKLpjzzakovM4qRg1PZzw1ZXGqiWuTixN5kRzvtZAZ/ad1jo/RUznE3CExeaI3mJj\nZJuwz7GWdzFU2D47KrYaadR/s99BchEuuZD4phdb3KEnjXW0GtsuyiMDu/DCTdyKqkJlcDVUE+NY\nLV4tvGzeuLENydZZ5bGKufLEOJg51RrB0qKaKKcW5JldVJtPjDiJufSH67zWzT62cVcav9IcK7Wz\nhhI210J4bQw6T/txjSFPNFfJ2iz2P5SuyzwiKKakjxEyw0Hj9qskxklISEgYB6g/ZT9XysOtsrFW\nxdDYDiE68rCewFCq2LXUVUycETMGD6+tRFlXEhfZMWzfMS/msE1MjGPrYtR5jKqyXEw1H/kQeZRk\nrK9q4pE8s81qc4g9u/7IubCdfWYxd+YYqolnaqHyY1yMtSPMs3W1sM8sxsnE5pknrgtFb1YMaOc2\n2HDTedzDUJT5tT7v0UP9N/tr9KAd7wmSN40Bhhdt7+WENuKbRRimoY9ykUpMrtyEj6hpN45an3fs\nRxpuWOGYsXMhwrFibHjMl8DCfrBqsRiJGXQPZhOv5Qdc6WOTF+cpRJ7cupa21TbWWhAjJPLqLGIf\nsmrziyF8p2FZDYMVu4Xna9VrhdfG9rP6IIlxEhISEsYB6k/ZX64H6yo0iH3RY+ztaCGPWqk3N6Hj\n2sxbYWx7q6yOGfjGYFlemwAeqhsbV+s3VIBZkYOG2GynHCtMGXo991PqO6D9hpnJplMa3E7nUc2i\nBOLJ3O38Y9co7BiVxB3hdf1BGyh/xpUU3uGcYmKKGIZieZMnBrTGAXbuef3YsWJGAeG9WbFi3jxj\nokn7TmIi4zyP7ljfsf+r+XhU6qP+SJR9QkJCwjhAA+zsr5YyZuNtkacgGSnYfoWqaxLT0IHL8NSh\nTRbeCF2BKmbbfIyUzA/ZKmirmQIqqikvB0vZWxPISkrDXX2QrtdIORVYIMfdam88n3LKPkadN5mx\nOv0YWVyipaaPPKWc9tGOT/9llcExu++wn5gXs4VyDDOBQ+TYPm99v2HuhRXmOC/OruX4av2tNFU4\nHgxi3ryWe6mmoM5TVodxoSxinFTMPLkaZR9DnodzTA8UQ7UxGqNvbMBmr4vXinFs+j390a+InNdy\nGfkJoKttzke44nj54V3TDwV3zW4bHwTg0cJM/MbRaGWwiD+mUq7D2wD0hUrWah+lauxr3kLOs9SJ\nZRQxG9gqEbfcKVUz8DG/s4+YFQ3k2e1H/Au2aYHVOq76cMRSWIb9KPS+w0Q2ldrnfRQstN/t4Tw5\n1CiS84DFOk63lPY3Yu/DjhkiTGHZAWwb1FVCnlOeolJYkjzLoFpt7iv9D3HRU56fTYvpJ2bT3xyp\ni6GaEj7P+StvW62k9B99JDFOQkJCwjhAAyh7y06Fw1uPPFW6bcR7UlolXfj1tqysfmWfoVz80EFG\nbV6jrP6foTgHgEfb95K6myinWvoYOpUfo5xr9XRt9YUGb9JbfNY0KwndG/ZdjYqvkbJXCtyG1B3I\nC8il1GoPFIVi7ZNneD/ke0dWg7Z92BWrz6cyxVcJlosIPboHY4tdCzr847hWyj6bflK5IPsbiQV7\ni6EvOG8T22tZjaLM2w4qcS+1GAIMZ5uJcVJWCRwzBIiZReb5idQ6bh5iHG8DttccNGA29oUp7IuL\nWVOEG1cludqyGtqtM33rL68Jx08Dq21axJFYrHlu7IOETbun6Kc03R7Ipl+jKCa2ecd+K3nd2bRu\n2XdMn2OPlMsYfXHYcGMijVZMJV1vl8GVbUHdaPmMbKRE1wPxXKvVkOmGrGgnJsapB6zYTN9VmKPB\ninEsYjqfELF7HOzcYn2ODSQxTkJCQsI4wBjhM/Sr2Eu5QgpqY6+rKcn0XCz4WhOeK6imlBssYtfW\n2l8gHplMaco6cI9CKXslcpbjFZ8xQxSlxGOZBaoZ5WjdlpFzJYYqmi1MKdhGK7nHAvqoPeLpUKHr\n1/yWav2Vh1mfILD+yhHXRcV7OWPFYszHftYl6Sfz7PFboocZNjb7/sJ52vsu5okk81CrFVLjkCj7\nhISEhHGABlL21eKE2P9H+6s4VqnOwH5+erPXtdmYaJr78h9SPotPFK0YMO2UuNxgzutKsHJ3279N\nzA2lhMxyKW2+zsWVPKQT6gOj3K01Xppic8rz164nzgmGzsFbRq6Ntbf5ay3ywvmoMcLGZmMUEJlH\nmNO2mnOrwq5fqyMPdR2xPLv291Wswvk0iMhvwGYfi9YYnrPHMeeLWkUttdr5xlzWK7Wv54chYCkn\nAdtIVSjOAdhOyjV40U6fqYsZLihCxa/FRtNWHaMm4D8AKtLZZMZbnOcMlDD6MDI1+85C2MCVscTj\nSjQ8jV9TMd89XQP22moRJHRcO6/YJqpzUOIkTOxtYfvdMlIXzs2i2fTdYtqFhn+VCKKYb1gt19YJ\nSYyTkJCQMA7QAMreyh/y7OwtHxULhBQjU8PgUjGzzRjstZXO63xDPs9ipAOmBZzMvS3wiFQp1RKL\nyWQRoyIm5ZzfiKeg9BY3mKkodWcVtNb0MxMN1eqenjA6GCCz21fKeSrxX3yoKLWU9mRTpxS2Xfrh\nNZZDrBZzbEKkDLmPjcAqOX5ayn/gRToh5Tw5mHMlxCjsjXgR1PORujxL7EqOwzHKvkFowGav4RDa\nKXEjz+r0SekbbsHHLFEnqF68lYfaLW9PZqPfsqsrdwfu15AHilbgT5G6v9c4/8HGGh8OAvf9+1vK\n5YcxOWoM1vpBv7f2x6A/5BJjkZxYO4XI2E3AQCirb1TE0IRsV1ZdzlTK5dmVNp9NQbtJ5nhr0y4k\nOibgN0otY/6TTeRv9jqvTWYuujatGCdmXRNT+4XtbFifmDgpnJveh21v6yz9aQmh8GMYk/fXCUmM\nk5CQkDAOUP9vTOsxrjwZFwgLU84jc2RljYRLOBY4Ws8LhT9/uify3+OKmV+/n9ksBODKubu5ynXw\n4H3u+Kt8GYDrHj0RDhTKfxfp43jg0z3yz81S9uLFN8p5TKSUu7CoJE8ZTniFbYO6jXFNfzQQWdCu\n2OQtGFZLneUSMiq9H+/FbHnvINhaCVUv7QaaKfVKroQ8Tdb2eJ+HxA0MD0IKr5S1+lB7KVUeIkal\nWoRLL6bcjSFmv28Ro7ZjCl9LnVsFaqXxYuPmzWU9+Yyo5QBiCl99ZqEoyPbXRL4xxCgiUfYJCQkJ\n4wCFYrFWoe8IDXiw0ITXbmDbVzoF0oYXtgBg7ce2819DNSM8Gabs6Si9VacJhX03nhr4livue+Me\n7Puw89pcNtOPt/0Brrznnj0BuJRTueEFxyocscWtAJzA1ZzGfwKw/Iyd3AX/8QsyUmaacCOdMjZA\nUTkARRv+c98jZR+eSrZ2aLVQrC3AjnI829SF4Z6bqS3cs7Y1KJG7ax+rKFc+2yxTeZ6C/XjWTO/7\nGXNe7mfqca5cCfALAI4UjuXEwjs5RhTIE5991B0ctivrb3YvfPKEu6SvW0ioBn1XGkN/FtXDHY8R\n1BrHJ1QuQ+3hqKopWrX/PGbaIuQaYoxr0F/xqSFFLBoS6r/ZF+bLgPtBQRaevrCBB/Fu9vYJz5Gy\nR8rH8E/y3a74TjPTz3AihCVvFTHNeuB0d/iWY/8bgD/c/i8c+vo/AnDHzW92J28F3iDdvVLm+fMi\n/CAYfjIutwZ4R6IPSHkoPk57FtHQ3o9NBl5LGrg2vHzLRvMMo4J2UO5vbjVisV9BbPXaGOoxS6cw\nOUbsI7MM+LMc6znd9Ftg3zMA+Pt9Tkm/45tWwDR3tniAW/NzP+VSkAAcerVbKmuP25L/anoBcNsV\nwGF8JXIPwEFnu3LB1VLRHWnUTrkYDjLN9VFuntf9+m3cKrkPLm13daw+Jz7umIS+K43kOguf6GUs\noJaIp7XmWXhpolis32afxDgJCQkJ4wANoOzPlwFjyZcrpZ+LRUoK7aCaySizgz7uytOAS+T0guvl\noB1eNweAv9zu6MTX3P4Q/EpOi5jmR/ecxEce/In751A5NxlvoriPK7aa54x/v7fFp1jCDgB86X8u\ncCe/BtzWIxcohbmUeHCwkOpupdyf3PocqNK4E091x8Q5efHqrd+AmrrGMjs1U5r0XOu0neYZ6AYW\nB+P1+f9f56jjrts7AZj5pp5sKsV/OALn4kV+pO8X/wDAHbu8mQv/5uqOknO78SPKQ1ofwWeLToz0\nrYLyAIvNeZ3TbDwHYuGe6W7F1wLwyDf2ht/KqcdcUegvQl+9qPtKxtuDvb5Tyll4Kn8kMNywvoPN\nZZDHmQ7H6ZvDAAAgAElEQVQDlrYOFc0xhW5sy4zR5zVsrfWk7BuYvCQv1+Ng6iImBMrCL+jAi350\ng22HO+YAsEZNE9aa7uSJ/JU9vFimT0QRfb1kG8wd7sOy9kz347n0olP5qMh93vlGN/51250Ip3TK\nXOyGnJdYJBaz295v+AGIyWCtD0MsdV7M28U6i5kNOquzfWv754LzfZSKoYJr73A75swretz/O8D+\nV7hN92pZ8r14wc83C07MdpnpZbo6hD3bUT73wiG0cJM7/o7oWT5tN3u9xx7icHP/rCiCFn6+XChV\nfEOBwp8uws82D/qu1LHhELwO4zHTR6VNbqSskez7CePADwc2/rtFzIOqFlT7uNm6GhLs1Lop5+Vy\niN1iJYueWj4UDUQS4yQkJCSMAzQw6uVQ0s/VCktxhZzEOtRi5FJOBeBNO91ZSrACnTxhhl1myqA/\nMT75y8LXs8/s+wF4Tqim1+5xO3+5/PWuwbUywNmHgPgDlKYnVCrZxvMPqW4bMF5f3QrK2dtKcb81\n7Z2OEYsoao2dFTY9nlG4Zv3o815lrgnJIROSQr0JZ8CiPzgZ2cXBaAAPmtlmNkjvkoO5b8C/F/GS\n/hiZKK2S/tYhFP8ANMGxJwNw/GSndb+Q8pV3zp+gZe17AejfSil8mx3JUs4qMlF/iRhlbUN1jJZf\nwcbI8SiJRIZ0D3nXDCHqbUjRD5aar4ZaQx5U806uMxJln5CQkDAOMEYyVY00LJUVC1jmKNwbnzoW\ngAf33I291opNt9j9nf6TH8Cn9FqNr2OpbZEJa/jYZ2EnkQW/j6sAR2VetIf7jC/Y7jBpqCyEnadV\nQtsE2JUyckEpVR2L6BTKZJspp8RjCvFKfgCtwf9WQRszK1WZvd5vp5/nz13xv79+dSarV37Bqoyt\nGltp4/uvFCeK3dtgkYyxg6u75MJTOPX0HwNw+Q9PA6Bw/E+Jm1+GaHPe2kDLX6Tq2XjL/p9vE9aY\nY31OzbjgTOB9FFaZ8zY722ghDNpnY0p1mHZDpe6reYqPBKdSLXVaDbCUvlL5m/CUt1Ux5Nnoxyjy\noQQ2a1AwtAZs9nbI0Oe5VocjuyXEbsFajMTOizhj+xcB2Pu/HmHa+54A4IRDRLl7MHj1XIztF8Xf\nHfKjeQi2eKOzBVcxTh+tPLBOzHbO1Ovmmf4sKx/Gf6/0LJrMeS1jwcdim7iiPyjDdmH0UOsXH0Yg\nDecSbjA2DIJszhL+Yq+3PpqFpNNe9wN0r7Wz00/HvueL38JR8JHPfs/V8QAA2xbmcqG0G3CneGGr\n97JFs/zaV8asaHTzPYqu4zrd4Suk6vBIc4CHwooW04/3f9hilfWPgBcumQ4XSMiPPhXx3IQXWI12\n0DgrBhyJ1HnVFLTV+m5A+j7d+AuUB0crSatZQx9Q+qqqiYsUSYyTkJCQkDBaaICd/YUyYD+elVSb\n8X48y533ie0gzv4qZaQ+mO34wGVW8ak0owgHWk+Gj7rDfb7tDO0fKByIp7jUNd/OSSk4GeugI/jI\nXY6cVCXhzV8+Bs5VEZD21Us55WyR510L5RR2G+XUdk6IhJJ2/RXOh1S5DZegApV2vBmhvTeFvlul\nbmeQ2Xvv5OZXvKHA9cL4HCOMUmHWX1lQPAGAuwoPZHegDv/7vU0OHoJ5T7rDHqlaQdxL4+iii3i3\nZ+GksjtlX+dx+8P73scLuLAdi3AxNo4qfLzESh8c/f5pQtNLyz3quvg4xc8LqSde2bwbeMod/nnv\n/QF463O3sH6SOm/oWtlPyusZmQTlynV04n2Q9f20MPJinFoo9mokdJ74Jm++9UhjWiMqWdCbLfdl\nbmffKWUvKvfepehiDzz+h3+Ct6jzk4o6mvA/Kr12P3wMFrtodGOR2Ac7tMESPaeWKDamjSyKvqUw\ndTolmAYs1xj34eYHfnGvy069gxsBuFaFv512flZ0Etq7D/c1xJxTwnFjDlzVbP5jPxqtm0i5RYdx\nbMvOqYipC9QG3knMKOzzI7IP/SwV3vySgwrHA1A8yW32867yd3P17/zM9Y3mCT0GgJsyVywLN7/i\nye63VriiCB86T845q6K5s4qs6nLnL5dBVhW/BIWQ0LAzcJvzlE3LmCcsvJIbbafBHHksh3TdC8D6\nn0zFxesAT+iEn5jhwopJw3dao+36oBDrJxbQvlp4hLz+8tAA8VAM9aWjqyKJcRISEhLGAepP2WsE\nyeUPwiOO5e3+ZxERHACFU+RzeLkqR7clo+IPO9GVhwLnKhWklGMvGWvaIhT+mTD9k67dUytcxK0X\ntzuRjMJUlvt109nns058c/+SgwAoXFuE9wtF2K3ejlbsYe328eQbcC+ORXehGpQNr6Z0q5XysMHJ\nIB4GwSpKY8HRYnUWYd1EPMVuy9DixgZM26/03FRgpfoXqFrWeCSXwHEbm3/biTc2TZpM1/fdmRWm\nRS1qzHbgk6/5D/nPKmjd1YVPy3obOL+8x8XX84ai4zhuEC5018IryLegeQcAK3eajvIJ+kRmAidv\n/CUAN71GHAYW2Wcw0orZMBWTjcwaC6MxVM/XsJ/BruXRQt7zrJR/otZ+BiNaGhtIlH1CQkLCOED9\nKXshzrmgncnTVwKwQuSwHYvg9BXfBGDhj1wM9wfWTaX/ARfYrLiVk5/+au+jePe510lHKt98kMyu\nuVOq1sM7uAGAX3c4Kv3vrbtDnwa8l3JruARnl63endMP7mbpLAkxnJlp5ygze6BHBu5+Tq6bAl6h\n2WPax4K4hZR6pXRBVjFr2+s1ipiiN2aOmUfNWdNQHW+iad8ZjNVPWTwY1YnuDnwhjMVfiUJ259/X\n4fwVOi/romeRe1fzFvmR8havzvYTV8EnT8oJXDbQY8YMdTMreKjg6nZFQidHOREL4VpMliJ9OrMP\ngZsmCkXfP1dqnyFuAjuSsDqVGEUfotYAZ2Odmh3KPdbKXYW/4TGkGK6ABjpVPcP6nztWv8Uk9X2b\nhBn82oYvALBpUlNmivGYzPZdp90EZ8qD/a2IC7r284YGb5FyOkyUMASncikAn5lxEdwvHemecxoc\ncq5TmGlQtCVv25XWn7pMzesn6dZhN6dAyVrs4ePXX+mOr5FT81bgvxR5P+gW/OT1Q9RF6QdCEYpO\n2iJ9W1v5MMxBOPdQtGNt6nVjt+EAjKVP9l1S6ycjy1Jr+av0vtrwG2XoFxDCfSjmvu9j7t/58IEn\nLwPg0snuw79xE/TIhmo9FI78ujvu+JxTrn+y8L94K5cY9OpWc6w/2j5Kk6/UAunjdJjxeXd4nNxG\noVCEP6snwEhY2dQK++5iznYxBf8IbA212p0rNlGbUjOaTlNRyfa/VsR8HWoVbw1HDDb6SGKchISE\nhHGA+lP2F6hN9kK4RCh7SS687Bl40/kSV/h7esELiNlzplbtuBz4b/nn4/JpP7ng485vJeURGzha\nzCGfEXHKlEXLWNUklKj0e/ibfwtC2Gfekc/Ab9/4zwC84eeiWHzPg3jlokK/5othfqc7jH7YrS18\nLHa9cCitQrn3Wdd2izCEcDvl4oc2ysU4vXj1ZoyytteG4pZYOkQz/YwImggF4TiKoiz/hDQ6Grjm\nSHd8uXIlfyLO8cg1V6mSfh1zCwcDMPcked8fgzcf/GsAvslZAOzOUxQuWuPOFzRDWB5VDz71mBUM\nxcL/Dk7UUvinIicUrwDgPbt+0FV238zoecfGKNIwMF41/4s81Ni+Umz4WocbrcdThmocQFOF41oQ\nyyfReDRAjGOc4e93bH+zSC5aN+BF3CLaefBv0OF+014y/Dz8+M1O+K+WL5eeeQbT/sUZcE9xyU2Z\nyioO/IOz1VZDnc/u/S0+8zHnFLPVd13ikR46vVREWO8fvf4kFmruV5WstOwF/WHSC53Vqmw/eO3P\nbnd3uufr4ZtifdRnnatCcUGbtyDSzPN91trFbtzh4mnFy87lx7wNoG4D6q/zPD6VYrbBdRMPjRCK\nilrNecOqGvEbAJPafXaRL7qlde7OLk7EYczn0BmSGeZIea6nzIbVarNif+Uq7olY0l8lDm5XtfAH\nmd8f+JGc7IHMBqYaRK8yQ5RI3VebcWM5AGqFPM//N5ef8TqpUyukdsod4KzIRM8NJwpmXsiFWMyk\nvD6g6mYVimo2x4cDyAsLMJw9sBgZt2ZU8yN5+SKJcRISEhLGARoQLuEcM6Aj44uX/Kv79wd4YlK8\nLO970tM5Sud1AtsUnd38h4Wq2yCu7gDv4RcA7M+9HHihUPaa4ehVMPEQR1nv0Obca+dwGz/4g8tM\nfvebnf/+fOawASdfOlYyiB/D9TxaUIpJqUC1wW8mS37+ex8cja/J6dU6+z9T6h0MMBN2mOMO1Yt9\n0QrKk5Vbjb823JEslEGLUG0H4KNxqlVIk+muW7mTLuLx1cMUhNtTHv6AciJxIz45u8adV5Hax4HV\noXf0OurIt1fAaAUfs5FMLaqFwxgqYgHqdHxll2fj2dQRQIH8QF+xaJN5CtoReyThMx6KD0B98DIP\nl2DhLB0K692qKF5ZgM/KKdmkOpugfU933Cvy9PZD4BSctc5tK+YA8OIPJnH0l13s3M1ltXXyhF/n\nUq47fAu23dxtvI9e7yIPPrp+L657rwtxsOoYcd+/4T5Ujr5D0X0UjmAejx7l8qhyp8jYV0uUTBt6\noMcVh5/xW27tdHJ/viibZZeVFZrPmG7Ox0u5rAOWh7lqV5lrVe7eBy0isxEdBHPIcuSqqGWz/Z/l\nxbnyxTtLH0oX5XLLSj+MnB+JflCK+CgW83R+KrbroTyy51jAaH1sBkax71oR6oaGY6VikLdxV7Ko\n0bpRfySxe4xZnVVYz7VsvWMsDEKtSGKchISEhHGAsZG85KzzASjsW/SRAkXP1t5BZrfeLlEOf/3m\nN/Pjn7mUgllS8B1hpYgzfi+G9luzhvc84axxVJzQuvYFtmx3cecRjmGf3e7mgfsOdP/cYJNKOHHQ\nhy5y1PtWH3vaKzxPl/JsUfRxH5lN/dxOABa+dzabHeIyYLy4u1DVXSsop2x74X45fLuUz0O5u/tE\nyimXjWje9ExPO5WMU9jqQKeE3neLB7j/3xy5v/YstYv/C+WWObGAaVCaXgRKKCOl8AaWAho8Tm3u\n1U59LIhsRgqjHXd+KAgp19i5iI9EJSVqHvWq5zZF6krQqIBkI/Be8sRTuXb+YxeJsk9ISEgYBxgb\nlL1SuvdfxqxjnYx38fOvdXVPwL/t/g0Avnufs6dmIYjOFMR9nuVw57fe5I6F6r7x5GPZ40v/BMBe\nS1zawcKzcEr75a6b3ZwJ4Nv4HV/cz8nUl++0k4w7i4xSd8Qxa1du4zPNqYmkNZ8MKJkXnt+Cia3u\n3tYrZV8SP9zK7ue7w5/OceVqq4xVhamV9yuVNt3LzJWY7gTE3Hztw9sB0LdXK2vnbUc5Qvf5mE19\nzPOSUll9BuUAwkBsLxc0UZ5hbSzoIHQulnJWZfoUXxaCZpXigcWUrCEqnqtVCT1aVP5IhEl++WGM\nbPaKXh4qOFHDwcX/AeAAFnFxQfLYqT1OS4e38c48/1fAanmhZ8vmeHETH/yHc2yZsoOLUX4cv+Bn\nuOQYD1zvRDd3HXMwy38im3yPzsWkmrtEqiZv6c//RttpFMg2sk1ZlKMvfKWNF07Wa22/IQb8vT1h\n3fdtggkoTbNoHLKU5ZSPEl1kdvbqe9BJD/fuIxrcVvFi66v0+sP4OxPjzSYH//d14De+vwcn11Ga\nQOalihZAUwquknIZ9Q1/EENM8ajv10QqjYknwu9xrWELhoSRyuFQCcPc1OumSK4/khgnISEhYRxg\njFH2Lq8QwIJXHCPlYaiiNKMw+w+BfhVt2EBfD8uxkCor27l3jznuWCwg5515OC8+LiIVMcFf/vxO\nPvOghKKnsw2uFQpOlbKXkDleeiglsT1sI+aY6tA5sBAuVmWo2tkvo5zNHcDbnqu35fZ4N1grztFr\nhepvMpSMinGeJ1PaLt/bcSxPze5h2itdTrzlHcLF9FWjgvTZWs/PyDVK4a9vNhRhyMG8lKl5ixZK\nUzNCqaftaNnRV0M4nhW9KYdo3l1MulaXRNgRBX+tiJlFjnkFaSzInEUtHs0jgzG22TeRyXxXyua4\n8j68RYeyo+swyd6k7MX/CDXSZA90iSfRw86Z5MXmSV4EpPvP7sDP5Vij4Q7g9QJFeWGTmhE/K/8B\n0JfZsp/3EfiivSeNBWRFGLGNQM+r55MNjaD33UxpiGFc+kS1r19p5qaXSBTPxc/NYv33xUSnR8eM\nhVaOxcaxoXEN9DZUFTAD6JGP21Ipi+rE04P/oOkEhmKhYzeLRmysNpVjp5QbKV2H4O5tOGEPBovw\np2zzBrf76rwNfcQ2zryNPOdcJSuXanb9YftYf2G/hQrXDAu16qdsu/pt9kmMk5CQkDAO0ADKXpKB\n049PPGKVS6EFSg/lFFwXnoISynHGbOheaq7RfoVTKArF/A3jLm5FMnrpbVLeigsoBrC5zKkFL43J\nklO0+NvRc6pPvna2mYtS7NW+/jY4RBi2YIAyu/g17V6MYq1yVCwlj3j9d6d6KdeATrSagjYS6VLR\nhA9BodKmA8ie6fQTHHd1Kv8JwFv4PT/hfQB89wSxqrrmavzzqUb96lzFw5mZlAdM66N2an+wtvKx\niJgdQQl+IfVSzq31DmJ+w0WF5Dd1FXvUGEQtj2KPnbdMnZ6LcSzV+h0R2GdcyzttnHVaouwTEhIS\nxgHqTtkfKvLv7/MJ9ixoYCwNqNKMp2yXReq07KVM4TcHeI2QmNf8i1TejJff660uJKPOujtdecFs\nXIAy4AFJi1XsIqOsj3CU27Q/PsHyi0S5+SkdWOSiH8DHo1GGBXwfJbbyeRSAnltHefx5S9II1djX\n7vUHSmk/j2EorC3/utJrS4KeKWxo5Rx5oqWQdMqdsNsJTkdxJhcA8OH/dakFuR+2PdnVffeLStlv\nT36av1j8dX3vPeacshbP4fU72i4WGCyWytEmabcIKXq7HuV5trZ58f0amUuT8X9okjW1ZAU+vr7N\nYFZNiVcNsXhG1h9glBALhDaYbFODpbxjoZryCOVYXzVzNoONSV/tnQ2WAxh51H2zv2Pum93B1+E3\nRRdU7O2Fm+VsM1kUyXM6XXn2a/EfA2v9oBuXiHNaYLOvBaEJzj4Et+GbdiXKNNloZgDdotGMLYYj\nXPFKnmL51jsFJ4Vt794V7pCqbv1Bt+I3BonhThflNugxDOA3tJidvS6Ydp80xQY/U93cSpthJHQC\nsh8P62VTw2YPsEFKFQ91Q0+vm8vj7bsA8MTeLjppy97PZTl6W6avllnMwb8XLZvwO6eWy/DRRftM\n+zDe/0S8mCckELRviCt3bbs8m/V+/EYtH+O+2bC1zFWV5VuD5M3JIri6/nR+ih7KLZViDmmxD1XY\n3qIOTkSbR4ZppiT/bk2oFnZhJPbGmjb5Sh98RcwDLa/92LJ/SWKchISEhHGAusez5zWFIsDCRTD7\nNFf1fxc7KvA0LuH2FS67z6ajndbxAwsuY25BMjZn1J/NFiUU+Yw5vPMxF7DshhVOQ/ridpOAudJO\n2fuNeIWaaBPPmQNn90jdYnNOvt6tYm8/HWNyKViic2rHcxuaunCdH0OzM918Hz7BYh5i8dBtndpW\nziRLabivaa/EZ59Sjavwz89Sx+oFam2yZwd1FRBLuqRU6jQ5Kf4NHAQzP+iivXWdsK+ru2YpPgKc\nzqMDWiV94QVSdTrQr6GkbfYq5fRm+GszWM4vZuOfF6TLUtGh6CsmdmkHZM6fkzkcgU8IpszlIvDc\nmt5HP6Vcp9ZBPItVRIwUNedtxz+XGt/nYGGXo2U4Yx65MTTcRj6kzmOUfewmY5xhtZj5cTFOsdhS\nt3j29d/sd3Gb/c1/gyN3lrqfuKL7kOn8GZdrdMfCLwGYczx84WdfAuDrf/iqa/hdvLVJUa0f+uED\nYtutItxz5+M/DPYl6qI/xf23tkD/Vho+s8f3l0FZ7xWUv1zrJh+z8NC6vUxdtbyo4bUWupBsYhHZ\n7Kd2unIW/pultvf0Uro5gLufMEXitpg8jJFxY9CNazFe3BI+p35AHcyeM3U6hrGu+sQcALb4ipvv\nC7PboPuyoB34zV7kbE27+m/gaju3QORXyVIleo96bczix85D3sFh8p5PwRMG4rzHw3iRV5Yicime\nEAnj/cfiFG007ZaZ9mHMpHb8854l5QiLdqzcvdr+Vkusnaqb7XCQpxex+p2wnfVFyZvH0OZZz+Ql\nSYyTkJCQMA5Qd8p+GVOLAC3Nq2h/m1SqZcsUQAx0bhIifhbQKWbK1+/uWOUbeQdXPfkBV/lpoRyv\n7YWDhNJboKNdTjRptX6pP/cZAJZ/fWumFf5Hzi007UL2fzjBroT65BC8m+5wYD1elcIVirxpP3iN\nVK0xl/wjqBvoxd+vpcTbzHEI+xxDVraH+POuhEpWJHPc4euEWr4DIBTjNJMpcHeSLOdHA6fLuA9I\n3/PxXKDe/7OYV6uUew+lFLWOoeeVio6JhVrw3J+Kc4C9g2bL8Gb4SuE/hhe5YUVuULrerKezzkUv\ntJ7Idl2EYpzhwEZhNaglGVZVCx27jvI4rlpDLcSo+BjXHRPjxJA3/jDCP5Ao+4SEhISEEUbdbYOm\nv8oJkf9346u5UezUnpGYNq30ceo1PwY8rdoLdH7aHR/zAafpOubWm/nJ4R8BoPtXTkC/66VL4AYd\nRamxSqZU7gu+49cdebXd7WvxctBO004Vfkr9DoWyV4pM5aa91Oa92UK+eZe1xw/MDAd6YYFSddak\nkdJ2LKNcOQiDp1KsYnEwdnIDFY5Fo3mH6jby32OmZukB1sh9Wq9e1VuoI+tMfHav5cLFXLsXXt9g\nKfcwzHQvnrKOeX7L2rvbJGZXCv8Q00zl+T34nAxdMkaPTP5Zyn+hA2bOJe8u5EraKEkOH2KkgoqF\nytdB2bbXSlnHrqvF0L7S+qoTxljgtvobgi5xcpq93/gIuDzezHzMWWQczF1Z+sBMMDEFt+ghE/Gw\niGzmM+50fPGe372Hh25R2YW+2Eqbszv/92NcJpKHr98RLx7QH/cRIEYj3K+bgCrSBoPQZnsxtS28\nVvz8qzlh6Xm9h158ALaYsjjGyo4ERuoHpf1Yh6uQXR4gE2MUL3blDU1wg64cfY9WzKWbaYfXQWeK\nUpsu0iYC0Q1TxTR2TcWc02R+D5tuVGy2CZjjnBN2e+UjAEx5/SokigSrxDni0QdFyas2A+CD9wFc\nK3O6eY5U3Eep4hqZT2tp1bAEBhUIgCHHf7cf8Lw1aH1LwuvtvPL6iIkLN1L+e2gifiPh2qtx2ywy\nzGc+skhinISEhIRxgLoraAuFuTLgrr7ySHEn/yYUH5dPodjgczIuFAHA96X8FfBeOVbLysPhe19y\nop2fcSIAfym8AvhlxbnMKTrF1W3nHknhSZnWfDnZ/RgcJnO8TSn7XzJ06lXFOJ3Ubmf/MkyX85KA\nVXIqRS/vr2VX6O+ROqss1mtEBFNoLw39DO7VS157DnXFVrs/zdQtnEJ2C14A4AW2AOCJFZ28uEy8\nwZWyX4MPvX25lH09lFP2NtOZcAKVqMyGiRbyzCFjis9aQg4MJyVhjNuw73YYJqAVnn3xxfrR/g3w\n59WHuZTs4anTyUZ4xx+vAeDnbzkegI9NvIwrl3/cnT9J2q3Fb/JPSfkAfOoDPwTgU7e7snBm0Tvm\nlMDd9myVxTeRGVHs9iMn/tjAFlyIMxd65x6/cye7qsW1iY/j4JKxcBRwUy2bfdroGwd99r2U2bT3\n2x+8lYkHeQGKeBGRlc+r3kCiq67dYTvWTpevguoRNMaRDT2goqAn8Hb72RLppDzGkbUPx88p3Foa\n6tgUi7UwmPYwsqEirO5lBDb4GBoo2klinISEhIRxgAZQ9i0VjoENcON+7wFgwpmu5Epo/aNThG2Y\n5tjbCy85g0k3vejOi6fos5/fjElzpE6yM/HjSnNw7O0O3O3+fRcggTIfvdMpx+688AAOeeheAK77\nq1D4n/wdXHye9JFHeavIZl02loqi5lxxC/PHkNImoVaohddCPEVvqUClrCMWMH2mFKME7pVyc8qT\nhalX6gb8MlMKvyIlnmN5UxcMwZqr1t9B9J7D0BaDhPX+jXr3Npe2rTqfKihWOK4jEmWfkJCQMA7Q\nAAXt9WZAoUamiXfpAcBNQSCt5Y/BmU5RutmZzgbzto438nveAsBRouy8l/059VBHyneJPH+PzxXh\nGzFvVel7hvOg5RPAp9SuUyiUDxzHCVdcAcAPN3wYgElPvUhhZyXJcuTuPz7blR+6GR8HRxV9A+TH\ncB+vUDPB4Xgp1wPbUh5QrA2vDNX33DkCY1VSSNbCkI+QrLlSXtgMsYBgOWMPJTtVTXF1TP958XoU\nTZRbXsYceGOWn5UcfQczT21aRw/aBohxLOsrP3BVZC2AbHNcbhyFLnCb/Yu/cJqrf3/y2zz+oouU\nuctmjwPwNn6XxQ3PGNpf6TgQDXzULR+CT1GOG+HwK24FYNJDTjy0ev8WymyXS+BY+bXvd1nJt/rQ\nBrxGTu87bfRxVLN1HiuIhTCwytCRjCxpoyvadVzr8xmGiKMmxKxXIuKcWjdgi2ox7kPYj0hsjFrS\nADRFjm3d5qYu3FYal22wZiQxTkJCQsI4QAMoexuKVVhe/SrvA8xTytnaDYtt5hJHkf+lcBTs4D7f\nH77HmVme1fEtzttwbulQ11EejKpWrL6ax3HcA+92xTav74fHxWh6l92lYRbFiplFZzzdVXD20vwA\n+GjMlGssU64NggSl4xbg/pEIFDdasKEJFKvw71fXbwf1RSy71iBhqeMw7EGUuq4k94jModZEWzWN\nO0jExoxlprS3EzOzD9tX6rvk5x17Po1BAzZ7+yOYXlIwE+gRV/FsD+3DhwrUpzgPljhHrI4O55By\n3s/P5WYJFtgjrX6z1xG8nbeZfgaDHn4n1573DvcROe6CuXCG/CKmHefK5Srr7+WvF7oojfO1i1tc\nvbvy/csAACAASURBVEMsTV5CBnUampLbaoxgIFKGdSOFMBxAbJcaYVQVocRENrHzzeVVec1rzV87\nWPRTvsfan2HsMeqHz272Vo8QZrOMvop+SmPlQ2mOAh2gPkhinISEhIRxgAZQ9ur62gKtEiZhqlSt\nAf5djj+q5P4KvJLTRld0qf+Wt0ss8xO96lNp+H/uuBWQIFk5YRPiGOCBnZ1v+xV/O8H1UNgR7+6r\ndtWdUh7Fwk87qx21vynOKVC4RUiVfg1MloXmrIKxrqgcKcgSPMUFCHvxtAlsNuUiOReGABgLGKBc\nJhFSa1Ax/vuQEetL62Lcop3nEFCT5Y09rpaWL6e7SmkLBwOd76YK/Q0qGidQlMk1NYNKbDXsRRew\nSJ+5/q778L4Ydn30mPNaZ9fKx3MmMbJIlH1CQkLCOEADFbRNXnz/dim3g20/8iQAz/zqVa5u3nP4\nL6ai39etdl/Wuy/eh5ZLHihp9YtngILEsh2CLPDkv7nIax/8ws8A+FDhaigql6Ecig+U1SNH+g2/\n+FPwt+IrAdh5Vwni010rZT8Dr8zQMV8KZpt52qwYnI6m2CxC+9OBoz7hjm+6UNqMlO29VZKH86x1\nDEuZ2TJMFt5LbVrJaj/B8Pk9R7m9Xywx+RCUH1V/I3nchSJyP0U8ZT1Yk8rBYlB95iUcF0X7ZLz3\n8k91jIX436TVycWMMXTvsu+xMb4kDbSzb/HZ13QW28E5OIekj8+80tXNnw4D+lXQBzfdHxfcZnHg\nFx7goWCk2QDFoStE9+CvAHz/vJNdxdcvpJQdk/sA4HqOkygJ50sIh22BnXeWTf4JTZhdDdaAV6Nt\njkVxRgwxh5pqm71LPv6FV7ik8ucdf67njG/qlIOuGvqpNi8oWXvZewsJiWpoxvPzM029bhzLgnIw\nyMs3YD8mfebYngN/X524bCkw8pZBNlRBjQbmDQ24VgmhltUEZ9R1sXyF8flRq5EVxNfj2Ba7JjFO\nQkJCwjhAAyh7AyVQ5kl5OZx3zBfccYm1pfrEWipC6kTS8fPzjuaUTTcCcN+3XF3nVcBJqxgamvjM\nv4ui8DtaZ+2/9SvenbXfepFzBf7BltMAOG4mvEe5vUGbXC4jPy3hWELM9VDFWw9TDtveiRv219x8\nT8HkT7g8guvPqhbsKi+rUB5qiYteCa14pbyWj+GzmPVIORixUN5c8kQNMei4PWZ+o2nzP8Kmg3VL\n5bcR/2w1L8EyPKen79Mmc39powGb/bZS7uc5Tg2XsCUs/YmwyE9re7tJWpvjTnd4sitmsZh7vrmn\nu+KbEwEovHEhUKv4JMQAXDBXjmthyQdYu+UtALznc251vucb8yn9QAwGLwH/6yjESulI8UO4OS9K\naAsqEjnmIbFy6oL1c9U8a2nkmrzNpVIoAV3mViQy2I+v9tGP19fMl9KK2cbKxmBtvIeBvFg2I32r\nNqyCxRBizlRHM6X6FSjNyfzy84dJYpyEhISEcYAGxrPv9xyn6rv6gDvk+B+2fRh8zExbCPc3fOVP\ndG7WA/j0bj6d4FDx90G2F9bvG+fL/0OhDsYKZTgUNKMpv/72O7FCKvxKzs0z7axirMcd/kCqroFd\nVv4fAI+fGosyFQsLUO2ZjeQztRTzWH5XA3jxxBCgFL16NleKElk1zv4YQIEqMfFVdNPLy5GiVyTK\nPiEhIWEcoAGU/UQp22EbOZwsZTc+g8+z2n4jnhtQVsDkBl3pqJdVmx/JqhZRCmYf56upr03rSFAF\ntcRiHQtowetfJJ7ROftRvNaRhBcLZVg8zQWHK1zyleBagCb4xBwALhNH517g/ILTvbwzSzl2Of7Z\nKjXWGqmTPkvqNlJuqjgYDNZvYCwhFtVrGKjnrYchgYaCvN2tJA6PUvYvVT1ZbWjAZq9DPgyrxUpg\nH7Gs6cdznqq0LYkVruKcVZQHFrsY+sOX1c9L68dp0UL5im9kYo9wE90RdhIl7OGu+MeXW7lJ8rZk\n1uu3xvrSd9bE9IucNVOvbPalcRQ1yJy97zz75la85YnOYIQUlS/JddQblDVa5cQUpZVuf/Mq5weL\nkXC+Ci16YrQAQJ/uKy/Fdzt4JDFOQkJCwjhAAyh76z6sRuizXfE4sFqpMCXxt8dTJmpv34L/Gtv+\nQsr+pfjFttovtVXX++7Fm4Hm2eAP5bXmsfitqOKVf+t05VTgWjl9uXtnv/jRcbxOsryrarxwiJBl\nXTET1AGW7jVDjjyuL86Vi3ty5hSj1vvx9tHDQWPdT0YO+lR1zVjPT73HdjJnFWWct8SLUbPHvI7y\nZ95GWQC4ilxBLMR3EHZiAjBJqpSyf54qSuAwTMUAFOXeBqTfAbO2w7QDgA9q2BMb4GWDBqxqZckj\nziGr7T/rTGkXq0JfkG6I3ZTjpbjZK5qAN7nDo+Ree4DFGlNzoZSVfjwhixoTZzThPyRatpprpW6H\nmfz0yWMBH0Jiv7v+ikS2QON8nnb95Xyj6H5FZ/34uwB8+hS1s6+AxfNLZr498JVCozbbMASGhY0w\n+VKx2NB5dkXO6T12kIl3dFMcMJdmG6B18rN6E43fpL9HInbx/XifCSXcmvE7rks7GvUv64dSkZwi\n3ORjehm9x23JYgVlHwBgmpxeLsQm3cRj2bw8kMQ4CQkJCeMADRbjyNd+a6kq4ONIR0MkKDrwlIRY\ngvBnPOVvPePCYFFj/Yutr6QTfuzu8eQPuuibi5nFvSdIcKtr9Dn2mGs10uEMPMej97uU8iBundAq\nVJ0SaBMota0Gdrn9/zjxzOsAePY8Rx9se/CTPPMuiUx6jZvTucecyWd7HUV/zoc+686dUu15u7wE\nnzlA/v0svPddjY7uaRXjeqzPs584FzkWoWteqelYoLp1ZL+bfl0/Gyn1KtV2+i6NNVU4RrGVchGr\nsZ4zynkP2Qf6phtdvDVKWBHU2QBsoRdsjLIHbwVo6raTcoLsJU+0R/pthlaXMnOrlc6tf+2d28Hh\nmifDPNttXKiXL/W68tyDz4MFYyfFZgM2e+soIxuNescXwb8M+/L0wVvRhB7H0rXFogG+1LAMPuSO\nHvngqwF4jok+HPQ1M327svtshda20qq+Jsp0H9u0w9FSpQt/KS6JDGSPdB8eYNkFbiOYfqmLW8Op\nmP5cmMovXXEBt37wCADm//qtcq62xf7gPbsBsPe3Hqn5mpGH3cSgNCKmbPZHzYab1EFMRWpjVawT\nbroxvYyVxVsCK3Ros3VWxBrTkTxXYR62PzsfG5smdFjbSLloJfa7jjm6WcF8YNE30AyHStVZLnEO\n2x+FEh++fTvFbzjznpu2dDXbArOPd8eFs5ys6vS9vslZBddunlgDPc3X2Y6vBfP6PMXrtzDzOpt6\nIYlxEhISEsYBGmh20ExG2a/Uui7Ko86toPxL3k6m1HmHVE2eDVfpeQ2I3k259cpYh6WeXCyIBQWV\nsazHR4jrNu0U+swegz5ruQSllJmwratnwlUzS5v1dZm+3TO7rvAGrjtAXtIiTcvYCzgqntfNAWDt\n+7ek7QgJVSFimQKSl6BK6Im9r3/EHZz1YG67+kAfhvHx2MEp8a779dvYUsJxvP31QuHfcTVenDYW\nxYTWwisvaUssb0Kek18/cfHoYH9ruoZjXKq1sst7tjGOIRbnXyj7/unZMt//lS7i6r1nHgK/lzSn\nJ7tizzPuySTFq0wPPde44+Ipjozf8JyP9KJPsatkLoJlL7Jwe//v7DqGmUiUfUJCQsI4QAM9aCH7\n2maRbLspjzHdZ+pUKdvvzaY0GfAcQLMSLlZKOKYYqpXyGmzYAqv8yqNsah1/AE+p12o7XmvqM+V2\nFsNAU6R5OMfFsCjUkTSThfrd8xgAXth8C7pudVTvg+I5e5Io3K+KxSk3KK52DQr/WYRTa03dOFpQ\nT9M+sjW6tZ55hoN7XUyPk27/EQBXHfNhuEHl9yrPH+tyfIuYnHywfeQhlnw9llOgqULfg/WfCX0J\nLMeix/fDzTsCcO/XxejhMDJJwacPdrL2U7icFZJ5Tu+gHeh0cf5Y/Ua3Pm7gHbwRl75UfUyaS+bg\ncP4r/71hyUUbmJYQMqVX5oRhrQCsE0ZTULfRh1PYSsomvEXJYv0o2FALVjOv/bWZ/8MFZF3LlYGz\nm25ok91Ced5Pm5vUWjWMpaiJtc4h/MFtJPsg/8YVX730y1w0xVku3CeP7KoffljaV1G67iPl62qc\nzqjCK+eyY1Fan8YlnNDuftS/5y2u8oZ+4n4PLxUMBCUMT+wZbrZ5IS4sKo053N/JAOWhIyCjMr8g\nG8eZ7Zn48TtPngXATq/q4dQpzlGwTdZ0B/BnyTZ6yGvc+/7gu37GXOlV6aZDXgU8WUp0feaDF3En\nF9MIJDFOQkJCwjhAAxW0TbCDUPmfkKrLZ+AVeVbxWOJOh6OSRVF41hxXntQCd2v7Hj9GRqEbD7/M\nZrpTSmumqNd24EVJ6oEYMwNtM+2N5qVsTOuSrVT+WKLwhwKhWsR09kwuYKFQP/rkij8T8Qxfo3K2\nKuD78u8+wIJaxq7E8g8H+j50zWxPxs0tccUDvzuQlre5ds/8u/gZFICispXDibAZQ8hB1iMY3lDS\nNlYTe+b1M1rrvxqnou9IAu5dcIrfi37v7ue0fS7n4JV3AdAutvof5rN8nq8D8A6cP8liZtH1dRet\ntUeCtc7++5+gcFvpkFdezVnFP2T/3kH90MDNvgVOd0fn7nUmAF/iAuIWAQorNdOPwXxXXDUTfzu6\n1dj4Mp2uKLR4aYsY9PAQ0Bc6oFjLICtaClle64Sh7ewmrwg/WENBtXylo4lQHzFA9qGT2CU7/s8K\nflN0FjonFkRoL/bI/KmNvHdbmC9mCd0XjtB8hwLZUAtioVSEbM6qczgbFnztMHe8YL5UdjOsRCG5\nGIl1M1hYp6Va19xYJlisFVJsnvruLoeLRX7fJGvgKtjvYhcihG6Vxg9wE/fLcY+Ui9liJ1nDL0pV\nYW5krB7uLJjfQfFNNd7D8JHEOAkJCQnjAA20xpmSEdtr1NTheOAapcSt6CREB57SnOWKgzoM+6+u\n0RuhRVhyp29hty8/yD7yVb6D1wOw/MKdYIH0d60Vz1gOwZYW1p1eKXqrDI6xj3pNrWyuZeV1jBi3\nUQ80m1KSlzwsVYfD2w9y1ig/LbrAaSeefp2cfAOgVjZ6D0ZU1/0LOahVTDGYe641AUmsXrgXtYde\ntBR/w0rpVYu3PxIYiX5jP/fmCvUKu37zqONax6127VCT94yEGGkdmfF91nwZdK/yxxnmB9f2wxNh\nGtTY3mWVxfVFouwTEhISxgEaaHrZzsxjHIW9rxjIT/9ZN0ufF0F6ZrdsKVelCI18/gjpbwec7B0M\nodXiY76815Fmn+QipuHspg6Tr/NdZxxMt2Q9X/AHkcf+MzCgX2b9YucpBa03YUtQjznXRrmSl0i/\n1m5f77sDRyGD53zuo5xSiGW5sp6IeYjFT7GhbJeadiLf1MBp/Tdn3NV7v+WC3Z+4QYTdx+8F14jB\n8rQTXblcE7MDo2p9HAYzqxLIrMSrMUx2v5hyBXskXPeYQJhdLHbOcovWUEG5Wl1bdv3kbRt5Y2k/\nUHktxq6PZZSKpZ/UNoM1G7Wx/V8rx6qk78YbjdggbiHVPhbffyka6lS1SQzst5WFNZWVLB2YIWdV\ni2ofqvgtf6/F60XeK+XduN8hwCJdvCuysAGv3dnpvd/JtUzc5PpsXescgI5on8eAzOXsNzt78F/O\nej/cH3s8TUGpsI4j9kezjnLo4rWLJ+zPJobQTaoDXid9r5EY3Is7gZuCazspF/esotxSxM653ZQ6\nrv7gJ+LzzWpdE9mm2a+ORGbDPssdF5pk5xyY68dfHgtUN5oKSO079i4sYu/bJo4Bd496PNYjqVp/\nASh9xvYDKIlpjpWqx4H79T3b8Bjh84nl+2snfwO258K8AZXEaLr29HfwDP5d6ppWUUtMRFLr+5np\nxb79ev+reHkkRUpinISEhIRxgQZQ9kpd9PDoU46U6HulY5XfybU8cNaB7vRNIiKgC/9lFWXsAF50\noLqytxZhqYgMFulY62CN+1IrF7GEHXjNAw/5roEZC5cikh1+ccbJALTet54fF06VfpRasGndbKYf\ncKkVpS7TY1m7fBtGNrSvH6CcsrWew4oWH/v/1VJ2d5hE682+XRlVF/STlfu5w4M6XdlphlWCfQLw\nRI/88xfTj1LyVmmr5ounSL9y6g6TW+A70ubTMRO/0aSaqinG5D7UzHIC0B+EiqYFP9exHlwv5j0e\notnfr77GXYDFmr1KOewYdW77tVyoPjPLwfVGrgkRe/et+DU83Zdly0VCd/AgtSv5g9/IsbNdyASA\nU60Hfcyg4qWHBmz25gf3kAsQPfWVjgXr4BkOP/i3ANy60z+7Nvvs5+LeACd88goAduFxzr1e0t1p\nHtS7CybGjsqzV8CAEzXc+ybHqr7xv2/jA/u7SIzn7O9iSW/zRL+XTkho67+yh1MNAHRZNjj8gfdI\nuZRscQ9YVjWUUcZ+IK2Uy/btqzEbjm72G2zzcBH2U568pIVyp68ZcIDM9SwzbLgqFkG5dVQsomA7\nnOM2+eIJbgd59Yz/BeDRgtG9HGE71/np3JqoFiGzHFb+HG40doOvZvEk71kJCXuLWQq7TuLy2rEu\n0oFozljafbiSf0g5Db/2F+8rB8soF890UB5/po3yxEP9pq7TjK2iF2M9VyZq6/TjaoKb/8O8AtWn\nWT1Y+A4q6QFEZLyD2xte+6vbeQoX9GbpF+Xcavtxt3qC2Ic+pncbO+shiXESEhISxgEamKmKLI79\n5pKh+G38jsfZBYBbTxbKfk/Y/xjHou0jVjt9tGbetyyxKcv0666WPEapNM9RpuvPmsm1Fx3r+wE+\n+6Vv8upeR02+vt25Mi+46DCf1T6jRvoop2SsmCZPyRij/EzqswyWRVa2VURaB8Hk77uH9lyfo9Be\nXDoJbgtd6mdBi1BS/VaxGFJNrdDsxph8hOv31RMfcRmxgK47haqbh7nWRr8MuZXtKf4/R9E/KEZV\nj/6bpo30SuSP7PU9AH5IO56lEkqqMAeKanP/MJVhlq6kg/t875e5XLSMzxSU9flZTh8VMElKS8Ap\nN3LnIfCEjq2B8Z7Diw5i6fEGCyt+sen5BoMmSg0FFJGsVWpw9Bopt8JnK8siyFrItS0d3trtiVi7\nYDoAr5ByuRXtWO5Ln5twei3NkhUNtvicm/sLF7RlwfdYHIpV24griAnatZO9VOFqP8CVLMQZPsyd\nopR9M/452jwHCkvh572jxlP4ibJPSEhIGAdoYGyc/oyyXyVmlmvYmhs0oLSkZtzs6Wc5QaizTp4A\n4P3P/QSWKIWisuRl+NuJZaeSDEhzZ7J83k7usOljrvzNKVzzqncBsPgFUQIvwctusy/7LMpldaoY\nqvRVH+ojNja/GpzpaDhnonsw1050FOyCCYdR7uG7ArYTBVNGhbZSajYJ8HdY7yiy9UtdNLNX7nYb\n2wrF+sipLi/siwOT4BsqxI2ZbSppOBvcY8x4K35efmc/uN2xZT/kXrwWWJ7xImDjce74wKvlXI+5\nWqmrXVFuoPh2x00sLMB5l5wLQCHL6FVNbhqR9+vtHA48K8eaq/drA3C5mL3Olbp+PCU8oKGOY/4P\nUNmPATLT4tcJJ3cycKacWq2DLaM2KtHKmu2YIcex0S9dlYl3milpjoglE4kqV8NlX8BT+/pT2QSs\njl0YKl4NdPxjgZPc4f7tLo/AgqmHwZbaUBWpuh/EdAY2rLjVI8hzfMg9nzVsnRlyqJ6QbmuKrOik\n3Au8D68/UB3E7nifDpufozFo4GZPFqXyqU86pchZL3yDtZ26Utwm8OIFR9D3bffmV0l4xfV3T8Vv\nJz1SVmKb9YchH4C++dAlP9YmeYk3NnHtJ93muXapLJ5p+EToWQLlXjLrlQxWTBIGw7LOTXZ+oVWD\nrdP5tsEMN5eWr7lfyqvbHuHVuPR9R8jzWTDjMMoVSG0m1aMu1F7KP1Qt2Y9mz93uAaDVLMYXvyfy\njG+A/6jG7k0sNs5p58Gz/Wglt2U3qMyrfD/8x9K943/d70L+88JPA/CB4mUAzC0cAU3yzkR8d9C3\nb+PX8jE83yRGaT9Nj6w1RR6sb0TgQNWCV8y6gIZ86VVn85av/h6A0756CQBbs4Ypomz8/XMuxv36\nA2ZDV+j/YEUrullsD0e6urm/cx+593/4UHdqPvxX77sBOLmgoq3L8BtGXiiOZvymY/06pgR122fi\nm5Yj3DrrXz8R1sjCyF5bu7fa6ZTyULwjo0rN+vCK3k1SFs1cJ8n8prXDenkGk6Xd8/iPggYmvLs5\nW3r9e8mcdzdzWGQ//jJPfWfa5h5gQNdvj5TNZBYdN7prv/rNL9PftY2r0483cyhzgpwGfEqqjuzw\n7Y+WvUHv4SBggc5Lx91Iozb8JMZJSEhIGAdoLGUvHnt74EKIrj1iO1huzCYBLoCvHPtNd6zSmZ9C\nKdsG7msZsmoxZeh9vqMBoSzOPZLrDhAX/h5ptjWOcgG4Q6mhbjxZKl/saUJxTgCesImTdcwwdPI6\nyikzm3rRUPuStam/21EbDzx2IP9x3L8BLn52yXzdDfnx+5SyVW7DKpoMVSnE7EO3O/Ku54CdMuWv\ne87guKxQJDFg7kPGOHshe7/OecwWWx0Z+OnfnUcZMsW3MVflTwBc+pozOOWeywG48t6PAzD3vCKn\nf96tgf9Y/jnX/CLAEdbZIj4E+EXRcQUUNBtQNZGHPoswLAIuv7ua8zqJH+ceeh53ffVgAB6460B/\nP53uvnfc2XFe6y+ZCoe/VS4271QIR7FDgFnw7iv+C4D3v/WXAKxzj4K2S+C05/7T/aOO5d0dlIcP\nsCEPQr8O8GtrW9NOr52emfP2/9RQtUqdqkXsetOdmmp246UUfUt95UCnHJughzqHbqs8VW5b1mqT\nFZfI/B5uBvd4eOAV8rwX46029VlMlfI1eK96nefjwCUihlyuv9F2Mu5P779rG/9olSvhz5St/eW7\nwyL3+3/3Z93kprKKS08+w51Xc/Cl9iIbkqKe4ao9GrjZt3PSMS6H5yPqIXRHP5ls3W6OH5dNSkUT\na8CvwjlSLsXHS9AVaC1kbFxw3Yyl35mw7cFPAvDM5Ff5ZmqVka2sPrwFhvS3vNOVR7TAgPS3xFhB\nKOtb1Hk8SLlIJIYBfzsXSHk33Po9sVLKInzOp/wH3oV/fupq3kr56+732RNkM1u/z1T4f1K3RNtZ\nmaeZX+yjeofbZAstGmCmPB3hLafOcQenPUzZx3DRPPa7z338X9zJPbzpn/exbO6f5n60Kz85hSWf\ndO/qjIVOp1M4sAiF80v7qwh9FqqLaCHb8DNLFJxNN0C/rMvb2rj1u/IOVMb9ANDn5vr3kyQp8pnA\nLNnY1DdiKl4PpHXPwy8Xvh+A8275IgBPiPzhzWfcAZNUXPmklDPw70Jk/Me3eD+JvfX3cx9+3dqP\nvIoVNvo56cdXk/9Yp0XtYip+8xQ6gynm2vuVIFrm+85Ks94LIuoo2vUjG/CmmA8KXlSk6p278boE\nvfZZucd/Ag50a69lqnuR/Zdt49OYlqwLeT/Py7XP4u9Xx2IF0bV0rbvml1e4d8d0/P6kH8bVUPa7\naQWm5zmWjR6SGCchISFhHKABlL1+1U7kX0XB5pWC1vtN2/0J7lceViny3ck0+OKVv9nXtuHF/5aA\nTkKlUpxLeTTFAcqiIM4tMkvI6Ftx1OJmnc/yopL2rTJW3/34r7w8OmUff1CEjxb8EOCoIU2krSzq\nlbPx3IGylLHX8JynMjTkwJ4YKwSFTaqu6MDz/cbypkxU1A8DUre02c/5ETktj5ObjwTUMkZh7aSt\n77pQMv1he4/L9aWVhJ9QPAZvd/bPm/1GuIMpl/Nd0ZZ/N7vWU4Yf4jtSVyWpeRQ2GJ1Al+MjmIBY\nSp0OQJ/c923KXfb4fq4UynVJGyxWPl7XYC/ldvjr4Er3DHblM8Hczse/MxvxNZj7ni0cvpd4nrcI\n19FvvZBDPwzwtvJ4Knm+lEVraaXYCK1Sp8rYY+15y0Hr/VoRmfyGlAF4RQt0KZeh4xKHrnmVoC7B\n6DhlTvo7OxaO2tnJUVrEsOKXm95fHnvQUtyG22qZIUrq2SLSmjeD8iipTWQdKod9ED5MS59p1hyI\nmd5LIHqtHxJln5CQkDAO0ADKfkcAtljVz4E/diTFupO3cKemAct1SlbWpZ9lqwB1ZmXb/sjZed3H\nfmz/DkcKz/6I03D9ZY+ToUtluNZMrVRWOH3nxzkFpxTcYS8nqP4re/CXe10mK09FWFt1mV+mRyh4\nOaxSCvuQcR5TDnXUzqop28MFSnVbpW3oTdvs+1PKqwNPbT8u5f0z8XoOvbYJb3poba2VOl0VlEBf\npyvvaPFUkiqoH2sRxaDOVRF6KtrxKi+tzTNqfkK8gV6qXtJRDsBiKKZsYcyiXckoUT3VtxSjgTTX\nhjLpAUp1QsDjbfgYP7H3vK60fRSxENDL8DJ4eX+r29lCyW19dwu2pdwQoJeyIGbL2yKPttkrkrWL\ngRXQJ/+oQvX77Wb6uraWUm7yuas/VsbExmBaY+r0WBXExX7/Crqt93rApa6X/jfBFrjQ5UuES+dh\noF/9HyynL5Pvkvv5TQv9B8iN63OcN5Pyd2Rs+XW+1wJdYeayJhgQjmZLWVsH4pnuOqMBm71zGPlT\n+xvgTlfT1u5eDj8FDteFolPbFqZ2usOVhp1qcQ9vN5yjxfanrwJJ2r5wkkvwseyvU5heUO2mfcm6\nut0CXfq3NzB7Z7cYekQ5dsMLRwfadIXyofqjkc3/sg5kKsZGl2zD/uhmPwDgrm8fzPzrxEpDPxR9\nGym1/wVo9/bHuvC2NlMXu28ebof+0I27mfJol7E0iyYY1FFy7XS8zlulFE8DF+8o/6jW2Foa2d1C\n5zCRSthSfoxRCxiLO/THM5qu5rLp7tvufXtushtrmKik0k8mqH/e9J35adgQEzH8//bOPkyrR4hC\nCQAAGtxJREFUss7jn5EZ8EFmHAdieBt9DFBBICQN3zJIVjdLL0U3vNraFG33srWtVVsrNV9yMy9d\n68rW2l1LC81QTBPLNEryJXBVYAEZpOHiwRlenWFgBnmCeWD2j/v3u+/fc85htNrGa6/n/v4x58x5\nzst97nO//F6/d1b+hcKWSe8r539/PIU7XKIgP5G6+tj04JRst/z7llYANw6rk1Xz0IpF6NSyaB6E\ndVIqFcc4cz/9lpZjwk6oOrnIoZx5pS329KRTt4QPmD9C2vRQk0OhApEsbH/uqY9wDr8AwnKnS4oz\nCd9R665k9uXdbpwMR4jTW7s5BcppMaAsJ6MgjaYJwuRuhCi996YZ4VDSKtRPiGaciIiIiApA/0v2\ns9xMOI6WoMXLxPmBC54rY0t3mB58g2crG9XvfUq2pzeYDT33u93CLrcdP6wDl3MOcJdsrTou+2M7\nKfTm3a7YR+4Y+C98++8dT8HqlyXF8N4JBElnW/n20dnQKbO4SiBDYOwUF7unGa8TWcPiX4pkv1xu\ncfEMc18VdxqCxKNfqZ4g8Gga/3HA8iThlZGYfegnBFHdZvVqyKNISy14jctrDyPAh/l5Se5Fsld+\nUslsT8ZvDgN8EHOWBFtjWuUfS3X8Z6AdE/9vnY1Jk42FNZslztut1yfPT1JbZJF0WW9iVtx8d/l5\nnTtoXuZI646Z5kx6666bEuK9F9Sa66StaPjkDIKkuUFV2Y2kqRYsnXFetlkkYF2kAwbqRPIlOHff\nMpfrbyPM85ao9F7Amyk7peydRmvNSVmkaKfyO+a2ulDct4Y7WfbL/3Eb+xYk+0iWWRfolHru1HcY\nSrouagjmOjm0E4I2be8tfe5itznmvJWs2z2FdwP9Ptif+6tHAKjdsxvOk4MyOO9jIGFAKrjNBLjh\nLMdq+LVzJUFn4RiEJodd33Sj/rPXnsLM8c41Xide8U0d4AM1rrZJJ8lOuJIHcUlVJ4pLfS8DGSWj\n7WpvlslqINrhFof7dkrn+u4E1q8+HoBLn3Nc/BNZE2J4tX8MA9qTXBsNoU/tN+drAINqpasgHRVT\nF+7TawcONa3Uhm2tdCplqfgDYZDXubWZ0CGrpQAbaoCfJl5En2PLlIa3L2c2vx7zeToyfv+/hpS3\nVZ4NlOd6JPM03iHKTreDhOUq0mfo77r+qdqTXiLM7nbASU4K1X6i6jgg9oe9hDaiUTQl06YkF5Ah\nBHqDsnj8ZHu0v9eY33RQtnz2hooBXKSK0P34dlQE/kf2ldz0MALVwW6576oCqcmNOqiW8kli3fC5\nLg9hONtZ2+RMjgWcaWvfF+sIbSnrO9qJN1dezlb7bhkTr04KnTZZyjKLykeY5/rNuoumwC/Noz+R\nUZy/EKIZJyIiIqIC0O+S/RwcodOgZpwJAvzEuZdBhDQ9KdppcEvr1wFY+MS5AKw49eTgzxRzxhom\nMnOQk+wtbdElV30PgPuv/pAcXUh6dm/2SxB+X6MFTgN0kR7Pb1YkHbBrHWdJJ14BnndS2sY6J9ps\nnHVcyExVKX2/vcZEdaiqrd77QwkSuKiFLADu1hNVAqklSBfqULUSmpEMVWhSYXIqXqI/6gwncm18\nYxx0SPlUCtuQFYGTRU+RRnXIRc9ATdAsWrVQffHa/7nQuihQTmoH5WaUrMgYK7GXyo/9wZxetoRl\ng9kHFzwuv+ekQSjLwmMfMWWxdZt0gBZhp5O69+wW7W0QIQNcP88gQvvRT/CmKZ6X3OuC4lEv5WyF\n0BGswzlJMLaNcmoAXKbxFRJEf6g459cOSselDyVkAitb6qppBFF4U7igJFrDd12H3b7bRd5cNvXB\n4LTVIt29jOBkVVgtS7/FZO/o9RrQbW2kI6fsOKCmxlrKyc70GXJviaI785yfs/ecgaYcf0V/IUr2\nERERERWAd48bZxT8fOSZAMxq/DUAjWyj2Ys1jhNk4O1d4KIWWfp5l0p6KL0pjpE1THQxrEBeIvZ6\n9uLXlAzSho35stzWi91us4gZzZNDyKe32RuHZp/x0fY3Ob9bpIOldeGxakbM4tCgxodcDvyYrNCz\nezBjj3TprXmRIn495KNwd9JOnuU4bcw4Zhy5i2X7AvC42904XVSvbYRwxCpLmdwXDm7jLnntLQvV\nwVdQEENv86Ly31P3z1ob9J3a2FU6byF8kL7ezXaZ2oxj8vxee1zqvmpa4MXXZv7klJBHoSG2np4a\nyuzyqWNy3wmNnH7OrwAYxWYAVsw5gXX7xRGoTsQNBC4klaqLuBh6oGwdiG65ttuL/aRDQ63mo3Vm\nsqhVAyg1uDwUYOQ0V75tA4Zz4BRRPVSDPh8+MdH5tlbe4rTV1U+dBK+oH88EL6jorZp4QbbVhPrT\nd8z8nj2EOtX+sgxeFmfGy1nXmrBo71uUcpAjSPk2F6dYVr41TPQ05f2Nfh/sdSnAl0dO4gmcWSY/\nuABAO0ND/+l2KuC+X9Z5p80gceQyjqDZi4ljL4NALTXSeWomwDM/Vi+wflBr4lCUSA/ii6FdvUqW\n3TF5bZJtELIXjTD7qkKrql+FSRU3SUnyHvuUYK0Z1s90Dt/Wk8WDNKxE8LapY7FIeXREsnyKunBe\nt5iCqmtCFFBBtrXAudLp/WIW3WRHlCgOHk++v89ml4OL5H7ny6QwtpYwWVnqDA0jsY5p/R6WDO+d\n4GAmm4R5xj/HHrMmAYUdJGRgmIRPsht4skzgW+vK8zLAMEzaMmVBnnko7JaOo4lEm/eMCu1LtxMI\nk42ayrbickQAWszAPln2tbrnAOvFrKZ974FG6E3SkUAq12IWDJ/mHKjTcfks2xobWfLXM93vamks\nwRviGV39hETAvWJNRdZAK98oL5OSsEQcNX0tZ8iM9jm+DcBsHqOtSiUIjcrrIXxb7SON8GgoCwDv\nH0c61yILVtCw7UiuXeVoNLb85mjqP5z84P2DaMaJiIiIqAD0u2SvPOztDOXHe1y4Y2GwC5Fafc9J\nJopPJIsS9IpwvqhB8vfnmRuKpaGezkCYpI6pEiGWvUqksd4JBGdNlvRpVeRkHHgx45iVYrJiy99B\nFR+KyYJVlEKIpjp0nwYc3xX7HnDvM3XaUlb8TOxX3xAJf8kOwkpeNj0+kSpftnSdqJ6lMSH8Tfj0\nqSdk82oodqstb5Zp5eBwIbYHQx0XHumc+JqbcAWXM+QtR4P9ncHOkX7J3PlwX3IlKAjf4O3qXX9v\nyDhmQ0mtycL+T+K1s3IGEs7YYfjMzKYG91HXH3t8kOzVjKPV+J0cLE9ShWSgBCvWuTYwcJhoDGvr\nQkas3vd44EoX9nrMKGdKWLf5WFghHadFNZYdQTFSZ+d+gulJt4uBVjVZ2MxUPSYUBZd8hO33Oo3j\n8ZOPNNfKabKUJZOh+cBEt+9NMC1k5xqIM1u460ce42Kxa+nmVH4HwBYx4batG0dY8D5LG9X7FuBr\nebfr+eastqjXjMOrPNU15qckpUgNnmJc6ScWQbO3WwEfpt/Q74P9AqHKy7GH3Ysck+EzGnC/ABPs\nIhW8BX7ccCFgeO9ZhjdZHOuu/bdfX89Hz3Jp0jMbhYpuOPBPcomqrY3TYZEOhFnx4VqAHlIx/2UU\nAdox9JwSoVFk2fkMkmNitb2foi7NcFnEL5U4aZozKn6Al1gxTAZ7z3G/klQeQBlfjo2h9kQwsm2D\nZlHXC3JoDMGmvzwrjv6Pi0Hf+zaD/QV8H4Czccv/XcF9dG+W7DCx7Fxy/ny4T1XzZeZ6Hezfrkz6\nXcQMcEFDeN/lyqNiWSptB5Y61YGw3RK9aP3YxXRk253z42C9jvAn9UKNe7ejZjv7yCycD2ve+Z9i\nn6cGsJQHiUl7J970s2+ttKNFBOuHoag5apQbFJXldeioDpbUz0yUvSv0vwIeh09ys8eu5SPCwVQ9\nWwFKXrZ7JTwlhXhKy74DX6e3SZlvm0BHlfSnXqXKWEm2zV1e7gExj8xyAmP3ibWsGewmDM+m+wKk\nKCYyh75ioDzx3dZSjySFJYLZeQjQqjNERltRH+ObwFPmkf042EczTkREREQFoN8l++33ODVu7Gdf\nC5KRmmWetWqazPY/g/nXzgFg4XzV914kmGKES+HMy3mw15mFWt7nVKwC+WCS0Iy4McAiDXBX0ccS\nROXd5vJpCBEmQerNcsKqRJ4nqK/6WzK2l3K/0G57etKMkwuHVOt7LRSvUe49ii1mYXSrndjoCHDS\nSVL6NBmDNntS60ydsfdjCCpViu6gb1IvlYLSEnZ3nwRotV7q9Esv0hNMc0oTUQRqpezduhrXUMLK\nXFmOQwu5dqaT2r7y06/65y2cK+1sAdC9KHGdieLw0l+OFOtlJktmzkvKShnxgfc+T+t7XeO8lPsB\nPAPr5Q33coq3QxbSZfeMjwSnqUaiNBOqQAXxrbBxvrN7bjzJaclDRnSElaCs1qprKNzkNh8/64cU\nxeG68D1CZN9aRXlbslsIdbCdtPPSapUmUm6ASMclm8+SHKaq8Rp13m2GnOhe/PLB93LXDrd0ZdUT\n7rdvzn2RVy/ra6gzUTZq+tLtwZzkI+UadXhXA7oSpr9fLV5z1DU2Tid8j35GlOwjIiIiKgD9H2cv\nmXHrRxwfVp7xFCjVkJNZsUdm+BGBnOysOW6qfubL58EGa6cFWMlLwi2iDsB5az4TbM1q3s1DSN31\nqbF48o4XnY20d2QV5/yXi8N6qkoljwLli4SDISAh2MStrTbDZp/k1sr5PwR7Xwe0ie1cBaAR+BAz\ndV6eyu/4Zl5sqRxuypS02deasljO+aR03hNWrdLX6MXR3pZda5HloD241B8k+yy7eoOPFQ85Epv4\n4ciPA/Dpl9yi3IfMeIsDs0TcX+U0taGvb2LCIQUAXqhS7W3lQZ4jEF/O5dzredBf/4GTetcxBe5L\nthVDgmUX4fbQZ1k7fo2+hnc8rupyWsTZdU97+/1k8UONXu06xOi3Ogy3k10DQf1E0kem4tdd1Xh2\nSgRlQCXJscAHnYP28GGOMH7X8hHwbJLOGFiSd9svuWesOOuEwLuzVNn1CvRNFKfH2sjmGNL6kTY6\nckLQpm+Vtr8keQ1ADQyTvqYBGoNdHdazk6rNcppz4fGTSy5mPD+TgxoLb6V146Atyn0X5xNlhNDn\nx3sn9fB7XEhpd1ctReW3ekjpwHPBMSu3O+T4tzjwlqX/rqK/0P+DvSZ1TCU0Rs9xXRPG4a3hklax\nweiW64HLkur6MlY/cwUAZ5zlHnL4uK3smiEtvSynSNPwNY6+Gq5xJ9x0quRr3wi/uMQ5hqtY55+R\nVtc7wv85abSpyBrwDX9vLtxCv3OZlmjIyt4vu9pZT4fhc1zj0gGinaHsWqwnaOfSwQDKHb92EQso\nZyiUChpXE2gc7OIp58t5d0jET6lg7lvK2D9409rtB/us0XIww1rd8TVNEplBgfUa/SCmpeemf4jT\nvyOD0/POk92+aowfLKrGyeDX0kz2YC/lk6rbzCifqDZVTCfrPjkF7lNic+vUlsHbW2qKZKfUKySE\nact470QvLnCjwLa5jQwWp3Lg+RccBodfKRP51TPk4DKCqUq+yQA45DB3j+p6Zx7aN7ou9C818QwA\nfuvqateb8uKLIU1olwv33uoG+1ksov0QVxcP75ZFtjMdp9WkTYM2kdEiEee+5fdwpRLtaZk2kf5+\nXdAukuKT7vy2Ftc+tv/d8HCaDPr5rjZCwoCa5Wz71P2h+FFZzZZF+x5mfYJXXHvY/oREFx2HT+rk\nIbkH3eWL1wMHBh1Wzu/3WfoN0YwTERERUQF4F+gSZIa/P0eKubRImiTsOEejAPCSZIqeO/cRFt7k\nnLa0zg+3FgFK1fH9peoQE2xDnzzHe95tT4Fz73DUy1/dcbs79oopg0+JzuI3NynkRdUYsjJoM6BZ\ns/shnfG6B56W+2k5dsL2NU6SGDDRkIl1kkA36U9rszy1whsIMeCG2lUzLtVB+yZ4C5EkNrLkI8DD\n8k8WhcTBHbTt3qP8h9RvUAtOeaGjSaXqAl9b5uitb9nhSPFO+8mrfO5ilyG5eY6Ye66BlUJ5i7Bh\nc13GI2wYqhTvTq6hhbEArP5Pecnv2Wu0zmwbwBzLMlMkv/+OkKV6q9sueWWmrtTJmmudJvPVSbcA\nTnvbtS7pzZscYrtVQboAjm102ud+iU1dt39KUJw0hLeG4MzXppoD5mnIoAYsmPcRyXQzo9imdaZt\nIfW+iWvLHJVaf8mlEu2x7gTB3sFQwicCvCCdQ1Jw7uu6lJGTnEh//f3OBvZ63VgYJ9J5i5pYrMag\n5dyGzw1Qcw49hD5pAjXUEX69bG1Wv12uU7VjrfdFlK8Z349497hxutvwJgtv9siFxQ3awqnPSXZP\n2xt5AIYd2R6WzpunVAGL4Um3961Z1wAw9chXaDrnWSCYPea9cSnMkNcWtWv419/wMd1V2t5X421+\nng+824bS+BeRbYFAV6AqfzWpDp+lzfZCiA/XhjUmmJ7eJ9scnD7RcaAMldbWSpOJGlJ2wDbKOxqU\nMy6KmWdYXWiM2gDzpOkSLFeLJugsrTNc+X8c2jZraJT1mUh5T6mBf3e7c05zE/nXucybtNb2us56\n3F0bfeq959p5JEw7p3/F1dML15nU+rJnSeWKWfHx31wc/DsPyXYTpCJfbHKaF1IaSZtxqknnMJjY\n+w265kGdL8uWf3Wx4ldcdJ/77SLgGi2zvMPIGpccBSHCLA+vbzsGgAP3ix/jdqBTy6TUBzXBhPB+\n+1MfQonc7vHNFzDwUOmcntqlgb4nOW1v0wh1YJlZc+XnDRsT2uHzaorU7C5739H4AUAHW3l88bIj\nuGGnW4r0hpmyJOl1EJKqsjqgjRRK/l5HpjCzNXHaboKgaukdOqWNf0micqoIazsDXJVRnL8Qohkn\nIiIiogLQ/5L9BJnNm4eag3JsGOVx1ADVsL7LOVeOOXINAE/yMcbMEnFzXl5O3AGPiVOnZbY7f+Xr\nXCAUjhrX3HpkE4v/wdl2ps5ZCsCFLOBT+yXYXxg2V74BU5RYrVvVjL6yMosEKWTywU/bD9kLSye5\n1HdAi7zbAtnWwgv1jv/6iDOcprKBPCxSCU7LWcwoa8YC4O1T0qSKJwIz5NoFcvBW4D1ud+gXXfk6\nDhsNd6rqUUjfuy+sVbvCcFKmpc8DN7jdKa3qGC+iQcwTznHP6v1CFc/iMj+f5mwAPv3Jh5ngrDy8\n8IzyhFsufKvtiMNOq3sBgUO9U9+nkbSkV43XlsqceMln9JBmxcxy5Hbj20O3mCTUfFaP8WFrlBpp\nC1k9HNgvz7BL/AnHvbdCnkKCURNY2EWQRFX6rsZL2zPcZtKolT7OfvMXnNmseGOe8oxzzPUWOUJF\nbzPbBCtoex08r85QPd9GjJm2ImbHsWe5ZT/Xzxd151Vgg9SxT5FoJnulqqS5tRav9VbnQ9G7M0xL\naoJVLZgeQjRTwTxL24DUU+/44CvuZ0TJPiIiIqIC0P+SvQoRtOFZoXTWyxMmdJGaBn6hix/UzQXw\nBEejP9fBtXffDMDti250J84za0CuclLyw3d9mouucrHyyiFdz04f+9p6wIlBKw45gacHOOnwb5qc\nbW/KKPjHH0mQ87zH3sGLlUhLbTZDNQs2TE+zbbeZY7K/wMSNLnT3W/jPkuW5HMKK7Nb5lZRIuwhS\nhgnHVDujnl4PvlmohNmJ9wt0HCaSTxOUrxFgn69lOAjUT8AYknwjY+e85u2Y7U1DzEWi+Tzl3vWE\nXyxhxWfE6aLhlo/20qsx4N4uarOj9Vl1+DrQYj5OWDDealcpCmvjt9HiddaG+5VlMSe/vf0mfXC0\nqMbQSGCvVgffCILNXn30O4Hdoi1pNuxWoFf9A/KMITVByvdF6SJ7HQTRUhdMl9MHe79WS50TTZ8Z\neR5ssdEVCq0zCW2+eQx8SzzCnZofY9evlUJdlAuf6CE13m8jU6PO6UZ8XZr1vZOM99lEeV9LlteG\nU5fK7u9OS2YHl0KQh/rPtxgenDKNXTVq0dqaCO2mn9H/g70mGXRmcIA3ET6aqJv71tZxcZOspnGl\n2/T8Fr5x9E0APPsjp8r/9zwo46IHuHM2K65yHsXNcuP9DIBJztF06iFu8nh03d/y6BCXAt47W3ra\nmXDPbPWeaGjH2yHL+aOQBtBrj9lVTFTNtNQMuv9guEQTN66bbM7TCcIOsLmDbKF8CTlpwO3Subob\ngunA5iZI/sPVc24FYD4X0/b5vmgP+oBnNLRlcvt7GExR1i14wq9Ib+EGoRVVowl6urz/haOpOkIq\nuPRd+a1EOgrJLIqth8ooxm2+gg5cGr9dwg9OGtGypQZKybroIjsiKguJwUwnwxqzr6f0EBykKjg9\nT3CcvybbziKB2kLeZ1XeC0KhzbSQZtQ0iWOC83mM88TJqWSGz2zpyri2Gi/E5fIZr2dNW9LAmuQj\njMc0Ycs0mYx82wStLs5+9RkSOaV10dlGmn8+K0osi/rEkJ6ZuI/05NEDvWKL2aL3y3quNUEV5LR8\nEKL6GdGMExEREVEB6H/JPi/bzh6CdCEqcD1h1lNf51KC00ksHcW9UCMkR9dc5cKrPs4VBIlVZts3\nYT5z5LHutzVMhFan8j6r1K53AIvdsUt/7yTCpzkbzlTJ8Z1S+Caza01Z+oQN+bLmAoVN1VfpwmYv\nJsPfbNifva/eR0V2GzonmsWrDWEZOy/tLoNWRyV7xw7nPb1z+w1U3SxS9I1qwrBmnD6wVHesVOj2\nt3zmaHJinbnsKtVobs64yW9J0zQUoPPFxDFIS/Z78HXRKHUxiKA1+fppDMswZoWZZtIlWIK8pLSf\nQYyX1bY0/aCHEIqsn8neUoMZagjfzNNcv0T4Hjb8NknLoTfQB5LYd+cdTcH3oQ9KvOrdR38RNiSz\nS0092aY3VvZfUdNtD16K1ip4ABO+aB20yT7UgB8zlLpc79Funep63XBC3etvbeYiq/Gpc1ffq4PQ\nb8yKVsMSuQ47x0Bn8vva+pT36e2C58eb439a+PKfgqre3t63PysiIiIi4v81ohknIiIiogIQB/uI\niIiICkAc7CMiIiIqAHGwj4iIiKgAxME+IiIiogIQB/uIiIiICkAc7CMiIiIqAHGwj4iIiKgAxME+\nIiIiogIQB/uIiIiICkAc7CMiIiIqAHGwj4iIiKgAxME+IiIiogIQB/uIiIiICkAc7CMiIiIqAHGw\nj4iIiKgAxME+IiIiogIQB/uIiIiICkAc7CMiIiIqAHGwj4iIiKgA/C88jdC8aUZ2vAAAAABJRU5E\nrkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f62ecd20690>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAVIAAAEACAYAAADhk/4TAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f62ecd20590>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "pylab.pcolor(heatmap[::-1])\n", "pylab.axis('off')\n", "pylab.show()\n", "pylab.imshow(img)\n", "pylab.axis('off')\n", "pylab.show()" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(22940,)" ] }, "execution_count": 54, "metadata": {}, "output_type": "execute_result" } ], "source": [ "preder.shape" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def visualize(dataset):\n", " '''This function visualizes data\n", " \n", " Input : numpy array (image_number, color_channels, height, width)\n", " '''\n", " i = random.randrange(0, dataset.shape[0])\n", " img = np.reshape(dataset[i, ...], ( dataset.shape[2], dataset.shape[3] ))\n", " pylab.imshow(img)\n", " pylab.gray()\n", " pylab.show()" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAP4AAAD9CAYAAACcAsr/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7efdef134b50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "visualize(train3_x)" ] }, { "cell_type": "code", "execution_count": 138, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([0, 0, 0, ..., 0, 0, 0], dtype=int32)" ] }, "execution_count": 138, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pred" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
biocore/scikit-bio-presentations
scipy-2015/A Bioinformatics Library for Data Scientists, Students, and Developers.ipynb
1
20269
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "skip" } }, "outputs": [], "source": [ "%%html\n", "<link rel='stylesheet' type='text/css' href='custom.css'/>" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "skip" } }, "outputs": [], "source": [ "!rm data/converted-seqs.fasta data/converted-seqs.qual data/not-yasf.fna" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "skip" } }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "\n", "def plot_confusion_matrix(cm, title='Confusion matrix', cmap=plt.cm.Blues):\n", " plt.figure()\n", " plt.imshow(cm, interpolation='nearest', cmap=cmap)\n", " plt.title(title)\n", " plt.colorbar()\n", " plt.ylabel('Known taxonomy')\n", " plt.xlabel('Predicted taxonomy')\n", " plt.tight_layout()\n", " plt.show()" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "![](assets/logo.svg)\n", "\n", "# A Bioinformatics Library for Data Scientists, Students, and Developers\n", "\n", "Jai Rideout and Evan Bolyen\n", "\n", "*[Caporaso Lab](http://caporasolab.us), Northern Arizona University*" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## What is scikit-bio?" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "-" } }, "source": [ "A Python bioinformatics library for:" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "- data scientists" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "- students" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "- developers" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "> \"The first step in developing a new genetic analysis algorithm is to decide how to make the input data file format different from all pre-existing analysis data file formats.\" - [Law's First Law](http://www.bioinformatics.roslin.ed.ac.uk/lawslaws/)\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "<span style='line-height:2em; word-spacing:2em'>Axt BAM SAM BED bedGraph bigBed bigGenePred table bigWig Chain GenePred table GFF GTF HAL MAF Microarray Net Personal Genome SNP format PSL VCF WIG abi ace clustal embl fasta fastq genbank ig imgt nexus phred phylip pir seqxml sff stockholm swiss tab qual uniprot-xml emboss PhyolXML NexML newick CDAO MDL bcf caf gcproj scf SBML lsmat ordination qseq BIOM ASN.1 .2bit .nib ENCODE ... </span>" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "<span style='line-height:2em; word-spacing:2em'>Axt BAM SAM BED bedGraph bigBed bigGenePred table bigWig Chain GenePred table GFF GTF HAL MAF Microarray Net Personal Genome SNP format PSL VCF WIG abi ace <span class='supio'>clustal</span> embl <span class='supio'>fasta</span> <span class='supio'>fastq</span> genbank ig imgt nexus phred <span class='supio'>phylip</span> pir seqxml sff stockholm swiss tab qual uniprot-xml emboss PhyolXML NexML <span class='supio'>newick</span> CDAO MDL bcf caf gcproj scf SBML <span class='supio'>lsmat</span> <span class='supio'>ordination</span> <span class='supio'>qseq</span> BIOM ASN.1 .2bit .nib ENCODE ... </span>" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## I/O in bioinformatics is hard\n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "- format redundancy (many-to-many)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "- format ambiguity" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "- heterogeneous sources" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## How can we solve this?\n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "# An I/O Registry!" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Format redundancy (many-to-many)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "from skbio import DNA\n", "\n", "seq1 = DNA.read('data/seqs.fasta', qual='data/seqs.qual')\n", "seq2 = DNA.read('data/seqs.fastq', variant='illumina1.8')\n", "seq1" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "seq1 == seq2" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Format ambiguity" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "import skbio.io\n", "\n", "skbio.io.sniff('data/mystery_file.gz')" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Heterogeneous sources" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "-" } }, "source": [ "#### Read a gzip file from a URL:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "from skbio import TreeNode\n", "\n", "tree1 = skbio.io.read('http://localhost:8888/files/data/newick.gz', \n", " into=TreeNode)\n", "print(tree1.ascii_art())" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "#### Read a bz2 file from a file path:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "import io \n", "\n", "with io.open('data/newick.bz2', mode='rb') as open_filehandle:\n", " tree2 = skbio.io.read(open_filehandle, into=TreeNode)\n", "\n", "print(tree2.ascii_art())" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "#### Read a list of lines:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "tree3 = skbio.io.read(['((a, b, c), d:15):0;'], into=TreeNode)\n", "print(tree3.ascii_art())" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Let's make a format!" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "#YASF (Yet Another Sequence Format)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "!cat data/yasf-seq.yml" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "import yaml\n", "\n", "yasf = skbio.io.create_format('yasf')\n", "\n", "@yasf.sniffer()\n", "def yasf_sniffer(fh):\n", " return fh.readline().rstrip() == \"#YASF\", {}\n", "\n", "@yasf.reader(DNA)\n", "def yasf_to_dna(fh):\n", " seq = yaml.load(fh.read())\n", " return DNA(seq['Sequence'], metadata={\n", " 'id': seq['ID'],\n", " 'location': seq['Location'],\n", " 'description': seq['Description']\n", " })" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "seq = DNA.read(\"data/yasf-seq.yml\")\n", "seq" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "## Convert YASF to FASTA" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "seq.write(\"data/not-yasf.fna\", format='fasta')\n", "!cat data/not-yasf.fna" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## We are in beta - should you even use our software?" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "#YES!" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "## API Lifecycle\n", "![](assets/stability-state-diagram.svg)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from skbio.util._decorator import stable\n", "\n", "@stable(as_of='0.4.0')\n", "def add(a, b):\n", " \"\"\"add two numbers.\n", " \n", " Parameters\n", " ----------\n", " a, b : int\n", " Numbers to add.\n", " \n", " Returns\n", " -------\n", " int\n", " Sum of `a` and `b`.\n", " \n", " \"\"\"\n", " return a + b" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "help(add)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "### What is stable:\n", "\n", "- `skbio.io` \n", "- `skbio.sequence`\n", "\n", "&nbsp;\n", "&nbsp;\n", "###What is next:\n", "\n", "- `skbio.alignment`\n", "- `skbio.tree`\n", "- `skbio.diversity`\n", "- `skbio.stats`\n", "- &lt;`your awesome subpackage!`&gt;" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Sequence API: putting the *scikit* in scikit-bio" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "seq = DNA(\"AacgtGTggA\", lowercase='exon')\n", "seq" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "## Made with numpy" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "seq.values" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "## And a pinch of pandas" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "seq.positional_metadata" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "## Slicing with positional metadata:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "seq[seq.positional_metadata['exon']]" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Application: building a taxonomy classifier" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "aligned_seqs_fp = 'data/gg_13_8_otus/rep_set_aligned/82_otus.fasta'\n", "taxonomy_fp = 'data/gg_13_8_otus/taxonomy/82_otu_taxonomy.txt'" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from skbio import DNA\n", "\n", "fwd_primer = DNA(\"GTGCCAGCMGCCGCGGTAA\",\n", " metadata={'label':'fwd-primer'})\n", "rev_primer = DNA(\"GGACTACHVGGGTWTCTAAT\",\n", " metadata={'label':'rev-primer'}).reverse_complement()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "def seq_to_regex(seq):\n", " result = []\n", " for base in str(seq):\n", " if base in DNA.degenerate_chars:\n", " result.append('[{0}]'.format(\n", " ''.join(DNA.degenerate_map[base])))\n", " else:\n", " result.append(base)\n", "\n", " return ''.join(result)\n", "\n", "regex = '({0}.*{1})'.format(seq_to_regex(fwd_primer),\n", " seq_to_regex(rev_primer))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "import numpy as np\n", "import skbio\n", "\n", "starts = []\n", "stops = []\n", "for seq in skbio.io.read(aligned_seqs_fp, format='fasta', \n", " constructor=DNA):\n", " for match in seq.find_with_regex(regex, ignore=seq.gaps()):\n", " starts.append(match.start)\n", " stops.append(match.stop)\n", " \n", "locus = slice(int(np.median(starts)), int(np.median(stops)))\n", "locus" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "kmer_counts = []\n", "seq_ids = []\n", "for seq in skbio.io.read(aligned_seqs_fp, format='fasta',\n", " constructor=DNA):\n", " seq_ids.append(seq.metadata['id'])\n", " sliced_seq = seq[locus].degap()\n", " kmer_counts.append(sliced_seq.kmer_frequencies(8))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from sklearn.feature_extraction import DictVectorizer\n", "X = DictVectorizer().fit_transform(kmer_counts)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "taxonomy_level = 3 # class\n", "id_to_taxon = {}\n", "with open(taxonomy_fp) as f:\n", " for line in f:\n", " id_, taxon = line.strip().split('\\t')\n", " id_to_taxon[id_] = '; '.join(taxon.split('; ')[:taxonomy_level])\n", "\n", "y = [id_to_taxon[seq_id] for seq_id in seq_ids]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from sklearn.feature_selection import SelectPercentile\n", "\n", "X = SelectPercentile().fit_transform(X, y)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from sklearn.cross_validation import train_test_split\n", "\n", "X_train, X_test, y_train, y_test = train_test_split(X, y,\n", " random_state=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from sklearn.svm import SVC\n", "\n", "y_pred = SVC(C=10, kernel='linear', degree=3,\n", " gamma=0.001).fit(X_train, y_train).predict(X_test)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "from sklearn.metrics import confusion_matrix, f1_score\n", "\n", "cm = confusion_matrix(y_test, y_pred)\n", "cm_normalized = cm / cm.sum(axis=1)[:, np.newaxis]\n", "plot_confusion_matrix(cm_normalized, title='Normalized confusion matrix')\n", "\n", "print(\"F-score: %1.3f\" % f1_score(y_test, y_pred, average='micro'))" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# Acknowledgements\n", "\n", "\n", "[scikit-bio development team](https://github.com/biocore/scikit-bio/graphs/contributors)\n", "\n", "## Funding\n", "\n", "\n", "* Alfred P Sloan Foundation\n", "* National Science Foundation\n", "* National Institutes of Health\n", "* Arizona Board of Regents Technology and Research Investment Fund\n", "\n", "**The Caporaso Lab is hiring postdocs and developers, find us if you want to get paid to work on scikit-bio!**\n", "\n", "**We're having a sprint on Saturday and Sunday!** " ] } ], "metadata": { "celltoolbar": "Slideshow", "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.1" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
open2c/bioframe
docs/guide-performance.ipynb
1
233478
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Performance" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This notebook illustrates performance of typical use cases for bioframe on sets of randomly generated intervals." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import platform\n", "import psutil\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "plt.rcParams['figure.facecolor']='white'\n", "plt.rcParams['font.size']=16\n", "\n", "import bioframe\n", "import pyranges" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Bioframe v.0.3.2\n", "PyRanges v.0.0.115\n", "System Platform: Linux-3.10.0-1160.45.1.el7.x86_64-x86_64-with-centos-7.7.1908-Core\n", "44 CPUs at 3192 GHz\n" ] } ], "source": [ "print(f\"Bioframe v.{bioframe.__version__}\")\n", "print(f\"PyRanges v.{pyranges.__version__}\")\n", "print(f\"System Platform: {platform.platform()}\")\n", "print(f\"{psutil.cpu_count()} CPUs at {psutil.cpu_freq().current:.0f} GHz\") " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Below we define a function to generate random intervals with various properties, returning a dataframe of intervals." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "def make_random_intervals(\n", " n=1e5, \n", " n_chroms=1, \n", " max_coord=None, \n", " max_length=10, \n", " sort=False,\n", " categorical_chroms=False,\n", " \n", " ):\n", " n = int(n)\n", " n_chroms = int(n_chroms)\n", " max_coord = (n // n_chroms) if max_coord is None else int(max_coord)\n", " max_length = int(max_length)\n", " \n", " chroms = np.array(['chr'+str(i+1) for i in range(n_chroms)])[\n", " np.random.randint(0, n_chroms, n)]\n", " starts = np.random.randint(0, max_coord, n)\n", " ends = starts + np.random.randint(0, max_length, n)\n", "\n", " df = pd.DataFrame({\n", " 'chrom':chroms,\n", " 'start':starts,\n", " 'end':ends\n", " })\n", " \n", " if categorical_chroms:\n", " df['chrom'] = df['chrom'].astype('category')\n", "\n", " if sort:\n", " df = df.sort_values(['chrom','start','end']).reset_index(drop=True)\n", " \n", " return df\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Overlap" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this chapter we characterize the performance of the key function, `bioframe.overlap`. We show that the speed depends on:\n", "- the number of intervals\n", "- number of intersections (or density of intervals)\n", "- type of overlap (inner, outer, left)\n", "- dtype of chromosomes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### vs number of intervals" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "11.8 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "15.4 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "65.9 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "862 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "15.8 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "timings = {}\n", "for n in [1e2, 1e3, 1e4, 1e5, 1e6]:\n", " df = make_random_intervals(n=n, n_chroms=1)\n", " df2 = make_random_intervals(n=n, n_chroms=1)\n", " timings[n] = %timeit -o -r 1 bioframe.overlap(df, df2)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.loglog(\n", " list(timings.keys()),\n", " list([r.average for r in timings.values()]),\n", " 'o-',\n", ")\n", "plt.xlabel('N intervals')\n", "plt.ylabel('time, seconds')\n", "plt.gca().set_aspect(1.0)\n", "plt.grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### vs total number of intersections" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that not only the number of intervals, but also the density of intervals determines the performance of overlap. " ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "37.9 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "105 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "383 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "1.57 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "6.66 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "timings = {}\n", "n_intersections = {}\n", "n = 1e4\n", "for avg_interval_len in [3, 1e1, 3e1, 1e2, 3e2]:\n", " df = make_random_intervals(n=n, n_chroms=1, max_length=avg_interval_len*2)\n", " df2 = make_random_intervals(n=n, n_chroms=1, max_length=avg_interval_len*2)\n", " timings[avg_interval_len] = %timeit -o -r 1 bioframe.overlap(df, df2)\n", " n_intersections[avg_interval_len] = bioframe.overlap(df, df2).shape[0]" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.loglog(\n", " list(n_intersections.values()),\n", " list([r.average for r in timings.values()]),\n", " 'o-',\n", ")\n", "plt.xlabel('N intersections')\n", "plt.ylabel('time, seconds')\n", "plt.gca().set_aspect(1.0)\n", "plt.grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### vs number of chromosomes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we consider a genome of the same length, divided into more chromosomes, the timing is relatively unaffected." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "845 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "827 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "825 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "817 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "775 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "804 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "876 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "timings = {}\n", "n_intersections = {}\n", "n = 1e5\n", "for n_chroms in [1, 3, 10, 30, 100, 300, 1000]:\n", " df = make_random_intervals(n, n_chroms)\n", " df2 = make_random_intervals(n, n_chroms)\n", " timings[n_chroms] = %timeit -o -r 1 bioframe.overlap(df, df2)\n", " n_intersections[n_chroms] = bioframe.overlap(df, df2).shape[0]\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note this test preserves the number of intersections, which is likely why performance remains similar over the considered range." ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{1: 809891,\n", " 3: 808730,\n", " 10: 811683,\n", " 30: 807469,\n", " 100: 806720,\n", " 300: 803166,\n", " 1000: 786771}" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "n_intersections" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.loglog(\n", " list(timings.keys()),\n", " list([r.average for r in timings.values()]),\n", " 'o-',\n", ")\n", "plt.ylim([1e-1, 10])\n", "plt.xlabel('# chromosomes')\n", "plt.ylabel('time, seconds')\n", "# plt.gca().set_aspect(1.0)\n", "plt.grid()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### vs other parameters: join type, sorted or categorical inputs" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that default for overlap: `how='left', keep_order=True`, and the returned dataframe is sorted after the overlaps have been ascertained. Also note that `keep_order=True` is only a valid argument for `how='left'` as the order is not well-defined for inner or outer overlaps. " ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "839 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "449 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "df = make_random_intervals()\n", "df2 = make_random_intervals()\n", "%timeit -r 1 bioframe.overlap(df, df2)\n", "%timeit -r 1 bioframe.overlap(df, df2, how='left', keep_order=False)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "456 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "390 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "449 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "df = make_random_intervals()\n", "df2 = make_random_intervals()\n", "\n", "%timeit -r 1 bioframe.overlap(df, df2, how='outer')\n", "%timeit -r 1 bioframe.overlap(df, df2, how='inner')\n", "%timeit -r 1 bioframe.overlap(df, df2, how='left', keep_order=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note below that detection of overlaps takes a relatively small fraction of the execution time. The majority of the time the user-facing function spends on formatting the output table." ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "805 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "386 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "114 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "110 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n" ] } ], "source": [ "df = make_random_intervals()\n", "df2 = make_random_intervals()\n", "\n", "%timeit -r 1 bioframe.overlap(df, df2)\n", "%timeit -r 1 bioframe.overlap(df, df2, how='inner')\n", "%timeit -r 1 bioframe.ops._overlap_intidxs(df, df2)\n", "%timeit -r 1 bioframe.ops._overlap_intidxs(df, df2, how='inner')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that sorting inputs provides a moderate speedup, as well as storing chromosomes as categoricals " ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Default inputs (outer/inner joins):\n", "842 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "386 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "Sorted inputs (outer/inner joins):\n", "694 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "383 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "Categorical chromosomes (outer/inner joins):\n", "607 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "244 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "print('Default inputs (outer/inner joins):')\n", "df = make_random_intervals()\n", "df2 = make_random_intervals()\n", "\n", "%timeit -r 1 bioframe.overlap(df, df2)\n", "%timeit -r 1 bioframe.overlap(df, df2, how='inner')\n", "\n", "print('Sorted inputs (outer/inner joins):')\n", "df_sorted = make_random_intervals(sort=True)\n", "df2_sorted = make_random_intervals(sort=True)\n", "\n", "%timeit -r 1 bioframe.overlap(df_sorted, df2_sorted)\n", "%timeit -r 1 bioframe.overlap(df_sorted, df2_sorted, how='inner')\n", "\n", "print('Categorical chromosomes (outer/inner joins):')\n", "df_cat = make_random_intervals(categorical_chroms=True)\n", "df2_cat = make_random_intervals(categorical_chroms=True)\n", "\n", "%timeit -r 1 bioframe.overlap(df_cat, df2_cat)\n", "%timeit -r 1 bioframe.overlap(df_cat, df2_cat, how='inner')\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Vs Pyranges" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Default arguments" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The core intersection function of PyRanges is faster, since PyRanges object splits intervals by chromosomes at the object construction stage" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [ "def df2pr(df):\n", " return pyranges.PyRanges(\n", " chromosomes=df.chrom,\n", " starts=df.start,\n", " ends=df.end,\n", " )" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "6.01 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "3.16 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "7.64 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "3.78 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "24.5 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "10.9 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "389 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "104 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "6 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "2.26 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "20.1 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "7.79 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "timings_bf = {}\n", "timings_pr = {}\n", "for n in [1e2, 1e3, 1e4, 1e5, 1e6, 3e6]:\n", " df = make_random_intervals(n=n, n_chroms=1)\n", " df2 = make_random_intervals(n=n, n_chroms=1)\n", " pr = df2pr(df)\n", " pr2 = df2pr(df2)\n", " timings_bf[n] = %timeit -o -r 1 bioframe.overlap(df, df2,how='inner')\n", " timings_pr[n] = %timeit -o -r 1 pr.join(pr2)\n", " " ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x2aff1ed1f510>" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAU4AAAEUCAYAAABERt/4AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjQuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/MnkTPAAAACXBIWXMAAAsTAAALEwEAmpwYAABM8UlEQVR4nO3deXhM1xvA8e9klci+WWJJEFGxLxVrJFFamkQVVQRtLaVoUW3tqlqqqn6lRdBSO1H7VkVQRG2tPUIECRIkEtm3+/tjZGpkwkxmJpkk5/M8edq5c+fc9yTx5txz7jlHJkmShCAIgqA2o5IOQBAEobQRiVMQBEFDInEKgiBoSCROQRAEDYnEKQiCoCGROAVBEDRkUtIBGDInJyfc3NzUPj81NZWKFSvqL6BiJupj2ER99Cs6OpqHDx+qfE8kThV27NjBjh07sLe35/Tp02p/LiwsjI4dO+ovsGIm6mPYRH30q0WLFoW+J27VVQgICCAkJARbW9uSDkUQBAMkEqcgCIKGROIUBEHQkEicgiAIGhKJUxAEQUNiVF0QhDJt67lYvtsXwd3H6VS1s2B8F0+6N3XVqkyROLWUnJxMfHw82dnZ2NracuXKlZIOSWdEfdRnamqKi4sLNjY2eilfKJqt52KZ8PsF0rNzAYh9nM6E3y8AaJU8ReLUQnJyMnFxcbi6umJhYUFKSgrW1tYlHZbOPHnyRNRHDZIkkZ6eTmxsLIBIngbku30RiqSZLz07l+/2RYjEqWv5D8AnJSW98Lz4+HhcXV2xtLQspsgEQySTybC0tMTV1ZW7d++KxGlA7j5O1+i4usTgkArqPgCfnZ2NhYVFMUUlGDoLCwuys7NLOgzhGfYVzVQer2qn3b9bkTi1JJPJSjoEwUCI3wXDcjLqEUlpWTz/Y7EwNWZ8F0+tyhaJUxCEMufKvWQG/3YaN6eKzAxqgKudBTLA1c6CWT0ailF1QRCEZ91JSGPAL39T0cyE3z5ohaudBf28a+r0GqLFKSiZPn06MpmMnJycQs8JCwtDJpMRFhamcfn3798nMDAQBwcHZDIZ8+fPL3qwgvCcRymZDPjlbzKzc/ntg1dx1bIvszCixSlorFmzZpw4cYL69etr/NkZM2Zw+PBhVqxYQZUqVTRa71QQXiQlM4f3Vpzi7uN01gxuRd1K+nuUTiROA6OPWQ66ZmNjg7e3d5E+e+XKFRo3bsxbb731wvMyMzMxNzcv0jWE8icrJ4/hq89w6W4yS/o3p4Wbg16vJ27VDUj+LIfYx+lI/DfLYeu52GKP5cqVK3Tr1g1LS0uqVKnC1KlTycvLA1TfqkuSxA8//ICnpydmZmZUqVKFkSNHkpycDMhX087/zNGjR5HJZMhkMqKjo1mxYgUymYwjR47Qq1cv7OzsaNWqFQCnTp2iZ8+eVKtWDQsLCzw9PZk4cSLp6crP4XXs2JF27dqxd+9emjRpgoWFBU2bNuXkyZPk5OQwceJEPDw8cHBwYNCgQaSmpip9Pi0tjc8//xx3d3fMzMxwd3fn66+/VtRZMFx5eRKfbvqXo5EPmdWjIZ3qV9L7NctNi/Obb75h5cqVREZG8vvvv9O9e3e9XevLHZe4fDdZ48+du/2YrFzlf6jp2bl8FnqedX/f1qis+lVtmBbgpXEM+bp3706/fv2YPHky+/bt46uvvsLIyIjp06erPH/SpEnMmjWLjz76iICAAC5fvsyUKVP4999/OXz4MFWqVOHEiRMMGzYMY2Njfv75ZwCqVKmiKKNfv368++67hIaGKvpYb9++TZMmTRg0aBDW1tZcunSJGTNmEBUVxfr165ViuH79OuPHj2fSpElYWVnx2WefERgYSGBgIDk5OSxatIhbt24xfvx4XFxcmDNnDgA5OTl06dJFEXPDhg0JDw/nq6++IiEhge+//77I30dBvyRJ4qtdl9n+710+e92T3i2qF8t1y03i9Pf355133uGDDz4o6VAK9XzSfNlxfRoyZAgfffQR1tbWdO7cmeTkZL7//ns++eSTAucmJCQwb948Bg4cyMKFCwHo0qULzs7OBAcHs3PnTgIDA/H29sba2hoTExOVt/o9e/ZUJLN8b7/9tuL/JUmibdu22NjYMGDAAH766SccHR0V7z969Ijjx49Tq1YtAPLy8ggKCuLmzZv8+eefiimXR44cYdOmTYprrVu3jr/++ovDhw/ToUMHQP77AvDll1/y+eef4+LiosV3U9CXRYdv8OuxaN5v685wn9rFdl2DTZwxMTF8++23nD59mn///Zf09HRu3rypcjDhzp07jBkzhv379yNJEp06dWL+/PnUqFFDcU7+rV9xKGpLr+3sg8SqmArmamfBhmGttQ1LI71791Z63adPH5YtW8bFixcLnBseHk5mZib9+/cv8Jn33nuPw4cPExgY+NJrqur3TE5O5uuvvyY0NJQ7d+4ozcyJjIxUSpx169ZVJE2AevXqAfIk/qx69eqxY8cOJElCJpOxd+9eatasSZs2bZSeJujcuTOTJ08mPDxcrfiF4rXx9B3m7I0gsHFVJnd7pVgnIBhsH+f169fZuHEj9vb2tG/fvtDz0tLS8PPz4+rVq6xcuZJVq1YRGRmJr69vgX4sQze+iycWpsZKx3Qxy6EoKlWqpPJ1/kIWz0pISACUb7sBTExMcHR0VLz/Ms9/HuC9995j8eLFjB49mv3793Pq1Cl++uknADIyMpTOtbe3V3ptZmZW6PGcnBxyc+WLP8THx3Pr1i1MTU2Vvl599VVA3pIVDMufl+OY8PsF2ns4MbdXY4yMinfWlsG2ODt06EBcXBwAy5Yt448//lB53tKlS4mKiiIiIoI6deoA0KhRIzw8PFiyZAljx44ttpi1lT96bgij6nFxcTg7Oyu9BnB1dS3wjKeDg3wE8/79+3h5/dfazsnJ4dGjR0qtwhd5vsWQkZHBtm3bmD59Oh9//LHi+IULFzSrzEs4Ojri7u7Oxo0bVb4vHpkyLKejE/ho7VkaVLVhcf/mmJkUf/vPYBOnkZF634zt27fj7e2tSJoA7u7utG3blm3btpWqxAny5GkIjx9t3LiRjz76SPF6/fr1WFlZ0aBBA/755x+lc729vTE3N2f9+vWKvkGADRs2kJOTg4+PT5FiyMzMJDc3F1NTU6XjK1asKFJ5hXn99dfZvHkzVlZWitt7wTBdi3vC+ytOUdXOgl8GtaSiecmkMINNnOq6dOkSQUFBBY57eXmxadMmjcsLCQkhJCQEkPezvmh2jK2tLU+ePFG8zs3NVXpdGmVmZgKwZMkSUlNTadGiBQcOHGDZsmVMmDABY2Nj0tLSAHk3yZMnTzA1NWXkyJF8//33mJqa0rlzZyIiIpg5cyatW7emQ4cOiu9Lbm4uMplM6fuUf8udkpKidNzIyIiWLVsyd+5c7OzscHR0ZPXq1dy5c0fp+vnlPv/9T0lJUZT/5MkTxfv5dXzy5AkmJiYEBgaybNky/Pz8GDVqFA0aNCArK4ubN2+ye/du1q1bp/bSgRkZGUWaUVUUKSkpxXat4vCy+jxKz2NmeAZGwIj6eVw4faLYYitAKgWWLl0qAdLNmzcLvGdqaip9/vnnBY5PmjRJMjY2Vrz+6quvJFdXV8nMzExydHSUXF1dpXv37r3wus2bN3/h+5cvX1Z6nZyc/MLzS4Np06ZJgHThwgWpffv2UoUKFaRKlSpJkydPlnJzcyVJkqRDhw5JgHTo0CHF5/Ly8qR58+ZJdevWlUxNTaXKlStLI0aMkJKSkpTKb9u2reTj46N07Ndff5UAKTIyskA8N2/elF5//XXJyspKcnZ2lj766CNp586dBa7v4+MjtW3btsBnAWnp0qWSJP3388mvY3Z2tuLc9PR0adq0aZKnp6dkZmYm2dvbSy1atJCmTZumdN7LPP87oU/P1r8seFF9HqVkSn5zD0kNpu2VLt9NKvQ8XXrRv/8ykTi/+OKLAscnTpyolDiLojwmzmeJ+mhOJM6iK6w+qZnZUtDCvySPSbul8BsPiy2eF/37L/W36vb29ipHbRMTEwuMpqpL3RXgBUHQr+zcPEasOcv5mMcs6t+cVrXUG2hUcn4jHJgBSTFgWw38p0Kj3i//3AsY7ONI6vLy8uLSpUsFjl++fLlIi1CA+ivAC4KgP3l5Ep+Hnics4gFfv9WQLl6VNS/k/EbYMRqS7gCS/L87RsuPa6HUJ87AwEDCw8OJiopSHIuOjubYsWNFfmh5x44dDB06VLQ4BaEEzd57ld/PxTLutbq8+2qNl39AlQMzIPu5SSXZ6fLjWjDoW/XQ0FAAzpw5A8CePXtwdnbG2dlZ8YjLkCFDWLhwIUFBQcycOROZTMaUKVOoXr06w4YNK9J1AwICCAgIoEWLFrqpiCAIGgk5coOQI1EMaF2TkX51Xv6BwiTFaHZcTQadOHv16qX0esSIEQD4+PgoHluoWLEiBw8eZMyYMQQHByNJEv7+/syfPx8rK6viDlkQBC39fjaGb3ZfpVvDKkwL8NJuKqVNVUhWsbqYbbWil4mBJ05JktQ6r0aNGmzevFln1xWDQ4JQMg5FxPNZ6Hna1HZk3juNMdZmKmVOFpjbAM8lTlML+QCRFgw6cZYUcasuCMUnf/Hu2MfpyDhFVbsKLAlujrmJ8cs/XBhJgu2j4MEVaDYIbhzQ6ai6SJyCIJSY/MW707PlC65IwKOULA5ciddu6vGBGXB+PfhOAp/PdBPsM0r9qLogCKXXd/siFEkzX0ZOHt/tiyh6oaeWwV/zoNlA6DBeywhVEy1OFUQfpyAUj7sq1p990fGXuroLdo+Huq9Dt3mgpzU6RYtTBfEAvCDonyRJVDBVnYKqFmVb3zt/Q+j7ULUp9PwFjPXXLhSJUxCEEjH/z0jSs/MweW7kvEiLdz+8DmvfAesq8O4GMKuow0gLEonT0JzfCD80gOl28v9qOTXM0OQv6SaUb9v+ieV/ByLp1bwa3/VshOvTFqarnQWzejTUbGAoJR5W95DflvffDFbOL/+MlkTiVKHEplzqaV6tJqZPn45MJuPChQsqtwe+f/8+ZmZm/O9//1P5WUtLSxITE4H/tuzdsWMHTZs2xdzcXLG75cKFC2ndujUODg7Y2dnh7e3Nrl27lMrL31J4yZIlTJ06lSpVqmBnZ0dAQAAxMcozP9LS0hg+fDiOjo5YW1vz1ltvcfz4cWQyWYGFjw8fPoy/vz/W1tZUrFiRLl26FNhLad++fbRp0wZbW1usrKzw9PRkxgztpukJcmdvJzI+9Dyvujvw9VsNeatZNY594ceK1yty7As/zZJmZgqs6SVPnn03gmPxbNgmBodU0Po5zj1fwP0ibO8Qcwpyn2uRZafDtpFwZqVmZVVuCG/M1jyGp160PXD37t1ZsmSJ0nYWubm5LF++nN69eyutSnXt2jVGjx7NlClTqFWrlmKbjejoaAYPHoybmxs5OTns2LGDN998k927d/PGG28oxTJr1izatGnDL7/8Qnx8POPGjaNfv34cPnxYcc7QoUPZtGkT06dPVyy+3K9fvwL12rVrF0FBQXTr1o3Vq1cD8O2339K+fXvOnz9P9erViYqKIjAwkJ49ezJ16lTMzMyIjIxUWg9BKJqYxDSG/naayjYVtN/2IjcbNg2C++ehzzqoVnzPXYvEaUieT5ovO65HL9oeeMSIEfj6+nL06FHFRnq7du0iJiaGDz/8UKmchw8f8scff9CkSROl43PnzlX8f15eHv7+/ly7do3FixcXSJw1a9Zk7dq1itcPHjxg/Pjx3L17l6pVqxIREcHatWuZPXs2n30mf2bvtddeIy0tjQULFiiV9fHHH+Pj48O2bdsUx3x9falVqxbff/898+fP5+zZs2RlZbFo0SJsbGwA8PPzK+J3UsiXkpnD4JWnyczJY/3QFjhUNCt6YZIEO8fA9f3w5nzwfF1ncapDJE59KGpL74cGT2/Tn2NbHd7bVfC4Hr1oe+COHTtSv359lixZokicS5YsoVGjRgX2S3dzcyuQNEG+cMu0adM4deoUDx48UEyv9fQsOCjQrVs3pdcNGzYE4Pbt21StWpWTJ08iSVKBtQ169uyplDivX7/OjRs3mDhxotKGc5aWlrRu3ZojR44A0KRJE0xNTenTpw/vv/8+HTp0EPuqayk3T+LjdeeIjE/h10EtqeNirV2Bh7+Fc6vkz2m2eE83QWpA9HEaEv+p8nm0z9LBvNqieNn2wMOHDyc0NJRHjx5x69Yt9u7dW6C1Caq3/L1z5w7+/v4kJCSwYMECjh8/zqlTp3j99dcLbPkL/+2imc/c3Bz4b6+ie/fuARRIbs/X4eHDhwB88MEHBbYC3rlzp2Ib4Dp16rBv3z7y8vIIDg6mcuXKtGrVSqlrQNDM7D1XOHA1nukB9elQV8vBm7O/QdgsaNxXPjOoBIgWpwol9gB8/vxZHa9WXRQv2h4YYMCAAUyYMIEVK1aQmJiIhYWFyj5FVSvb7N27l6SkJDZu3Ei1av+tUpO/CZym8pNzfHw87u7uBWLOl5+AZ82aRadOnQqUk78PO8hv3319fcnMzOTYsWNMnTqVbt26ER0djZOTU5HiLK/W/32bpUdvMrB1TYJbu2lX2LU/YMcnUNsPAn/U2wPuLyMSpwolushHo94lkiif96LtgQFsbGzo168fS5YsISUlhb59+yr6A18mP0E+u+3vtWvXOHbsmFIiVVerVq2QyWRs2rRJ0ccJFNjl1MPDAzc3Ny5dusQXX3yhVtnm5ub4+fmRkpJCUFAQN2/eFIlTA8dvPGTy1ot0qOvMlDeLtiODQuxZ2DQQKnlB79/A2PTln9ETkTgFlZYuXUp6ejrt2rVj3759LFu2jOnTp2NnZ6c4Z8SIESxZsgRA5W16YTp16oSJiQkDBgxg3Lhx3Lt3j2nTplGjRg3y8vI0jtXT05O+ffsyZcoU8vLyaN68OQcPHmTHjh2AfJthkLd+f/rpJ4KCgsjKyqJ37944OTkRFxfH8ePHqVGjBmPHjmXx4sUcOXKErl27Ur16dR4+fMisWbOoWrWq4g+H8HI3H6YyfPVZ3J0qsrBvU0yMtegZTLgJa3uDpRP02wTmWvaRakkkTkGlbdu2MWLECObMmYOtrS2TJ09mypQpSuc0atSIunXrYmNjQ7NmzdQu28vLizVr1jB16lQCAwOpXbs2s2fPZu/evUXeJzwkJARra2vmzJlDVlYWfn5+/PTTT7z55ptKU2e7du3KkSNH+Prrrxk8eDDp6elUrlwZb29v3nnnHQAaN27Mnj17mDBhAvHx8Tg4ONCuXTvWrFmDhUURpgKWQ0lp2Xyw4hTGRjKWD2yJTQUtWoepj2D125CXI3/A3boIew/pWvFstFk6lcftgZ/dc/xl9YmIiJBkMpm0bNmyYopOM3PmzJFkMpl069YtSZLE9sDFJSsnV3o35IRUZ+Iu6WTUI7U/p7I+mamStNRfkr5ykaRbJ3QXpBrK9PbAQvGLiYnh+vXrTJs2jSpVqtC3b9+SDomdO3dy8eJFmjRpgpGREUePHmXu3Ln07t2bGjWKuNGXoDFJkpi2/RLHbzxibq/GvOru8PIPFSYvFzYPhpjT8j7NGt4v/0wxEY8jCRpbtmwZfn5+xMXFsXbtWoO4fbW2tmbr1q306dOHbt26sWrVKkaPHl1guqWgX78ei2btydsM71ibns212NdHkuTLw0Xsgje+hfpF27FWX0SLU4XyvB7n9OnTmT59utbnFDcfHx/Cw8NLOoxy7dDVeGbuukwXr0qM76zh6kbP++sHOL0c2oyGVkXbrVaftGpx5j8wXNaI9TgFQTMR958wat05Xqliww/vNMFIm03W/l0PB76EBj2h05e6C1KH1EqcS5cu5bvvvlO8vnDhAtWqVcPFxYUWLVpw//59vQVo6CQ1d+IUyr7y+rvwMCWT91ecwtLMmOUDW2JppsWN7I1DsO0jcGsP3X8GI8PsTVQrqgULFij1Y40dOxY7Ozvmz59PUlISU6cW/5RAQ2Bqakp6ehGX+BfKnPT0dKWH+suDjOxchq06w6PUTJYNbEFl2wqaF/J0DVqfsCBY9RZUrAR91oCJue4D1hG1/jTcvn2bevXqAZCUlMThw4fZunUrXbt2xdHRkQkTJug1SEPl4uJCbGwsrq6uBjFAIpQMSZJIT08nNja2wPz4skySJL7YfJ4ztxL5uV8zGlWz07yQ/DVos9OR39xLkP4Iru0ziBl0hVErcebm5ipmX/z111/IZDI6duwIQPXq1YmPj9dbgIYsf4rh3bt3yc7OJiMjgwoVivAX10CJ+qjP1NSUSpUqqT3ttCz46dB1tv5zl08716Vrw4KLuajlwAz5mrPPysmQHy/tidPDw4Ndu3bh5+fH+vXradOmDZaWloA8aTy/ek15YmNjo/jHEhYWRtOmTUs4It0R9REKs/vCPeb+cY23mrrykW+doheUFKPZcQOhVuL89NNPCQ4OZuXKlSQmJiotnnDo0CEaNWqktwAFQTAs52MeM3bjPzSvac+sHg1VroClNgs7SE8seNxWi2dAi4FaibNv377UqFGDkydP0rJlSzp06KB4r1KlSgQGGtbDqYIg6Me9pHQGrzyNk5U5S4KbU8HUuOiF3b8AGckgMwLpmcVdSmgNWk2o/dxAu3btaNeuXYHjX35pmM9ZaaM8PwAvCIVJy5JvfZGWlcuqD1rhZKXFqHfmE/l+QRWdocNncOwHpKQYZCW4Bq0mCk2ct2/f1qigsjQfuETX4xQEA5SXJzFmwz9cuZfM8oEt8aysxbJu+fsFJUTBgO3g3h5e/YDDYWGKQWdDV2jidHNz06jvIjc3VycBCYJgeL77I4J9l+KY+mZ9fOtpuf/S2d/gwibwnSxPmqVQoYnzl19+USTOzMxMZs6ciY2NDb1796ZSpUrcv3+fjRs38uTJkwLrNAqCUHaEnolhUdgN+raqwXtt3bQr7P5F2PMZ1PKF9mN1El9JKDRxDho0SPH/n3zyCc2aNWPLli1KrdCpU6fSvXt3Ll++rNcgBUEoGX/fTGDC7+dpW8eRLwO9tBtBz0yR92tWsIUeIWCkxcBSCVNryuW6desYNmxYgW+aTCbjww8/VNrzWhCEsuH2ozSGrTpNdXtLfu7bHFNttr6QJNg1FhJuwNvLwKp0b7es1nciJSWFBw8eqHwvPj6e1NRUnQYlCELJSs7I5v2Vp8iTYPmglthaajkH/9wqOL8BOk4A9w4vP9/AqZU4O3bsyMSJEzl16pTS8b///ptJkyaVmpEwQRBeLic3j5FrzxH9MJXF/Zvj7lRRuwLjLskXJXb3gfbjdBNkCVMrcS5cuBBzc3O8vb1xc3OjVatWuLm50bp1aypUqMDChQv1HacgCMVk5q4rHLn2gJndG9C6tqN2hWWmwMaB8n7Nt5eV6n7NZ6mVON3d3bl69SqLFy/G398fR0dH/P39WbJkCVeuXMHNzU3PYWrnxo0btGvXjrp169K0aVNOnz5d0iEJgkFadSKaFcejGdLenT6vavlsdhnr13yW2jOHTE1NGTJkCEOGDNFnPHrx4YcfMmjQIAYPHsz+/fvp168fV69e1W6EUBDKmKORD5i+4zL+9Vz44o1XtC/w3Oqn/ZoTy0S/5rMMcnnlmJgYRo0aRevWrbG0tEQmkxEdHa3y3Dt37tCzZ09sbW2xsbGhR48eSrOeHjx4QHh4OAMHDgTgtddeA+DMmTN6r4cglBbX458wYs1ZPFys+N+7TTHWZusLgLjLT/s1O0CHT3UTpAFRK3FmZWXx5ZdfUq9ePSwtLTE2Nlb6MjHR7Z5v169fZ+PGjdjb29O+feEzC9LS0vDz8+Pq1ausXLmSVatWERkZia+vr2Kk//bt21StWlVpZe6aNWtqPKVUEMqqhNQs3l9xGnMTY5YNbIGVuZb/njNTYNNAMLeGHmWnX/NZan2Hxo8fz08//cQbb7xBjx49MDfX75L2HTp0IC4uDpBvRfvHH3+oPG/p0qVERUURERFBnTryNQEbNWqEh4cHS5YsYexY1TMTyuveMILwvKycPD5cfYb7yRmsH+pNNXtL7QqUJNg1Dh5GwoBtYF02V8RXK3GGhoby5ZdfMmnSJH3HA6BYbf5ltm/fjre3tyJpgnwgq23btmzbto2xY8dSo0YNxQrt+a3OW7dulalFSQShKCRJYtKWC/x9M4H/9WlCsxr22hf6zxo4v17+vGYtH+3LM1BqJc6UlBRat26t71g0dunSJYKCggoc9/LyUiy27OzszKuvvsqKFSsYMmQI+/fvR5IkmjdvrrLMkJAQQkJCAHlfa1hYmNrxpKSkaHS+oRP1MWza1mf3zSw2RWQTVNsU28eRhIVFahWPZeptmp8ZR7JdI/6VWoKGsZWqn4+khn79+knTpk1T51SdW7p0qQRIN2/eLPCeqamp9Pnnnxc4PmnSJMnY2Fjx+tq1a1Lr1q0lDw8PqXHjxtLJkyfVunbz5s01ivXQoUManW/oRH0Mmzb12XvxnuT2xU7pozVnpLy8PO2DyUyRpAUtJWlOHUlKvl+kIgzt5/Oif/9qtThHjRrFgAEDMDIyomvXrir3GKpVq5auc7paVD1SJD3Xh+nh4cHx48eLKyRBMGiX7ibxyfp/aFTNjrm9Guvmsbxdn8LDazBga5nt13yWWokz/zZ9+vTpha74XhLrcdrb25OQkFDgeGJiIvb2Re+vESvAC2VVfHIGg1eexs7SlKXabn2R79wa+Hct+HwBtTpqX14poFbifHZtTkPi5eXFpUuXChy/fPky9evXL3K5YgV4oSzKyM5lyG+nSUrPZtOHrXGx0cFWyfFX5KPobu3B5zPtyysl1Eqcz67NaUgCAwP59NNPiYqKUnQVREdHc+zYMWbPnl3kckWLUyhr8vIkxm36l/OxSYQEt8Crqq32hWalytfXNLcqU/PQ1aHRk66SJHH58mUSEhJwdHTklVde0VtLNDQ0FPhvhs+ePXtwdnbG2dkZHx/5Yw5Dhgxh4cKFBAUFMXPmTGQyGVOmTKF69eoMGzasyNcWLU6hrJl/IJJd5+8x4Y16vFZfR32Qu8fDgwgI3gLWlXVTZimhduJctmwZkydPVlqX08XFhZkzZ/LBBx/oPLBevXopvR4xYgQAPj4+ikcWKlasyMGDBxkzZgzBwcFIkoS/vz/z58/HyspK5zEJQmm07Z9YfjwQSe8W1RjaQUeDuOfWyJ/Z9PkcavvqpsxSRK3EuWbNGoYOHYq/vz/9+/encuXK3L9/X3Hc0tKSd999V6eBPT8yXpgaNWqwefNmnV5b3KoLZcWZW4mMDz1PK3cHZnZvqJs7xPirsPvTp/2an2tfXimkVuKcM2cO/fr1Y9WqVUrHBw4cSHBwMN9++63OE2dJErfqQlkQkyjf+qKKbQUW92+OmYkO1vTJSpXPQzerWO76NZ+l1ncyIiKC/v37q3yvf//+RERE6DQoQRC0k5KZw+CVp8nMyWP5wJbYVzTTTcG7P5P3a/YIKXf9ms9SK3FaW1sTExOj8r2YmBisrbXYnF4QBJ3KzZMYve4ckfEpLOrXnDouOurv/2ct/LNavkxcbT/dlFlKqZU433jjDSZOnMjRo0eVjp84cYLJkyfzxhtv6CW4krJjxw6GDh0q+jiFUmnW7iscvBrP9EAv2nk46abQ+Kvy5zVrtpM/6F7OqZU458yZg62tLR07dqRGjRq0atWKmjVr0q5dO2xsbJgzZ46+4yxWAQEBhISEYGurg2fdBKEYrf/7Nsv+usmgNm4Ee9fUTaFZafLnNU0t5f2axrpdf7c0Uus7ULlyZf755x9++eUXjh49SkJCAm5ubvj4+DBo0CAsLbVcw08QBK0dv/GQyVsv4lPXmcnddLD1Rb494+HBVei/GWyq6K7cUkztPx2WlpaMHDmSkSNH6jMeQRCKIOpBCsNXn8XdqSIL+jbFxFhHu+L8s06+d1D7T6GOv27KLAPU+u6Gh4ezceNGle9t2rSJkydP6jSokib6OIXSJCktm8ErT2NsJOOXQS2xqWD68g+p40GEfJfKmm3lCxMLCmolzgkTJqhcTAPgypUrTJhQtr6poo9TKC2yc/MYvuYMMYnpLAluTnUHHXWbKfVrLhf9ms9RK3H++++/eHt7q3zv1Vdf5fz58zoNShCEl5MkianbLnH8xiNmv92Qlm4F18ktsj2fyVc+6hEi+jVVUOvPSEZGBnl5eSrfy83NVewoKQiC/m09F8t3+yKIfZwO3KbTKy70aFZNdxf4dz2cWwXtx4l+zUKo1eJ85ZVX2L59u8r3tm/fjqenp06DEgRBta3nYpnw+4WnSVPu2PWHbD0Xq5sLPLgGO8dCjTbQcaJuyiyD1GpxfvjhhwwbNgwbGxuGDBlCtWrViI2NJSQkhOXLl/Pzzz/rO85iJRb5EAzVd/siSM9W3m0hPTuP7/ZF0L2pq3aFZ6XJ56GbVoCeol/zRdT6zgwZMoSIiAh++OEH5s2bpzguk8kYM2YMQ4cO1VuAJUEs8iEYqrvPtDTVOa6RvZ9D/OWnz2tW1b68MkztPylz585l+PDh7N+/n4SEBJycnOjUqVOJbdImCOVNVk4epsZGZOUWHG+oamehXeHnN8LZ36DdWKjTSbuyygGN2uK1a9emdu3a+opFEIRCSJLEpC0XyMrNw9RYRnbuf+vVWpgaM76LFuMMDyNhxydQozX4TtI+2HJA7ekFqamp/Pjjj/Ts2RM/Pz8iI+Wb169fv56rV6/qLUBBEGDZ0ZtsOhPDaH8PvuvZGNenLUxXOwtm9WhY9P7N7HTY+LRfUzyvqTa1vkt37tyhY8eOxMTEUK9ePS5evMiTJ08AOHToEH/++SfLli3Ta6CCUF4dvBrHN3uu0LVhZT7x98DISEb3pq6EhYXRsWNH7Qrf8znEX4J+m8FWy8GlckStFue4ceMwNzcnMjKSM2fOKG1r4ePjw5EjR/QWYEkQUy4FQ3Et7gmj1/2DV1Ubvu/VBCMjHW6OeH4TnF0J7caAh+jX1IRaLc79+/cTEhJCjRo1yM1VfhTC1dWV2FgdPUNmIMSoumAIHqVk8sHKU1iaGbN0QAsszHS4TcXDSNj5CVT3Bt/Juiu3nFArcWZlZRW6yntSUhKmpjpaVEAQBEA+gj589VnikzPZOKw1VWy1HDV/Vna6fB66sRn0/EX0axaBWrfqjRo1KnQnyT179tC8eXOdBiUI5ZkkSUzeeoG/oxP4rldjGle30+0F9n4BcRfl89BFv2aRqPWnZvz48fTs2ROAvn37AnD58mW2bdvG8uXLC52OKQiC5pb/dZONp2MY7VeHwMY6fhD9QiicWQFtPwGP13RbdjmiVuLs0aMHP//8M1988QW//PILAAMGDMDa2pqFCxfy+uuv6zVIQSgvDl2N55vdV3ijQWU+6VRXt4U/vA47Ppb3a/qJfk1tqN258eGHHxIcHMyJEyeIj4/H0dGRNm3aiB0uBUFHrsU9YdS6c7xSxYbvezfW7Qi6Ur/mcjAW4xLa0KhXuGLFinTqJB5bEARdS0jN4oOVp7AwM2bZwBZYmul4wGbvBIi7AH03ga0Ol6Arp9QaHNq2bRu//vqr4vWtW7do3bo11tbW9OzZk5SUFL0FKAhlXVZOHh+uPkN8ciZLB7TQ7Qg6PO3X/BXafgx1O+u27HJKrcQ5c+ZMHjx4oHg9duxYYmJiGDp0KEeOHGH69On6ik8QyjRJkpiy9SJ/30xgTs9GNNH1CPqjG0/7NVuB3xTdll2OqZU4b9y4QaNGjQBIT09n9+7dzJs3j++//55vvvmGLVu26DXI4iZmDgnFZflfN9lw+g6j/OoQ1ETHjwZlZ8jnoRubPn1eU/Rr6opaiTMjIwMLC/ntw/Hjx8nJyaFzZ3mT39PTk7t37+ovwhIgNmsTisOzI+hjdD2CDrDvab/mW0tEv6aOqZU43dzc+OuvvwB5f2fz5s0VSSU+Pl4kGEHQkF5H0AEubobTv0Cb0VC3i27LFtQbVR82bBiffvopW7Zs4Z9//mHRokWK906cOEH9+vX1FqAglDV6H0F/dAO2fwzVXgX/qbotWwDUTJwff/wxTk5OhIeHM3r0aAYMGKB478mTJ7z33nt6C1AQypL8EfS45Ew2DPXW/Qh6doZ83yBjE9GvqUdq/6nr168f/fr1K3B8yZIlOg1IEMoq+T7o8hH0//VpQtMa9rq/yL6JcP8CvLsB7KrrvnwB0GAFeEEQtPPLsWjWn7rDSF89jKADXPwdTi+HNqPAU0yD1ieROAWhGByKiOfrXZd53asyY1/Twwj6oxuwfTRUawn+03RfvqBEJE5B0LPIuCeMXisfQZ/3jh5G0LMz5PPQjYyh56+iX7MYlIvE+c033+Dp6YmRkRFbt24t6XCEckQ+gn4ac1P5Ku46H0EH+GMS3D8Pby0W/ZrFpFwkTn9/f3bv3k2HDh1KOhShHJGv4n6G+8kZLB3QXPu9z1W5tAVOLYPWI8HzDd2XL6hU7IkzJiaGUaNG0bp1aywtLZHJZERHR6s8986dO/Ts2RNbW1tsbGzo0aMHt2/f1viarVq1EvvBC8VKkiSmbb/IyZsJfNezkW5H0M9vhB8a4BMWBJveA3t36DRdd+ULL6V14vTz86Nfv36cOXNGrfOvX7/Oxo0bsbe3p3379oWel5aWhp+fH1evXmXlypWsWrWKyMhIfH19SU1N1TZsQdCrX45Fs+5vPYygn98IO0ZD0h3kPaUSPLknb3kKxUbrxBkVFcX+/ftp2bKlWivBd+jQgbi4OHbv3k2vXr0KPW/p0qVERUWxdetWunfvTlBQENu3b+fWrVtKz442a9YMJycnlV937tzRtnqCoLH8EfQuXpV0P4J+YIZ8UeJn5WTIjwvFRuue6vzb7GvXrqm1v7qRkXq5evv27Xh7e1OnTh3FMXd3d9q2bcu2bdsYO3YsAGfPntU8aEHQk+vx8hH0epVt+OEdHe+DDpAUo9lxQS90NsRXt25d6tbV3V/XS5cuERQUVOC4l5cXmzZt0tl1nhcSEkJISAgg748NCwtT+7MpKSkanW/oRH00LD9LYkZ4OjIJPqibzd/H/9LtBSSJdsYWmOSmFXgrw9yJ8FL+sypNv28aJc6HDx8SHh7Oo0ePCAgIwMHBgYyMDMzMzNRuSaorISEBe/uCHeoODg4kJiZqVNbMmTNZvHgxDx484OLFi4wcOZLTp09TuXLlAucOHTqUoUOHAtCiRQs6duyo9nXCwsI0Ot/QifqoLysnj+DlJ3mclcH6od400/V0SkmC/VMgNw1kxiDl/veeqQUVun1Dx0YddXvNYlaaft/UynaSJDF+/HiqVatGYGAg77//vuIWPSgoiK+//lovwclkBW9zJEnSuJzJkycTExNDZmYmDx8+JCYmRmXSFISieHYEfc7bjfSTNPdOgOMLoOUQ+fOattWRkIFtdQj4ERr11u01hRdSK3HOmjWLhQsXMnXqVE6ePKmUvAICAti5c6fOA7O3tychIaHA8cTERJUtUV0SK8ALmvj16Qj6R7616d5Ux3PQ8/Jg93g4uQi8R0DX7+RJcsxFDnfcCmMuiqRZAtS6VV+2bBlTp05lwoQJ5ObmKr1Xp04dbty4ofPAvLy8uHTpUoHjly9f1vv6nwEBAQQEBNCiRQu9Xkco/cIi4pn5dAR93Gueui08Lw92jYEzK+QLEr82A1TchQnFT60WZ2xsLN7e3irfMzMz08tzlYGBgYSHhxMVFaU4Fh0dzbFjxwgMDNT59Z4lWpyCOq7HP2HU2nN4VrZhXm8dj6Dn5cKOUfKk2X6cSJoGRq3E6erqysWLF1W+9++//+Lu7q7RRUNDQwkNDVU8NL9nzx5CQ0M5fPiw4pwhQ4bg5uZGUFAQ27ZtY/v27QQFBVG9enWGDRum0fU0JfYcEl4m8Zk56MsGtqCiuQ7noOflwtYRcG41+Hwh351SJE2DotZPu1evXsyYMYNmzZopWp4ymYxr167x/fffK0ah1fX8g+8jRowAwMfHR/E4QsWKFTl48CBjxowhODgYSZLw9/dn/vz5WFlZaXQ9QdClrJw8hq85w73HGawb6o2rLueg5+bAlmFwMRR8J4PPeN2VLeiMWolz+vTpHD9+nA4dOlCzZk1Anvzu3LlDmzZt+OKLLzS6qLoj4zVq1GDz5s0ala0LO3bsYMeOHeJWXShAPoJ+ifCoBH54pzHNa+pwoDI3GzYPhstb5XPP243RXdmCTqmVOC0sLAgLC2Pt2rXs27ePOnXq4OjoyJQpU+jXrx8mJnpYKqsEicEhoTArjkez7u/bjOhYm7ea6nDL3ZwsCH0Pru6Ezl9Dm5G6K1vQObUznrGxMcHBwQQHB+szHkEwWGER8Xy18zKd61fi0846HEHPyYSNA+HaHnj9W/D+UHdlC3pRpKZiXl5egWO6njkkCIbk2RF0nc5Bz86AjcEQ+Qd0+x5aDtZNuYJeqZXt0tPT+eKLL6hduzbm5uaYmpoqfZmZmek7zmIlHkcSnvXfCLqRbkfQs9Nh/bsQuR8C/ieSZimi1m/AiBEjWLNmDQEBAfTp06fMJcrniT5OIV92rp5G0LNSYV0fuHkUgn6CpgW33hYMl1qJc/v27cydO5fRo0frOx5BMBh6G0HPTIG1veH2CXhrCTR+RzflCsVGrcRpbm7OK6+8ou9YBMGgrDwezdqTtxmuyxH0jGRY0wtiTkGPpdCwp27KFYqVWn2cgwYNYv369fqOxWCIPk7h8LUHzNh5mdfqV2K8rkbQM5JgdQ+IPQ09fxFJsxRTq8X51VdfMXz4cDp37kyXLl1Urk70/vvv6zy4kiL6OMu36/EpjFx7lrqVrJmvqxH09ERY9Rbcvwi9VsArAdqXKZQYtRLnmTNn2L59O/Hx8fz5558F3pfJZGUqcQrl1+O0LAavPIW5iQ5H0NMS4LcgeHAV3lkltvEtA9T6rfjwww9xdHRk6dKl1KtXr8yPqgvlU3ZuHiPWnOXu0xH0avaW2hea+lCeNB9GQp+14PGa9mUKJU6txHn16lVCQ0Pp2rWrvuMRhBKRP4J+/MYj5vXW0Qh6SjysDITEm/DuOqjjr32ZgkFQK3F6enqWq73MxSIf5U/+CPqHPrXp0UwHI+hP7sPKAPnuk303Qi0f7csUDIZao+qzZ89m5syZ3Lp1S9/xGASxHmf5cuTpCHqnVyrxWRcdjKAn34UV3SApFvqFiqRZBqnV4pw5cybx8fGKLYCfH1WXyWRKixALQmlxPT6Fj/JH0PvoYAT98R15SzP1IQT/DjVU75wglG5qJU5jY2Pq1aun71gEoVg9P4Jupe0IeuItWPkmpD+G4C1QvaVO4hQMj1q/KaVlk3hBUJfyCHor7UfQE6LkA0GZyTBgG7g2002ggkEqWysQC4IaJEli+tMR9O97NaZ5TQftCnx0A1a8CTnpMHAHVGmsm0AFg1Vo4jxy5AjNmjXDysqKI0eOvLSgDh066DSwkiRG1cu2307cYs3J2wzzqcXbzbUcQX9wTd6nmZctT5qVG+omSMGgFZo4O3bsSHh4OK+++iodO3ZEVsgue5IkIZPJCuy3XpqJKZdl19HI/BF0Fz7romW/ffwV+e05EgzcCZXq6yRGwfAVmjgPHTpE/fryX4SDBw8WmjgFwdBtPRfLd/siiH2cjoy/qWxjzvw+TTHWZgT9/kX5jCAjY3nSdNbhVhqCwSs0cfr4/PfsWceOHYsjFkHQua3nYpnw+wXSs+V3RBKQkJbNn5fj6N7UtWiF3jsvT5omFeS35051dBewUCqo9QB8rVq1+Pfff1W+d/HiRWrVqqXToARBV77bF6FImvkyc/L4bl9E0Qq8e07ep2lqCe/tEkmznFJrVD06OprMzEyV72VkZJSbGUVC6XI9PoXYx+kq37tbyPEXijkjXxrOwlbe0rR30y5AodRS+3Gkwvo4T58+jZ2dna7iEQSt3XyYyo8HItn2Tywy5Lfnz6uq6d5Bd/6G1W+DpYO8T9Ouui5CFUqpQhPnDz/8wA8//ADIk2ZAQECB5eTS09NJSEigT58++o1SENRw61EqPx64zpZzMZiZGDG4fS2qO1jwza6rSrfrFqbGjNdkTvqt4/LtLqwqyVuatkXsGxXKjEITZ61atfD3ly+DtXLlSlq0aIGzs7PSOebm5tSvX5/Bg8W2pkLJuf0ojQUHI/n9XCwmRjLeb+vOMJ/aOFubA2BtbqoYVXe1s2B8F0/1B4ZuHpVvrGZbDQZsB5sqeqyJUFoUmjiDgoIICgpSvJ46dSru7u7FEpQgqCMmMY2FB68TeiYGIyMZA1rXZLhPbVxsKiid172pK92buhIWFqbZEyI3DsG6d8G+prylaeWi2woIpZZafZy//vqrvuMwKGLmkGGLfZzOT4eus+n0HWTI6NeqBsM71qGybYWXf1hd1/+E9f3AoTYM3A4VnXRXtlDqibnqKoiZQ4bpXlI6Px+6wYZTd5CQeKdldUZ0rKP5QM/LXNsHG/rLH2oP3gYVHXVbvlDqicQpGLy45AwWhd1g7cnb5EkSvVpU5yPf2rrZE+h5V3fBxoFQyUu+NJyllguACGWSSJyCwYp/ksHisCjWnLxFTp5Ez2bVGOlXh+oOekiYAJe3Qej7UKUJ9N8MFnb6uY5Q6onEKRicB08yWXL4BqtP3iI7V6JHU1dG+XlQw1FPCRPg4mbYPASqtZBvd1HBRn/XEko9kTgFg/EoJZOQI1H8duIWmTm5dG/qymg/D9ycKur3wuc3wpZhUN0b+m0Ec2v9Xk8o9UTiFEpcYmoWIUejWHk8mvTsXIIaV2WUvwe1na30f/F/1sLWEeDWDvpuADM9J2mhTBCJUygxj9OyWHb0Jr8eu0ladi5vNqrKx/51qONSTC2+s7/B9tHyXSj7rAMzPXYFCGWKSJxCsUtKz2b5Xzf59a+bPMnMoVvDKnzcyYO6lYrxFvnUctg1Fup0gndWg6mOH2kSyrQynzgzMjLo06cPERERmJubU6lSJRYtWiSWwisByRnZ/PLXTZb/dZMnGTm87lWZjzt58EqVYh6IORkCe8aDRxfo/RuY6vDBeaFcKPOJE2D48OF06dIFgIULFzJ48GAOHjxYwlGVH08ysllxLJqlR6NIzsihc/1KfNzJA6+qtsUTwPmNcGAGPkkxEG4LGY/Bsxv0WgEmZi/7tCAUoNZCxroUExPDqFGjaN26NZaWlshkMqKjo1Wee+fOHXr27ImtrS02Njb06NGD27dva3S9ChUqKJImgLe3N1FRUdpUQVBTamYOPx26Tvs5h/h+/zVedXdg56h2hAxoUbxJc8doSLqDDEmeNGXG8EqASJpCkRV7i/P69ets3LiR5s2b0759e/744w+V56WlpeHn54e5uTkrV65EJpMxefJkfH19OX/+PBUrFm30c8GCBUqLlwi6l5aVw28nbhFyJIqE1Cx8PZ35pFNdGle3K/5gDsyA7OcWLZZy4dDX0OTd4o9HKBOKPXF26NCBuLg4AJYtW1Zo4ly6dClRUVFERERQp458e4JGjRrh4eHBkiVLGDt2LADNmjUrtBV67tw5qlf/b8HZWbNmce3aNQ4cOKDLKglPpWflsjr8FosP3+BRahYd6jozppMHTWvYl1BAiZB0R/V7STHFG4tQphR74jQyUq93YPv27Xh7eyuSJoC7uztt27Zl27ZtisR59uxZtcqbO3cumzdv5s8//8TSUjx2oksZ2bmsOXmbRWE3eJiSSbs6Tox5zYPmNUtonvfjOxD+M5xZWfg5tlrupy6UawY7OHTp0iWVt9ReXl5s2rRJo7LmzZvHunXr+PPPP1+6zUdISAghISGAvD82LCxM7eukpKRodL6he1l9snIlDt/JYdfNbB5nSrziYMTQVhWoa5/Ok5vnCbtZfLECVEyJpvqdLVSKO4IkkxHv0p5Uy2q43dqEcd5/e2blGpkTUbUX8aX8Z1Xeft8MicEmzoSEBOztC97iOTg4kJiYqHY5MTExjBs3jlq1auHr6wuAiYkJp0+fVnn+0KFDGTp0KAAtWrTQaOFbjRfKNXCF1SczJ5cNp+7w86Eb3E/O4lV3B8Z0qkvr2iWw/Jokwc3DcOxHuHEAzKzAezgy7+FUzt8X6LwPHJiBlBSDzLYaxv5Tqd+oN/WLP1qdKi+/b4bIYBMnqN4gTpJUbb1VuGrVqmn8GUG1rJw8Np6+w0+HrnMvKYOWbvbM692Y1rUdC93MT29yc+DyVjj+I9z7Fyq6gP9UaPE+WDz3B7dRb2jUm8Ol6B+mYNgMNnHa29uTkJBQ4HhiYqLKlqgulfcV4Leei/1vj57wg4x9zYOsXImFB68T+zidZjXsmNOzEe3qOBV/wsxKhXOr4cRCeHwbHOtAwI/Q6B3xILtQbAw2cXp5eXHp0qUCxy9fvkz9+vq9ySrPK8BvPRfLhN8vKHaFjH2czqebziMBjavb8fVbDfCp61z8CTP1IfwdIv9KT4TqreD12VD3DVBzwFEQdMVgE2dgYCCffvopUVFRiumR0dHRHDt2jNmzZ+v12uWxxZmbJ/EoJZOvd19R2koX5PuSO1Y0Y+uINsWfMB/dgBM/wT9rICdDPuOn7Wio4V28cQjCM0okcYaGhgJw5swZAPbs2YOzszPOzs74+PgAMGTIEBYuXEhQUBAzZ85EJpMxZcoUqlevzrBhw/QaX1lqcUqSxJPMHOKTM7iflElccgb3kzOIe/p1PzmT+OQM4p9kkptXeF9wQmpW8SbNmDNw/H9weTsYm0LjPtB6FDjXLb4YBKEQJZI4e/XqpfR6xIgRAPj4+CgeR6hYsSIHDx5kzJgxBAcHI0kS/v7+zJ8/HyurYlinsRTIyskj/kl+EszkflIGcU8yiEuSJ8f45EzuJ2eQlpVb4LM2FUyobFuBSjYV8HBxorJNBSrZmPPDn5EkpGYVOF/nG6KpkpcH1/fLR8hv/QXmttBuDLQaBtaV9X99QVBTiSROdUe5a9SowebNm/UcTUElfasuSRIJqVnEJatoISZlKI4/UpHgzIyNqGRrTiXrCrxS1YaOni5UtjWnko08SVZ++l8LM2OV17auYKrUxwlgYWrM+C6eeqsvOVlwMVSeMB9cARtX6Pw1NB8oVmMXDJLB9nGWJE1v1Z8fhR7fxZPuTV1VnpuWlfNf61Bxu/xf6/B+UgYPnmSSlZtX4LNOVmZUsqlAFdsKNK5up2glVrL9LyHaW5pqdUudH7eiPnYWL6yPVjKS4cwKCF8ET+6Cixe8tQQavC2/PRcEAyUSp5ZUjUJ/FvovRyMfUMmmQoFW45OMnAJlVDQzppJtBSpZV+BVd4enrUNzeTJ8ejvtbGWOmUnxjB53b+pK96au+nsgOfkenFwEp3+FzGRw7wCBC6COPxT34JMgFIFInFr6bl9EgVHorFyJzWdjMTGS4WJtjotNBeo4W9G2tqNS67CSTQUq21bAyryc/Bjir8LxBXB+g3yFovpB0GY0uDYr6cgEQSPl5F+sZjTp47z7OF3lcRlwbeYbGBmV8xaUJMHtE3Dsf3BtL5hYQPNB0PojcHAv6egEoUhE4lRBkz7OqnYWxKpInlXtLMp30szLhau75Akz9jRYOEDHCdByCFQsgTntgqBDInFqaXwXz+IfhTZk2enw7zo4vhASboC9G3SdC036iV0khTJDJE4tFesotCFLS5DvHHlyMaQ9hKpNoeev8EogGItfM6FsEb/RKmj6HKfeR6ENWeIt+aLBZ1dBdirUeQ3afgxu7cQIuVBmicSpQlmacqk39/6VP7B+aYs8QTbsBW1GQSWvko5MEPROJE5BfZIEUYfkAz5RYYpFg/EeLraiEMoVkTiFgp7dh/xcNfCdDEbG8kU37l8Aq0rgP+3posF2JR2tIBQ7kTgFZfn7kGenIwP5LpFbPwQkcPSQz/Bp9A6YmJdwoIJQckTiVKGkF/koNrnZ8kWB0x7997Xns4L7kCOBpRN89LdYNFgQEIlTpVI5OJSXC+mPlZNg/ld6gvxxoeePZ2jwhyHtkUiagvCUSJy68HyfoP9U+QZhRZWXB5lJqpNd2qOnx597Lz0R+VrtKphUAEtHsHSQ/9euxtPXjsrHLR1hTS94cq9gGWLwRxAUROLUlqo+wR2j5e816i0fic588kzCU6dFmCBfBEMVI1Oo6PRfwqvk9VwSfC4RWjpqNmPntRmK+iiYWsj/GAiCAIjEqb0DMwr2CWanw9YR8McUeSLMy1b9WZmxcoJzqltI8nvm/82s9PtgeX5L+Zl9yLVuQQtCGSMSp7aSYlQfz8uGup2VE6OFg3IirGBrmLNrxD7kgvBCInGqoNGoum01+e15gePV5Y/uCIJQ5ohhUhUCAgIICQnB1tb25Sf7T5X3AT5L9AkKQpkmEqe2GvWGgB/BtjoSMnlLM+BH0ScoCGWYuFXXBdEnKAjlimhxCoIgaEgkTkEQBA2JxCkIgqAhkTgFQRA0JBKnIAiChmSSJBWyMoTg5OSEm5ub2uc/ePAAZ2dn/QVUzER9DJuoj35FR0fz8OFDle+JxKlDLVq04PTp0yUdhs6I+hg2UZ+SI27VBUEQNCQSpyAIgoZE4tShoUOHlnQIOiXqY9hEfUqO6OMUBEHQkGhxCoIgaEgkTkEQBA2JxFlMMjIy6N69O6+88gpNmjShS5cuREVFlXRYRebv70/jxo1p0qQJ7du3559//inpkHTi119/RSaTsXXr1pIORStubm54enrSpEkTmjRpwrJly0o6JK1kZWXxySef4OHhgZeXF127di3ReMSycsVo+PDhdOnSBYCFCxcyePBgDh48WMJRFc3vv/+uWOh5y5YtDBo0qNQnz1u3brF06VK8vb1LOhSd2LBhA02aNCnpMHRi4sSJZGVlERERgZGREffuqdiJtRiJFucLxMTEMGrUKFq3bo2lpSUymYzo6GiV5965c4eePXtia2uLjY0NPXr04Pbt24r3K1SooEiaAN7e3sXa4tRlXQCl1fGTk5P1GbpKuq5PXl4eH3zwAQsWLMDc3LwYaqBM1/UpabqsT1paGiEhIcyePRsjI3nKqlKlSnFUo1Aicb7A9evX2bhxI/b29rRv377Q89LS0vDz8+Pq1ausXLmSVatWERkZia+vL6mpqSo/s2DBAoKCgvQVegH6qEu/fv2oVq0aU6ZMYfXq1fqughJd12fevHm0bduW5s2bF0f4Bejj5zNgwAAaNmzIgAEDiI2N1XcVlOiyPtevX8fe3p7Zs2fTsmVL2rRpw7Zt24qrKqpJQqFyc3MV/7906VIJkG7evFngvPnz50tGRkZSZGSk4lhUVJRkbGwsff/99wXO/+abbyRvb28pNTVVL3Groq+65JfXtWtXncf8Irqsz8WLF6VWrVpJWVlZkiRJko+Pj7Rlyxa9xv88Xf98oqOjJUmSpOzsbOnLL7+UvL299Re8Crqsz+nTpyVAWrZsmSRJknTlyhXJyclJun79un4r8QIicarpRT98Pz8/qU2bNgWOd+jQQerQoYPSse+++05q3ry5lJiYqKdIX05XdcmXl5cnmZqaSg8fPtR1qGrRtj4///yzVLlyZalmzZpSzZo1JXNzc8nZ2Vn6+eef9R26Srr++SQnJ0symUzxh6G4aVufBw8eSDKZTMrMzFS836lTJ2nTpk16i/llxK26Dly6dIkGDRoUOO7l5cXly5cVr+fNm8e6devYv38/dnZ2xRih+tSpS2JiolLn/ObNm3FxccHBwaHY4lSXOvUZPnw49+7dIzo6mujoaLy9vQkJCWH48OHFHe5LqVOf1NRUHj9+rHhvzZo1NGjQAFNT0+IKU23q1MfJyYkuXbqwd+9eAO7du8fFixdp2LBhscb6LDGqrgMJCQnY29sXOO7g4EBiYiIg7ywfN24ctWrVwtfXFwATExODWw1GnbokJibyzjvvkJGRgZGRES4uLuzcuROZTFbc4b6UOvUpTdSpT1xcHG+//Ta5ublIkkT16tXZtGlTcYeqFnV/PosWLeKDDz5g0qRJGBkZMXfuXDw9PYszVCUiceqIqqQhPTObtVq1akqvDdnL6lKrVi1OnTpVnCFp5WX1eV5YWJgeo9GeOj+fc+fOFWdIWlHn5+Pm5saBAweKK6SXErfqOmBvb09CQkKB44mJiSr/mhqyslQXEPUxdKW1PiJx6oCXlxeXLl0qcPzy5cvUr1+/BCIqurJUFxD1MXSltT4icepAYGAg4eHhSg+0R0dHc+zYMQIDA0swMs2VpbqAqI+hK631EcvKvURoaCgABw4cYPHixfz88884Ozvj7OyMj48PIB/FbNy4MRYWFsycOROZTMaUKVN48uQJ58+fx8rKqiSroFCW6gKiPqI+JajEHoQqJQCVXz4+Pkrn3bp1S+rRo4dkbW0tWVlZSUFBQSqfWytJZakukiTqI+pTckSLUxAEQUOij1MQBEFDInEKgiBoSCROQRAEDYnEKQiCoCGROAVBEDQkEqcgCIKGROIUBEHQkEicQrFbsWIFMpkMOzu7Aku75eTkIJPJmD59+gvLCAsLQyaTFWklo61btzJv3jyNP1fctKmjoF8icQolJikpiW+//bZIn23WrBknTpygWbNmGn+2tCROwXCJxCmUmM6dO7NgwQLu37+v8WdtbGzw9vbGxsZGD5FpLjMzs6RDEIqRSJxCiZk8eTIAX3/9tcafVXUb27FjR9q1a8eff/5Js2bNsLS0pEGDBmzdulVxzqBBg1i5ciWxsbHIZDJkMhlubm6K9x8+fMjw4cNxdXXF3NycevXqERISonTt/K6GI0eO0KtXL+zs7GjVqhVz5szBzMyMR48eFYi3fv36dO/eXfF62rRpNGvWDFtbW5ycnPDz8yM8PPyl9d63bx9t2rTB1tYWKysrPD09mTFjhtrfN0E3ROIUSkyVKlUYOXIkISEh3Lp1Sydl3rhxg48//pixY8fy+++/U6VKFXr27Mn169cBmDJlCl27dsXZ2ZkTJ05w4sQJtmzZAsj3h2/bti27du1i+vTp7Nq1i4CAAIYPH86CBQsKXKtfv364u7sTGhrK7Nmz6d+/P7m5uWzYsEHpvDNnznDlyhWCg4MVx2JjYxkzZgxbt25lxYoVuLi40KFDB86fP19o3aKioggMDMTd3Z0NGzawfft2xo4dW+gW1IIelfQqI0L58+uvv0qAFBkZKT169EiytbWV3nvvPUmS5NvZAtK0adNeWMahQ4ckQDp06JDimI+Pj2RiYiJdu3ZNcSwuLk4yMjKSvv76a8WxgQMHSq6urgXKnDFjhmRubq70eUmSpMGDB0uOjo5Sdna2UvyffPJJgTI6depUYCvejz/+WLK3t5cyMjJU1iUnJ0fKzs6W6tatK40ePbrQOm7atEkCpKSkpEK+K0JxES1OoUQ5ODgwbtw4fvvtNyIiIrQuz8PDAw8PD8VrFxcXXFxcuH379ks/u3fvXlq1aoW7uzs5OTmKry5duvDo0SOlHUsB3nrrrQJlBAcHEx4eTmRkJCB/SmD9+vX07t0bc3NzxXl//vknvr6+ODo6YmJigqmpKdeuXXvh96BJkyaYmprSp08fQkNDiY+Pf2mdBP0QiVMocWPGjMHBwYGpU6dqXZaqLYrNzc3JyMh46Wfj4+M5cuQIpqamSl+9evUCKNB3WaVKlQJlvP3221SsWJHVq1cD8McffxAXF6d0m3727Fm6du2KlZUVy5cvJzw8nFOnTtG4ceMXxlmnTh327dtHXl4ewcHBVK5cmVatWnH48OGX1k3QLbHLpVDirKysmDBhAuPGjWP8+PElFoejoyMuLi7873//U/n+89vRqtqdsWLFirz11lusWbOGL7/8ktWrV1OrVi3atm2rOGfz5s2YmJjw+++/K+11npiYiJ2d3Qtj9PX1xdfXl8zMTI4dO8bUqVPp1q0b0dHRODk5aVBbQRuixSkYhBEjRuDq6qoYadcnc3Nz0tPTCxx//fXXuXr1KjVq1KBFixYFvqytrdUqPzg4mBs3brBv3z62bdum1NoESEtLw9jYWCnxHjx4UK3uhGfr4Ofnx2effUZqaio3b95U+7OC9kSLUzAI5ubmTJ06laFDh+r9WvXr1ychIYFFixbRokULKlSoQMOGDRkzZgwbNmygffv2jBkzBk9PT1JTU7l69SpHjx5l27ZtapXfqVMnqlatygcffEBaWhr9+/dXev/1119n/vz5DBo0iPfee49r167x1Vdf4erq+sJyFy9ezJEjR+jatSvVq1fn4cOHzJo1i6pVq9KgQYMifz8EzYkWp2Aw3nvvPaWBHX0ZPHgwffr0YeLEibz66qsEBAQAYGtry/Hjx+natSvffvstXbp04f3332fbtm34+vqqXb6RkRF9+/YlNjaW1q1bU6dOHaX3u3Tpwo8//sixY8d48803+eWXX/jtt98KnPe8xo0bk5qayoQJE+jcuTMjR47E3d2dgwcPYmFhofk3QigyseeQIAiChkSLUxAEQUMicQqCIGhIJE5BEAQNicQpCIKgIZE4BUEQNCQSpyAIgoZE4hQEQdCQSJyCIAgaEolTEARBQ/8HkgKLv0mu38wAAAAASUVORK5CYII=\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.loglog(\n", " list(timings_bf.keys()),\n", " list([r.average for r in timings_bf.values()]),\n", " 'o-',\n", " label='bioframe'\n", ")\n", "plt.loglog(\n", " list(timings_pr.keys()),\n", " list([r.average for r in timings_pr.values()]),\n", " 'o-',\n", " label='pyranges'\n", ")\n", "\n", "plt.gca().set(\n", " xlabel='N intervals',\n", " ylabel='time, seconds',\n", " aspect=1.0,\n", " xticks=10**np.arange(2,6.1)\n", ")\n", "plt.grid()\n", "plt.legend()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### With roundtrips to dataframes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that pyranges performs useful calculations at the stage of creating a PyRanges object. Thus a direct comparison for one-off operations on pandas DataFrames between bioframe and pyranges should take this step into account. This roundrip is handled by `pyranges_intersect_dfs` below." ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [], "source": [ "def pyranges_intersect_dfs(df, df2):\n", " return df2pr(df).intersect(df2pr(df2)).as_df()" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "6.08 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "9.06 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "7.57 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "10.1 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "24.8 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "19.9 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "297 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "146 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "5.73 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "2.56 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "20.1 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "8.9 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "timings_bf = {}\n", "timings_pr = {}\n", "for n in [1e2, 1e3, 1e4, 1e5, 1e6, 3e6]:\n", " df = make_random_intervals(n=n, n_chroms=1)\n", " df2 = make_random_intervals(n=n, n_chroms=1)\n", " timings_bf[n] = %timeit -o -r 1 bioframe.overlap(df, df2, how='inner')\n", " timings_pr[n] = %timeit -o -r 1 pyranges_intersect_dfs(df, df2)\n", " " ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x2aff20163f90>" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.loglog(\n", " list(timings_bf.keys()),\n", " list([r.average for r in timings_bf.values()]),\n", " 'o-',\n", " label='bioframe'\n", ")\n", "plt.loglog(\n", " list(timings_pr.keys()),\n", " list([r.average for r in timings_pr.values()]),\n", " 'o-',\n", " label='pyranges'\n", ")\n", "plt.gca().set(\n", " xlabel='N intervals',\n", " ylabel='time, seconds',\n", " aspect=1.0\n", ")\n", "plt.grid()\n", "plt.legend()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Memory usage" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [], "source": [ "from memory_profiler import memory_usage\n", "import time\n", "\n", "def sleep_before_after(func, sleep_sec=0.5):\n", " def _f(*args, **kwargs):\n", " time.sleep(sleep_sec)\n", " func(*args, **kwargs)\n", " time.sleep(sleep_sec)\n", " return _f\n", "\n", "mem_usage_bf = {}\n", "mem_usage_pr = {}\n", "\n", "for n in [1e2, 1e3, 1e4, 1e5, 1e6, 3e6]:\n", " df = make_random_intervals(n=n, n_chroms=1)\n", " df2 = make_random_intervals(n=n, n_chroms=1)\n", " mem_usage_bf[n] = memory_usage(\n", " (sleep_before_after(bioframe.overlap), (df, df2), dict( how='inner')), \n", " backend='psutil_pss', \n", " include_children=True,\n", " interval=0.1)\n", " mem_usage_pr[n] = memory_usage(\n", " (sleep_before_after(pyranges_intersect_dfs), (df, df2), dict()), \n", " backend='psutil_pss', \n", " include_children=True,\n", " interval=0.1)\n", " " ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x2aff2a383790>" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 576x432 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(figsize=(8,6))\n", "plt.loglog(\n", " list(mem_usage_bf.keys()),\n", " list([max(r) - r[4] for r in mem_usage_bf.values()]),\n", " 'o-',\n", " label='bioframe'\n", ")\n", "\n", "plt.loglog(\n", " list(mem_usage_pr.keys()),\n", " list([max(r) - r[4] for r in mem_usage_pr.values()]),\n", " 'o-',\n", " label='pyranges'\n", ")\n", "\n", "plt.gca().set(\n", " xlabel='N intervals',\n", " ylabel='Memory usage, Mb',\n", " aspect=1.0\n", ")\n", "plt.grid()\n", "plt.legend()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The 2x memory consumption of bioframe is due to the fact that bioframe store genomic coordinates as int64 by default, while pyranges uses int32:" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Bioframe dtypes:\n" ] }, { "data": { "text/plain": [ "chrom object\n", "start int64\n", "end int64\n", "dtype: object" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n", "Pyranges dtypes:\n" ] }, { "data": { "text/plain": [ "Chromosome category\n", "Start int32\n", "End int32\n", "dtype: object" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "print('Bioframe dtypes:')\n", "display(df.dtypes)\n", "print()\n", "print('Pyranges dtypes:')\n", "display(df2pr(df).dtypes)\n" ] }, { "cell_type": "code", "execution_count": 36, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x2aff248b4ed0>" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 648x288 with 2 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "### Combined performance figure.\n", "\n", "fig, axs = plt.subplot_mosaic(\n", " 'AAA.BBB',\n", " figsize=(9.0,4))\n", "\n", "plt.sca(axs['A']) \n", "\n", "plt.text(-0.25, 1.0, 'A', horizontalalignment='center',\n", " verticalalignment='center', transform=plt.gca().transAxes,\n", " fontsize=19)\n", "\n", "plt.loglog(\n", " list(timings_bf.keys()),\n", " list([r.average for r in timings_bf.values()]),\n", " 'o-',\n", " color='k',\n", " label='bioframe'\n", ")\n", "plt.loglog(\n", " list(timings_pr.keys()),\n", " list([r.average for r in timings_pr.values()]),\n", " 'o-',\n", " color='gray',\n", " label='pyranges'\n", ")\n", "plt.gca().set(\n", " xlabel='N intervals',\n", " ylabel='time, s',\n", " aspect=1.0,\n", " xticks=10**np.arange(2,6.1),\n", " yticks=10**np.arange(-3,0.1),\n", "\n", ")\n", "\n", "plt.grid()\n", "plt.legend()\n", "\n", "plt.sca(axs['B'])\n", "plt.text(-0.33, 1.0, 'B', horizontalalignment='center',\n", " verticalalignment='center', transform=plt.gca().transAxes,\n", " fontsize=19)\n", "plt.loglog(\n", " list(mem_usage_bf.keys()),\n", " list([max(r) - r[4] for r in mem_usage_bf.values()]),\n", " 'o-',\n", " color='k',\n", " label='bioframe'\n", ")\n", "\n", "plt.loglog(\n", " list(mem_usage_pr.keys()),\n", " list([max(r) - r[4] for r in mem_usage_pr.values()]),\n", " 'o-',\n", " color='gray',\n", " label='pyranges'\n", ")\n", "plt.gca().set(\n", " xlabel='N intervals',\n", " ylabel='Memory usage, Mb',\n", " aspect=1.0,\n", " xticks=10**np.arange(2,6.1),\n", ")\n", "\n", "plt.grid()\n", "plt.legend()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Slicing " ] }, { "cell_type": "code", "execution_count": 37, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1.92 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "859 µs ± 0 ns per loop (mean ± std. dev. of 1 run, 1000 loops each)\n", "2.05 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "1.02 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1000 loops each)\n", "3.27 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "2.93 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "12.1 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 100 loops each)\n", "25.2 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "121 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 10 loops each)\n", "306 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "350 ms ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n", "1.04 s ± 0 ns per loop (mean ± std. dev. of 1 run, 1 loop each)\n" ] } ], "source": [ "timings_slicing_bf = {}\n", "timings_slicing_pr = {}\n", "\n", "\n", "for n in [1e2, 1e3, 1e4, 1e5, 1e6, 3e6]:\n", " df = make_random_intervals(n=n, n_chroms=1)\n", " timings_slicing_bf[n] = %timeit -o -r 1 bioframe.select(df, ('chr1', n//2, n//4*3))\n", " pr = df2pr(df)\n", " timings_slicing_pr[n] = %timeit -o -r 1 pr['chr1', n//2:n//4*3]\n", " \n" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x2aff201473d0>" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.loglog(\n", " list(timings_slicing_bf.keys()),\n", " list([r.average for r in timings_bf.values()]),\n", " 'o-',\n", " label='bioframe'\n", ")\n", "\n", "plt.loglog(\n", " list(timings_slicing_pr.keys()),\n", " list([r.average for r in timings_pr.values()]),\n", " 'o-',\n", " label='pyranges'\n", ")\n", "plt.gca().set(\n", " xlabel='N intervals',\n", " ylabel='time, s',\n", " aspect=1.0\n", ")\n", "plt.grid()\n", "plt.legend()" ] } ], "metadata": { "kernelspec": { "display_name": "aquarium_kernel", "language": "python", "name": "aquarium_kernel" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.8" } }, "nbformat": 4, "nbformat_minor": 4 }
mit
toth-adam/rl_games
windy_gridworld/Epsilon-greedy_TD_lambda_online_windy_grid_world.ipynb
1
10289
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from windy import WindyGridWorld\n", "import numpy as np\n", "from collections import deque\n", "import pickle\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Necessary functions " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def epsilon_greedy_policy(q_vector, epsilon):\n", " is_greedy_action = False if np.random.uniform() <= epsilon else True\n", " if is_greedy_action:\n", " #Random choice, if there are at least 2 Q-s with the same values\n", " # TODO: Check, if randomizing the q_vector in lookup_action_value() around 0, what would happen\n", " max_q_args = np.argwhere(q_vector == np.amax(q_vector))\n", " if len(max_q_args) > 1:\n", " action = np.random.choice(max_q_args.ravel(), 1)[0] + 1\n", " else:\n", " action = np.argmax(q_vector) + 1\n", " else:\n", " action = np.random.randint(1, 9)\n", " return action" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def td_error(c_a_v, n_a_v, r, g=0.95):\n", " return r + g * n_a_v - c_a_v" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def td_lambda_update(curr_state, next_state, action_value_vect, action, reward, epsilon, _lambda=0.9, gamma=0.95, alpha=0.15):\n", " # Get current action-value (belonging to current state and action)\n", " current_action_value = action_value_vect[action - 1]\n", " # Get the next state action-value vector\n", " next_action_value_vector = lookup_action_value(next_state)\n", " # Get the next action according to the next_action_value_vector and epsilon(Using epsilon-greedy policy with current epsilon)\n", " next_action = epsilon_greedy_policy(next_action_value_vector, epsilon)\n", " # Get next action-value from the next_action_value_vector according our action\n", " next_action_value = next_action_value_vector[next_action - 1]\n", " \n", " ## UPDATE ACTION_VALUES_TABLE ##\n", " \n", " # Calculate the TD-error\n", " delta = td_error(current_action_value, next_action_value, reward, gamma)\n", " # Update eligibility trace for current state and action\n", " ACTION_VALUES_TABLE[curr_state][1, action - 1] += 1\n", " \n", " # Update all state in ACTION_VALUES_TABLE\n", " for val in ACTION_VALUES_TABLE.values():\n", " # Update action-value\n", " val[0] += alpha * delta * val[1]\n", " # Update eligibility traces \n", " val[1] *= gamma * _lambda\n", " return next_action, next_action_value_vector" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def lookup_action_value(state):\n", " if state not in ACTION_VALUES_TABLE:\n", " ACTION_VALUES_TABLE[state] = np.zeros((2, 8), dtype=np.float64)\n", " return ACTION_VALUES_TABLE[state][0]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def try_table():\n", " game = WindyGridWorld(GRID_SIZE, WINNER_TILE, WINDY_ARRAY, START_TILE)\n", " current_pos = game.current_pos()\n", " is_ended = False\n", " agent_positions = deque()\n", " states_reward_list = []\n", " actions_deque = deque()\n", " epsilon = 0.00001\n", " \n", " while not is_ended:\n", " \n", " # Append current agent position\n", " agent_positions.append(current_pos)\n", "\n", " # Lookup action value belonging to current state\n", " action_value_vector = lookup_action_value(current_pos)\n", "\n", " # Choose action based on current action value vector and epsilon\n", " action = epsilon_greedy_policy(action_value_vector, epsilon)\n", " # Append action\n", " actions_deque.append(action)\n", "\n", " # Get next state, reward\n", " current_pos, reward, is_ended = game.step(action)\n", " \n", " return agent_positions, actions_deque, current_pos" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def show_moves(visited_states, grid_size):\n", " arr = np.asarray(visited_states).T\n", " range_x = (0.5, grid_size[1] + 0.5)\n", " range_y = (0.5, grid_size[0] + 0.5)\n", " ax = plt.gca()\n", " ax.scatter(arr[1], arr[0])\n", " ax.quiver(arr[1,:-1],arr[0,:-1],arr[1,1:]-arr[1,:-1],arr[0,1:]-arr[0,:-1], scale_units='xy', angles='xy', scale=1)\n", " ax.set_xticks(np.arange(*range_x), minor=True)\n", " ax.set_yticks(np.arange(*range_y), minor=True)\n", " ax.set_xlim(*range_x)\n", " ax.set_ylim(*range_y)\n", " ax.set_xlabel(\"Valami\")\n", " ax.invert_yaxis()\n", " ax.get_xaxis().set_tick_params(labeltop=\"on\", labelbottom=\"off\")\n", " ax.set_aspect(\"equal\")\n", " plt.grid(which=\"minor\")\n", " plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Game parameters" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "GRID_SIZE = (20, 20)\n", "WINNER_TILE = (15, 20)\n", "# WINDY_ARRAY = (0, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 2, 2, 2, 0)\n", "WINDY_ARRAY = np.zeros(20)\n", "START_TILE = None" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Main learning loop" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "ACTION_VALUES_TABLE = {}\n", "\n", "epsilon = 1\n", "episode_number = 0\n", "\n", "average = 0\n", "alpha = 0.15\n", "episodes_number=20000\n", "#Külső ciklus\n", "for curr_episode in range(episodes_number):\n", " print(\"-\", end=\"\")\n", " episode_number += 1\n", " alpha = alpha - alpha/episodes_number* curr_episode\n", " if episode_number % 1000 == 0:\n", " print(str(episode_number) + \". játék\")\n", " print(\"Lépések száma: \" + str(average / 1000))\n", " average = 0\n", "\n", " epsilon = 1 / (episode_number**(1/3))\n", " # Containers\n", " agent_positions = deque()\n", " states_reward_list = []\n", " actions_deque = deque()\n", " # End bool\n", " is_ended = False\n", " \n", " # Reset eligibility traces to zero\n", " for val in ACTION_VALUES_TABLE.values():\n", " val[1] = np.zeros_like(val[1])\n", " \n", " # New game\n", " game = WindyGridWorld(GRID_SIZE, WINNER_TILE, WINDY_ARRAY, START_TILE)\n", " current_pos = game.current_pos()\n", " # Lookup action value belonging to current state\n", " action_value_vector = lookup_action_value(current_pos)\n", " # Choose action based on current action value vector and epsilon\n", " action = epsilon_greedy_policy(action_value_vector, epsilon)\n", " \n", " #Belső ciklus\n", " while not is_ended:\n", " \n", " # Append current agent position\n", " agent_positions.append(current_pos)\n", "\n", " # Append action\n", " actions_deque.append(action)\n", "\n", " # Get next state, reward\n", " current_pos, reward, is_ended = game.step(action)\n", "\n", " # Append reward\n", " states_reward_list.append(reward)\n", " \n", " # TD lambda update (SIDE-EFFECt: Update ACTION_VALUES_TABLE)\n", " action, action_value_vector = td_lambda_update(agent_positions[-1], current_pos, action_value_vector, action, reward, epsilon)\n", " \n", " # TODO: Something is wrong with this, dunno what yet\n", " vertical_distance = WINNER_TILE[0] - agent_positions[0][0]\n", " horizontal_distance = WINNER_TILE[1] - agent_positions[0][1]\n", " optimal = max((horizontal_distance, vertical_distance))\n", " average += len(actions_deque) / optimal" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Visualization" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "a, b, c = try_table()\n", "a.append(c)\n", "show_moves(a, GRID_SIZE)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "count = 0\n", "for _ in range(4000):\n", " a,b,c = try_table()\n", " count += len(b) / 19\n", "count / 4000" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda env:tensorflow]", "language": "python", "name": "conda-env-tensorflow-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
h-mayorquin/camp_india_2016
tutorials/rdes/01_Introducing_rdes.ipynb
1
4171
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Basics\n", "This is the basic usage of rdesigneur" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "moose.showfields function givis details of moose objects." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Rdesigneur: Elec model has 1 compartments and 0 spines on 0 compartments.\n", "\n", "[ /model[0]/elec[0]/soma[0] ]\n", "diameter = 0.0005\n", "fieldIndex = 0\n", "Ra = 7639437.26841\n", "y0 = 0.0\n", "Rm = 424413.177334\n", "index = 0\n", "numData = 1\n", "inject = 0.0\n", "initVm = -0.065\n", "Em = -0.0544\n", "y = 0.0\n", "numField = 1\n", "path = /model[0]/elec[0]/soma[0]\n", "dt = 0.0\n", "tick = -2\n", "z0 = 0.0\n", "name = soma\n", "Cm = 7.85398163398e-09\n", "x0 = 0.0\n", "Vm = -0.06\n", "className = ZombieCompartment\n", "idValue = 469\n", "length = 0.0005\n", "Im = 1.3194689277e-08\n", "x = 0.0005\n", "z = 0.0\n" ] } ], "source": [ "import moose\n", "import rdesigneur as rd\n", "rdes = rd.rdesigneur()\n", "rdes.buildModel()\n", "moose.showfields( rdes.soma )" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "moose objects .valueFields gives a the list ov value fields" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "('this',\n", " 'name',\n", " 'me',\n", " 'parent',\n", " 'children',\n", " 'path',\n", " 'className',\n", " 'numData',\n", " 'numField',\n", " 'idValue',\n", " 'index',\n", " 'fieldIndex',\n", " 'tick',\n", " 'dt',\n", " 'valueFields',\n", " 'sourceFields',\n", " 'destFields',\n", " 'msgOut',\n", " 'msgIn',\n", " 'Vm',\n", " 'Cm',\n", " 'Em',\n", " 'Im',\n", " 'inject',\n", " 'initVm',\n", " 'Rm',\n", " 'Ra',\n", " 'diameter',\n", " 'length',\n", " 'x0',\n", " 'y0',\n", " 'z0',\n", " 'x',\n", " 'y',\n", " 'z')" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rdes.soma.valueFields" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "424413.1773342278" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rdes.soma.Rm" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.0005" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rdes.soma.diameter" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11+" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
infimath/optimization-method
week02/Problem 3.ipynb
1
10880
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "PART A\n", "\n", "Consider a diet problem with two different foods (Food 1 and Food 2) and three different nutrients (Nutrient 1, Nutrient 2, and Nutrient 3). The amount of ounces of each nutrient per unit of food is given in table. The price of Food 1 is \\$2. The price of Food 2 is \\$3. The minimum requirements for the Nutrients 1, 2 and 3 are 30, 20, and 12 ounces, respectively. The optimization problem is to find the minimum cost diet that meets the minimum nutritional requirements.\n", "\n", "| | Nutrient 1 | Nutrient 2 | Nutrient 3 | Price(\\$) |\n", "|--------------|:----------:|:----------:|:----------:|----------:|\n", "| Food 1 | 4 | 6 | 1 | 2 |\n", "| Food 2 | 6 | 2 | 2 | 3 |\n", "| Requirements | 30 | 20 | 12 | |\n", "\n", "The decision variables are\n", "\n", "- $x$ how much of food 1 to buy\n", "- $y$ how much of food 2 to buy\n", "\n", "The formulation is\n", "$$\n", "\\left. \\begin{array}{rrcl} \\min & 2x+3y & &\\\\\n", " \\text {Subject to:} & & & \\\\\n", " \\text{Nutrient1} & 4x+6y & \\ge & 30 \\\\\n", " \\text{Nutrient2} & 6x+2y & \\ge & 20 \\\\\n", " \\text{Nutrient3} & x+2y & \\ge & 12 \\\\\n", " \\text{Non negativity} & x,y & \\ge & 0 \\\\\n", " \\end{array}\n", "\\right\\}\n", "$$ \n", "Solve the optimization problem in Julia." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Amount of food 1 to buy: 1.5999999999999996\n", "Amount of food 2 to buy: 5.2\n", "Minimum amout of money spend: 18.8\n" ] } ], "source": [ "using JuMP\n", "#Define Model\n", "MinCost = Model()\n", "# Non-negative variables\n", "@variable(MinCost, x >= 0)\n", "@variable(MinCost, y >= 0)\n", "# Daily requirement of each constraints\n", "@constraint(MinCost, 4x + 6y >= 30)\n", "@constraint(MinCost, 6x + 2y >= 20)\n", "@constraint(MinCost, x + 2y >= 12)\n", "# Minimize the cost\n", "@objective(MinCost, Min, 2x + 3y)\n", "# Solving the optimization problem\n", "solve(MinCost)\n", "# Determine the value each food needs to buy\n", "println(\"Amount of food 1 to buy: \", getvalue(x))\n", "println(\"Amount of food 2 to buy: \", getvalue(y))\n", "# Determine the Minimum cost \n", "println(\"Minimum amout of money spend: \", getobjectivevalue(MinCost))" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "6.8" ] } ], "source": [ "print(getvalue(x)+getvalue(y))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "PART B\n", "\n", "Using fooddata.csv file, which contains randomly generated nutritional values for 10 different types of food.\n", "\n", "We wish to minimize the number of calories consumed while intaking at least 50 fats, 300 carbohydrates, 60 proteins, and no more than 20 saturated fats.\n", "\n", "Formulate a linear program, and determine the minimum number of calories consumed. The \"read_csv\" or \"readtable\" function in Julia will be helpful in reading the data set into memory." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<table class=\"data-frame\"><tr><th></th><th>Nutrition</th><th>x1_00</th><th>x2_00</th><th>x3_00</th><th>x4_00</th><th>x5_00</th></tr><tr><th>1</th><td>Food</td><td>Calories</td><td>Fat</td><td>Saturated Fat</td><td>Carbohydrate</td><td>Protein</td></tr><tr><th>2</th><td>1</td><td>448</td><td>1</td><td>5</td><td>36</td><td>2</td></tr><tr><th>3</th><td>2</td><td>305</td><td>17</td><td>4</td><td>40</td><td>7</td></tr><tr><th>4</th><td>3</td><td>337</td><td>4</td><td>5</td><td>22</td><td>4</td></tr><tr><th>5</th><td>4</td><td>455</td><td>18</td><td>2</td><td>83</td><td>5</td></tr><tr><th>6</th><td>5</td><td>314</td><td>19</td><td>1</td><td>76</td><td>13</td></tr><tr><th>7</th><td>6</td><td>437</td><td>19</td><td>5</td><td>45</td><td>1</td></tr><tr><th>8</th><td>7</td><td>438</td><td>3</td><td>1</td><td>93</td><td>0</td></tr><tr><th>9</th><td>8</td><td>413</td><td>17</td><td>3</td><td>77</td><td>0</td></tr><tr><th>10</th><td>9</td><td>481</td><td>13</td><td>5</td><td>54</td><td>0</td></tr><tr><th>11</th><td>10</td><td>150</td><td>14</td><td>0</td><td>22</td><td>2</td></tr><tr><th>12</th><td>NA</td><td>NA</td><td>NA</td><td>NA</td><td>NA</td><td>NA</td></tr><tr><th>13</th><td>NA</td><td>NA</td><td>NA</td><td>NA</td><td>NA</td><td>NA</td></tr></table>" ], "text/plain": [ "13×6 DataFrames.DataFrame\n", "│ Row │ Nutrition │ x1_00 │ x2_00 │ x3_00 │ x4_00 │\n", "├─────┼───────────┼────────────┼───────┼─────────────────┼────────────────┤\n", "│ 1 │ \"Food\" │ \"Calories\" │ \"Fat\" │ \"Saturated Fat\" │ \"Carbohydrate\" │\n", "│ 2 │ \"1\" │ \"448\" │ \"1\" │ \"5\" │ \"36\" │\n", "│ 3 │ \"2\" │ \"305\" │ \"17\" │ \"4\" │ \"40\" │\n", "│ 4 │ \"3\" │ \"337\" │ \"4\" │ \"5\" │ \"22\" │\n", "│ 5 │ \"4\" │ \"455\" │ \"18\" │ \"2\" │ \"83\" │\n", "│ 6 │ \"5\" │ \"314\" │ \"19\" │ \"1\" │ \"76\" │\n", "│ 7 │ \"6\" │ \"437\" │ \"19\" │ \"5\" │ \"45\" │\n", "│ 8 │ \"7\" │ \"438\" │ \"3\" │ \"1\" │ \"93\" │\n", "│ 9 │ \"8\" │ \"413\" │ \"17\" │ \"3\" │ \"77\" │\n", "│ 10 │ \"9\" │ \"481\" │ \"13\" │ \"5\" │ \"54\" │\n", "│ 11 │ \"10\" │ \"150\" │ \"14\" │ \"0\" │ \"22\" │\n", "│ 12 │ NA │ NA │ NA │ NA │ NA │\n", "│ 13 │ NA │ NA │ NA │ NA │ NA │\n", "\n", "│ Row │ x5_00 │\n", "├─────┼───────────┤\n", "│ 1 │ \"Protein\" │\n", "│ 2 │ \"2\" │\n", "│ 3 │ \"7\" │\n", "│ 4 │ \"4\" │\n", "│ 5 │ \"5\" │\n", "│ 6 │ \"13\" │\n", "│ 7 │ \"1\" │\n", "│ 8 │ \"0\" │\n", "│ 9 │ \"0\" │\n", "│ 10 │ \"0\" │\n", "│ 11 │ \"2\" │\n", "│ 12 │ NA │\n", "│ 13 │ NA │" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "using DataFrames\n", "Nutrition = readtable(\"fooddata.csv\")" ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "10-element Array{Int64,1}:\n", " 2\n", " 7\n", " 4\n", " 5\n", " 13\n", " 1\n", " 0\n", " 0\n", " 0\n", " 2" ] }, "execution_count": 62, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Reading the food nutrients\n", "FoodIndex = [parse(Int64,s) for s = Nutrition[2:11,1]]\n", "Calories = [parse(Int64,s) for s = Nutrition[2:11,2]]\n", "Fat = [parse(Int64,s) for s = Nutrition[2:11,3]]\n", "SatFat = [parse(Int64,s) for s = Nutrition[2:11,4]]\n", "Carb = [parse(Int64,s) for s = Nutrition[2:11,5]]\n", "Protein = [parse(Int64,s) for s = Nutrition[2:11,6]]" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Optimal amount of calories: 1449.2307692307693\n" ] } ], "source": [ "using JuMP\n", "MinCal = Model()\n", "# Non-negative food variables\n", "@variable(MinCal, x[1:10] >= 0)\n", "# Minimum of each nutrients\n", "@constraint(MinCal, sum{x[i] * Fat[i], i=1:10} >= 60)\n", "@constraint(MinCal, sum{x[i] * SatFat[i], i=1:10} <= 20)\n", "@constraint(MinCal, sum{x[i] * Carb[i], i=1:10} >= 300)\n", "@constraint(MinCal, sum{x[i] * Protein[i], i=1:10} >= 60)\n", "\n", "# Minimize the Calories\n", "@objective(MinCal, Min, sum{x[i] * Calories[i], i=1:10})\n", "\n", "# Solving the model\n", "solve(MinCal)\n", "println(\"Optimal amount of calories: \", getobjectivevalue(MinCal))" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 1449.23\n" ] } ], "source": [ "# Format the output according to the problem.\n", "using Formatting\n", "fe = FormatExpr(\" {1:04.2f}\")\n", "printfmtln(fe, getobjectivevalue(MinCal))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Julia 0.5.0", "language": "julia", "name": "julia-0.5" }, "language_info": { "file_extension": ".jl", "mimetype": "application/julia", "name": "julia", "version": "0.5.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
pdonorio/notebookers
notebooks/Slidestest.ipynb
1
1239
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "*Hello*\n", "\n", "# Test" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "slideshow": { "slide_type": "slide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "hello\n" ] } ], "source": [ "print(\"hello\")" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "source": [ "**It works!**" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "oh yeah" ] } ], "metadata": { "celltoolbar": "Slideshow", "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
f-fathurrahman/ffr-ElectronicStructure.jl
LF/LeeTuckerman2006/LeeTuckerman2006.ipynb
1
174910
{ "cells": [ { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11-element Array{Float64,1}:\n", " -4.54545 \n", " -3.63636 \n", " -2.72727 \n", " -1.81818 \n", " -0.909091\n", " 0.0 \n", " 0.909091\n", " 1.81818 \n", " 2.72727 \n", " 3.63636 \n", " 4.54545 " ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "L = 10.0\n", "N = 5\n", "Nbasis = 2*N + 1\n", "grid_x = Array{Float64}(Nbasis)\n", "for α = 1:Nbasis\n", " grid_x[α] = L/Nbasis*(α-N-1)\n", "end\n", "Δ = L/Nbasis\n", "grid_x" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "eval_LF1d_p (generic function with 3 methods)" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "function eval_LF1d_p( α::Int64, L::Float64, grid_x::Array{Float64}, x)\n", " Nbasis = size(grid_x)[1]\n", " N = (Nbasis-1)/2\n", " f = 0.0\n", " for l = 1:Nbasis\n", " k = -N + (l-1)\n", " f = f + sqrt(1/L/Nbasis)*cos(2*pi*k*(x-grid_x[α])/L)\n", " end\n", " return f\n", "end" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "4.579669976578771e-16 1.0488088481701519\n" ] } ], "source": [ "f1 = eval_LF1d_p( 1, L, grid_x, grid_x[2] )\n", "f2 = eval_LF1d_p( 2, L, grid_x, grid_x[2] )\n", "println(f1, \" \", f2)" ] }, { "cell_type": "code", "execution_count": 25, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "PyPlot" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import PyPlot\n", "const plt = PyPlot" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "PyPlot.Figure(PyObject <matplotlib.figure.Figure object at 0x2b9b42fd0350>)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.clf()\n", "NptsPlot = 200\n", "x = Array{Float64}(linspace(-L,L,NptsPlot));\n", "\n", "# Plot for basis function 1, 4, and 7\n", "for α = [1,4,7]\n", " y = Array{Float64}(NptsPlot)\n", " for i = 1:size(x)[1]\n", " y[i] = eval_LF1d_p(α, L, grid_x, x[i])\n", " end\n", " plt.grid()\n", " plt.plot(x,y)\n", "end" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Transformation matrix" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11×11 Array{Complex{Float64},2}:\n", " -0.0429095+0.298442im 0.125252-0.274264im … -0.0429095-0.298442im \n", " 0.125252-0.274264im -0.289298+0.0849456im 0.125252+0.274264im \n", " -0.197448+0.227867im 0.253647+0.163009im -0.197448-0.227867im \n", " 0.253647-0.163009im -0.0429095-0.298442im 0.253647+0.163009im \n", " -0.289298+0.0849456im -0.197448+0.227867im -0.289298-0.0849456im\n", " 0.301511-0.0im 0.301511-0.0im … 0.301511+0.0im \n", " -0.289298-0.0849456im -0.197448-0.227867im -0.289298+0.0849456im\n", " 0.253647+0.163009im -0.0429095+0.298442im 0.253647-0.163009im \n", " -0.197448-0.227867im 0.253647-0.163009im -0.197448+0.227867im \n", " 0.125252+0.274264im -0.289298-0.0849456im 0.125252-0.274264im \n", " -0.0429095-0.298442im 0.125252+0.274264im … -0.0429095+0.298442im " ] }, "execution_count": 39, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Transformation matrix\n", "T = Array{Complex128}(Nbasis,Nbasis)\n", "for α = 1:Nbasis\n", " for l = 1:Nbasis\n", " k = -N + (l-1)\n", " x = grid_x[α]\n", " T[α,l] = sqrt(1/Nbasis)*exp(2*pi*im*k*x/L)\n", " end\n", "end\n", "T" ] }, { "cell_type": "code", "execution_count": 42, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11×11 Array{Complex{Float64},2}:\n", " 1.31839e-16-9.4369e-16im … 4.09395e-16+6.10623e-16im\n", " -4.44089e-16+3.33067e-16im -3.33067e-16-2.22045e-16im\n", " 6.66134e-16-1.11022e-16im 3.05311e-16+4.16334e-16im\n", " -2.22045e-16+2.77556e-16im -3.33067e-16-1.94289e-16im\n", " 3.88578e-16-1.38778e-17im 3.33067e-16+9.71445e-17im\n", " -2.77556e-16-1.11022e-16im … -2.77556e-16+1.38778e-17im\n", " 3.88578e-16+0.0im 3.33067e-16+1.249e-16im \n", " -1.66533e-16-1.94289e-16im -3.33067e-16+1.94289e-16im\n", " 4.71845e-16+2.77556e-16im 4.44089e-16-2.498e-16im \n", " -4.996e-16-1.66533e-16im -3.88578e-16+1.66533e-16im\n", " 4.23273e-16+8.32667e-16im … 3.1225e-16-8.32667e-16im" ] }, "execution_count": 42, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Test unitary property of transformation matrix\n", "T' - inv(T)" ] }, { "cell_type": "code", "execution_count": 45, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11×11 Array{Float64,2}:\n", " -4.54545 0.0 0.0 … 0.0 0.0 0.0 0.0 \n", " 0.0 -3.63636 0.0 0.0 0.0 0.0 0.0 \n", " 0.0 0.0 -2.72727 0.0 0.0 0.0 0.0 \n", " 0.0 0.0 0.0 0.0 0.0 0.0 0.0 \n", " 0.0 0.0 0.0 0.0 0.0 0.0 0.0 \n", " 0.0 0.0 0.0 … 0.0 0.0 0.0 0.0 \n", " 0.0 0.0 0.0 0.0 0.0 0.0 0.0 \n", " 0.0 0.0 0.0 1.81818 0.0 0.0 0.0 \n", " 0.0 0.0 0.0 0.0 2.72727 0.0 0.0 \n", " 0.0 0.0 0.0 0.0 0.0 3.63636 0.0 \n", " 0.0 0.0 0.0 … 0.0 0.0 0.0 4.54545" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "X_dvr = diagm(grid_x)" ] }, { "cell_type": "code", "execution_count": 49, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(11, 11)\n", "[1.9082e-17 9.36751e-17 -1.38778e-17 0.0 0.0 -6.93889e-18 0.0 0.0 -1.38778e-17 -1.73472e-17 1.9082e-17; 9.36751e-17 8.32667e-17 -4.16334e-17 0.0 0.0 0.0 0.0 0.0 6.93889e-17 8.32667e-17 -1.73472e-17; -1.38778e-17 2.77556e-17 2.77556e-17 -5.55112e-17 0.0 0.0 0.0 0.0 -2.77556e-17 2.77556e-17 -1.38778e-17; 0.0 2.77556e-17 0.0 -5.55112e-17 -1.38778e-17 0.0 1.38778e-17 -5.55112e-17 0.0 -2.77556e-17 -2.77556e-17; 0.0 0.0 0.0 1.38778e-17 -9.71445e-17 -5.55112e-17 -1.38778e-17 -1.38778e-17 0.0 0.0 -6.93889e-18; 0.0 0.0 0.0 0.0 -5.55112e-17 5.55112e-17 -5.55112e-17 0.0 0.0 0.0 -1.38778e-17; 0.0 0.0 0.0 -1.38778e-17 -1.38778e-17 -5.55112e-17 -9.71445e-17 1.38778e-17 0.0 0.0 -6.93889e-18; 0.0 -2.77556e-17 0.0 -5.55112e-17 1.38778e-17 0.0 -1.38778e-17 -5.55112e-17 0.0 2.77556e-17 2.77556e-17; -1.38778e-17 2.77556e-17 -2.77556e-17 0.0 0.0 0.0 0.0 -5.55112e-17 2.77556e-17 2.77556e-17 -1.38778e-17; -1.73472e-17 8.32667e-17 6.93889e-17 0.0 0.0 0.0 0.0 0.0 -4.16334e-17 8.32667e-17 9.36751e-17; 1.9082e-17 -1.73472e-17 -1.38778e-17 0.0 0.0 -6.93889e-18 0.0 0.0 -1.38778e-17 9.36751e-17 1.9082e-17]\n" ] } ], "source": [ "X = T' * X_dvr * T\n", "println(size(X))\n", "println(real(X))" ] }, { "cell_type": "code", "execution_count": 61, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11×11 Array{Float64,2}:\n", " 0.0 1.61339 -0.840753 0.60145 … 0.840753 -1.61339 \n", " -1.61339 5.55112e-17 1.61339 -0.840753 -0.60145 0.840753\n", " 0.840753 -1.61339 -8.32667e-17 1.61339 0.499703 -0.60145 \n", " -0.60145 0.840753 -1.61339 0.0 -0.45922 0.499703\n", " 0.499703 -0.60145 0.840753 -1.61339 0.45922 -0.45922 \n", " -0.45922 0.499703 -0.60145 0.840753 … -0.499703 0.45922 \n", " 0.45922 -0.45922 0.499703 -0.60145 0.60145 -0.499703\n", " -0.499703 0.45922 -0.45922 0.499703 -0.840753 0.60145 \n", " 0.60145 -0.499703 0.45922 -0.45922 1.61339 -0.840753\n", " -0.840753 0.60145 -0.499703 0.45922 -5.55112e-17 1.61339 \n", " 1.61339 -0.840753 0.60145 -0.499703 … -1.61339 0.0 " ] }, "execution_count": 61, "metadata": {}, "output_type": "execute_result" } ], "source": [ "real(X)\n", "imag(T'*X_dvr*T)" ] }, { "cell_type": "code", "execution_count": 66, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11-element Array{Complex{Float64},1}:\n", " -4.54545+1.11022e-16im\n", " -3.63636-1.38778e-16im\n", " -2.72727+0.0im \n", " -1.81818+1.11022e-16im\n", " -0.909091-5.55112e-17im\n", " 0.0+5.55112e-17im\n", " 0.909091-5.55112e-17im\n", " 1.81818+1.11022e-16im\n", " 2.72727+0.0im \n", " 3.63636-1.38778e-16im\n", " 4.54545+1.11022e-16im" ] }, "execution_count": 66, "metadata": {}, "output_type": "execute_result" } ], "source": [ "g1 = T*(T'*grid_x)" ] }, { "cell_type": "code", "execution_count": 69, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11-element Array{Float64,1}:\n", " 7.10629e-15\n", " 4.65268e-16\n", " 8.88178e-16\n", " 2.44501e-15\n", " 2.77611e-15\n", " 5.55112e-17\n", " 2.77611e-15\n", " 2.44501e-15\n", " 8.88178e-16\n", " 4.65268e-16\n", " 7.10629e-15" ] }, "execution_count": 69, "metadata": {}, "output_type": "execute_result" } ], "source": [ "abs.(g1 - grid_x)" ] }, { "cell_type": "code", "execution_count": 70, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11-element Array{Complex{Float64},1}:\n", " 0.0-1.52306im\n", " 0.0+1.65733im\n", " 0.0-1.99478im\n", " 0.0+2.78846im\n", " -2.22045e-16-5.35102im\n", " 4.44089e-16+0.0im \n", " -2.22045e-16+5.35102im\n", " 0.0-2.78846im\n", " 0.0+1.99478im\n", " 0.0-1.65733im\n", " 0.0+1.52306im" ] }, "execution_count": 70, "metadata": {}, "output_type": "execute_result" } ], "source": [ "T*grid_x" ] }, { "cell_type": "code", "execution_count": 73, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11-element Array{Float64,1}:\n", " -5.35102\n", " -2.78846\n", " -1.99478\n", " -1.65733\n", " -1.52306\n", " 0.0 \n", " 1.52306\n", " 1.65733\n", " 1.99478\n", " 2.78846\n", " 5.35102" ] }, "execution_count": 73, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sort( imag(T'*grid_x) )" ] }, { "cell_type": "code", "execution_count": 75, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "2.5625579835159282" ] }, "execution_count": 75, "metadata": {}, "output_type": "execute_result" } ], "source": [ "xx = sort( imag(T*grid_x) );\n", "xx[2] - xx[1]" ] }, { "cell_type": "code", "execution_count": 76, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0.9090909090909087" ] }, "execution_count": 76, "metadata": {}, "output_type": "execute_result" } ], "source": [ "grid_x[2] - grid_x[1]" ] }, { "cell_type": "code", "execution_count": 78, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "11×11 Array{Float64,2}:\n", " 1.0 9.02723e-17 1.84404e-16 … 7.43118e-16 1.49882e-15\n", " 9.02723e-17 1.0 8.08411e-16 6.10623e-16 7.01043e-16\n", " 1.84404e-16 8.08411e-16 1.0 3.78233e-16 2.67508e-16\n", " 8.71688e-18 2.02302e-16 3.6835e-16 1.04314e-16 1.82148e-16\n", " 3.29854e-17 1.97766e-16 3.62221e-17 7.98161e-17 1.5836e-16 \n", " 1.70003e-16 9.02056e-17 9.71445e-17 … 9.02056e-17 1.83881e-16\n", " 1.8562e-16 7.98161e-17 2.15357e-16 1.97766e-16 3.52777e-17\n", " 1.89087e-16 1.04314e-16 4.64958e-16 2.02302e-16 1.56366e-17\n", " 2.67508e-16 3.78233e-16 8.32667e-16 8.08411e-16 1.84404e-16\n", " 7.43118e-16 6.10623e-16 3.78233e-16 1.0 7.70342e-17\n", " 1.49882e-15 7.01043e-16 2.67508e-16 … 7.70342e-17 1.0 " ] }, "execution_count": 78, "metadata": {}, "output_type": "execute_result" } ], "source": [ "abs.(T'*T)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Function expansion" ] }, { "cell_type": "code", "execution_count": 112, "metadata": {}, "outputs": [], "source": [ "L = 10.0\n", "N = 10\n", "Nbasis = 2*N + 1\n", "grid_x = Array{Float64}(Nbasis)\n", "for α = 1:Nbasis\n", " grid_x[α] = L/Nbasis*(α-N-1)\n", "end\n", "Δ = L/Nbasis;" ] }, { "cell_type": "code", "execution_count": 96, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "myfunc (generic function with 2 methods)" ] }, "execution_count": 96, "metadata": {}, "output_type": "execute_result" } ], "source": [ "function myfunc(L::Float64, x::Float64)\n", " ω = 2*pi/L\n", " f = cos(ω*x)*sin(2*ω*x)\n", " return f\n", "end" ] }, { "cell_type": "code", "execution_count": 97, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "PyPlot.Figure(PyObject <matplotlib.figure.Figure object at 0x2b9b4369ac50>)" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "1-element Array{Any,1}:\n", " PyObject <matplotlib.lines.Line2D object at 0x2b9b43720d50>" ] }, "execution_count": 97, "metadata": {}, "output_type": "execute_result" } ], "source": [ "NptsPlot = 200\n", "x = Array{Float64}(linspace(-L,L,NptsPlot));\n", "y = Array{Float64}(NptsPlot)\n", "for i = 1:NptsPlot\n", " y[i] = myfunc(L,x[i])\n", "end\n", "plt.clf()\n", "plt.grid()\n", "plt.plot(x,y)" ] }, { "cell_type": "code", "execution_count": 113, "metadata": {}, "outputs": [], "source": [ "ex_coefs = Array{Float64}(Nbasis)\n", "for i = 1:Nbasis\n", " ex_coefs[i] = myfunc(L, grid_x[i])\n", "end" ] }, { "cell_type": "code", "execution_count": 114, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "eval_from_ex_coefs (generic function with 1 method)" ] }, "execution_count": 114, "metadata": {}, "output_type": "execute_result" } ], "source": [ "function eval_from_ex_coefs( ex_coef::Array{Float64}, L, grid_x, x )\n", " f = 0.0\n", " for i = 1:Nbasis\n", " f = f + ex_coef[i]*eval_LF1d_p( i, L, grid_x, x )\n", " end\n", " return f\n", "end" ] }, { "cell_type": "code", "execution_count": 116, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(-0.20112857994911162, -0.29146300264686675)" ] }, "execution_count": 116, "metadata": {}, "output_type": "execute_result" } ], "source": [ "eval_from_ex_coefs( ex_coefs, L, grid_x, grid_x[1] ), myfunc(L, grid_x[1])" ] }, { "cell_type": "code", "execution_count": 101, "metadata": {}, "outputs": [ { "ename": "LoadError", "evalue": "\u001b[91mMethodError: Cannot `convert` an object of type Void to an object of type Float64\nThis may have arisen from a call to the constructor Float64(...),\nsince type constructors fall back to convert methods.\u001b[39m", "output_type": "error", "traceback": [ "\u001b[91mMethodError: Cannot `convert` an object of type Void to an object of type Float64\nThis may have arisen from a call to the constructor Float64(...),\nsince type constructors fall back to convert methods.\u001b[39m", "", "Stacktrace:", " [1] \u001b[1msetindex!\u001b[22m\u001b[22m\u001b[1m(\u001b[22m\u001b[22m::Array{Float64,1}, ::Void, ::Int64\u001b[1m)\u001b[22m\u001b[22m at \u001b[1m./array.jl:549\u001b[22m\u001b[22m", " [2] \u001b[1mmacro expansion\u001b[22m\u001b[22m at \u001b[1m./In[101]:7\u001b[22m\u001b[22m [inlined]", " [3] \u001b[1manonymous\u001b[22m\u001b[22m at \u001b[1m./<missing>:?\u001b[22m\u001b[22m" ] } ], "source": [ "NptsPlot = 200\n", "x = Array{Float64}(linspace(-L,L,NptsPlot));\n", "y1 = Array{Float64}(NptsPlot)\n", "y2 = Array{Float64}(NptsPlot)\n", "for i = 1:NptsPlot\n", " y1[i] = myfunc(L,x[i])\n", " y2[i] = eval_from_ex_coefs( ex_coefs, L, grid_x, x[i])\n", "end\n", "plt.clf()\n", "plt.grid()\n", "plt.plot(x,y1)\n", "plt.plot(x,y2)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Julia 0.6.0", "language": "julia", "name": "julia-0.6" }, "language_info": { "file_extension": ".jl", "mimetype": "application/julia", "name": "julia", "version": "0.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
sampattuzzi/interview-insights
interview_insights.ipynb
1
18317
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Data" ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pandas as pd \n", "import numpy as np \n", "import matplotlib.pyplot as plt \n", "\n", "def cat_split(n):\n", " def inner(x):\n", " hierarchy = x.split('>')\n", " hierarchy = hierarchy + [\"\"]*(n - len(hierarchy))\n", " return tuple(hierarchy)\n", " return inner" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Problem</th>\n", " <th>Pain</th>\n", " <th>People</th>\n", " <th>Code</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Uses pact</td>\n", " <td>1</td>\n", " <td>johnf</td>\n", " <td>(accountability, uses-product, )</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Uses fitbit</td>\n", " <td>2</td>\n", " <td>johnf</td>\n", " <td>(tracking, uses-product, )</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Has read \"The Power of Habit\"</td>\n", " <td>1</td>\n", " <td>jake</td>\n", " <td>(challenges, passive, reading)</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Shares with his wife</td>\n", " <td>1</td>\n", " <td>jake</td>\n", " <td>(accountability, wife, )</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Asked GF to ring in the morning</td>\n", " <td>2</td>\n", " <td>johnf</td>\n", " <td>(accountability, wife, )</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Problem Pain People \\\n", "0 Uses pact 1 johnf \n", "1 Uses fitbit 2 johnf \n", "2 Has read \"The Power of Habit\" 1 jake \n", "3 Shares with his wife 1 jake \n", "4 Asked GF to ring in the morning 2 johnf \n", "\n", " Code \n", "0 (accountability, uses-product, ) \n", "1 (tracking, uses-product, ) \n", "2 (challenges, passive, reading) \n", "3 (accountability, wife, ) \n", "4 (accountability, wife, ) " ] }, "execution_count": 125, "metadata": {}, "output_type": "execute_result" } ], "source": [ "problems = pd.read_csv('problems.csv', sep=', ')\n", "problems['Code'] = problems['Code'].apply(cat_split(3))\n", "problems" ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>People</th>\n", " <th>First Name</th>\n", " <th>Last Name</th>\n", " <th>Age</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>johnf</td>\n", " <td>John</td>\n", " <td>Fawcett</td>\n", " <td>38</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>jake</td>\n", " <td>Jake</td>\n", " <td>Desyllas</td>\n", " <td>43</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " People First Name Last Name Age\n", "0 johnf John Fawcett 38\n", "1 jake Jake Desyllas 43" ] }, "execution_count": 115, "metadata": {}, "output_type": "execute_result" } ], "source": [ "people = pd.read_csv('people.csv', sep=', ')\n", "people" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Demographic</th>\n", " <th>People</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>(job, entrepreneur, )</td>\n", " <td>johnf</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>(job, creative, blogger)</td>\n", " <td>johnf</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>(job, creative, writer)</td>\n", " <td>johnf</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>(habit, diet, )</td>\n", " <td>johnf</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>(habit, exercise, )</td>\n", " <td>johnf</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>(job, coach, )</td>\n", " <td>jake</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>(job, entrepreneur, )</td>\n", " <td>jake</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>(habit, writing, )</td>\n", " <td>jake</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>(habit, wakeing-up, )</td>\n", " <td>jake</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Demographic People\n", "0 (job, entrepreneur, ) johnf\n", "1 (job, creative, blogger) johnf\n", "2 (job, creative, writer) johnf\n", "3 (habit, diet, ) johnf\n", "4 (habit, exercise, ) johnf\n", "5 (job, coach, ) jake\n", "6 (job, entrepreneur, ) jake\n", "7 (habit, writing, ) jake\n", "8 (habit, wakeing-up, ) jake" ] }, "execution_count": 126, "metadata": {}, "output_type": "execute_result" } ], "source": [ "demographics = pd.read_csv('demographics.csv', sep=', ')\n", "demographics['Demographic'] = demographics['Demographic'].apply(cat_split(3))\n", "demographics" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Demographics by problem" ] }, { "cell_type": "code", "execution_count": 148, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Problem 0 Uses pact\n", " 1 Uses pact\n", " 2 Uses pact\n", " 3 Uses pact\n", " 4 Uses pact\n", " 5 Uses fitbit\n", " 6 Uses fitbit\n", " 7 Uses fitbit\n", " 8 Uses fitbit\n", " 9 Uses fitbit\n", " 10 Asked GF to ring in the morning\n", " 11 Asked GF to ring in the morning\n", " 12 Asked GF to ring in the morning\n", " 13 Asked GF to ring in the morning\n", " 14 Asked GF to ring in the morning\n", " 15 Has read \"The Power of Habit\"\n", " 16 Has read \"The Power of Habit\"\n", " 17 Has read \"The Power of Habit\"\n", " 18 Has read \"The Power of Habit\"\n", " 19 Shares with his wife\n", " 20 Shares with his wife\n", " 21 Shares with his wife\n", " 22 Shares with his wife\n", "Pain 0 1\n", " 1 1\n", " 2 1\n", " 3 1\n", " 4 1\n", " 5 2\n", " 6 2\n", " ... \n", "Code 16 (challenges, passive, reading)\n", " 17 (challenges, passive, reading)\n", " 18 (challenges, passive, reading)\n", " 19 (accountability, wife, )\n", " 20 (accountability, wife, )\n", " 21 (accountability, wife, )\n", " 22 (accountability, wife, )\n", "Demographic 0 (job, entrepreneur, )\n", " 1 (job, creative, blogger)\n", " 2 (job, creative, writer)\n", " 3 (habit, diet, )\n", " 4 (habit, exercise, )\n", " 5 (job, entrepreneur, )\n", " 6 (job, creative, blogger)\n", " 7 (job, creative, writer)\n", " 8 (habit, diet, )\n", " 9 (habit, exercise, )\n", " 10 (job, entrepreneur, )\n", " 11 (job, creative, blogger)\n", " 12 (job, creative, writer)\n", " 13 (habit, diet, )\n", " 14 (habit, exercise, )\n", " 15 (job, coach, )\n", " 16 (job, entrepreneur, )\n", " 17 (habit, writing, )\n", " 18 (habit, wakeing-up, )\n", " 19 (job, coach, )\n", " 20 (job, entrepreneur, )\n", " 21 (habit, writing, )\n", " 22 (habit, wakeing-up, )\n", "dtype: object" ] }, "execution_count": 148, "metadata": {}, "output_type": "execute_result" } ], "source": [ "prob_demo = pd.merge(problems, demographics, on='People')\n", "prob_demo.stack()\n", "prob_demo.unstack(1)" ] }, { "cell_type": "code", "execution_count": 146, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th colspan=\"8\" halign=\"left\">Pain</th>\n", " </tr>\n", " <tr>\n", " <th></th>\n", " <th></th>\n", " <th>Demographic</th>\n", " <th>(habit, diet, )</th>\n", " <th>(habit, exercise, )</th>\n", " <th>(habit, wakeing-up, )</th>\n", " <th>(habit, writing, )</th>\n", " <th>(job, coach, )</th>\n", " <th>(job, creative, blogger)</th>\n", " <th>(job, creative, writer)</th>\n", " <th>(job, entrepreneur, )</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">accountability</th>\n", " <th>uses-product</th>\n", " <th></th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>wife</th>\n", " <th></th>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1.5</td>\n", " </tr>\n", " <tr>\n", " <th>challenges</th>\n", " <th>passive</th>\n", " <th>reading</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>tracking</th>\n", " <th>uses-product</th>\n", " <th></th>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Pain \\\n", "Demographic (habit, diet, ) (habit, exercise, ) \n", "accountability uses-product 1 1 \n", " wife 2 2 \n", "challenges passive reading NaN NaN \n", "tracking uses-product 2 2 \n", "\n", " \\\n", "Demographic (habit, wakeing-up, ) (habit, writing, ) \n", "accountability uses-product NaN NaN \n", " wife 1 1 \n", "challenges passive reading 1 1 \n", "tracking uses-product NaN NaN \n", "\n", " \\\n", "Demographic (job, coach, ) (job, creative, blogger) \n", "accountability uses-product NaN 1 \n", " wife 1 2 \n", "challenges passive reading 1 NaN \n", "tracking uses-product NaN 2 \n", "\n", " \\\n", "Demographic (job, creative, writer) \n", "accountability uses-product 1 \n", " wife 2 \n", "challenges passive reading NaN \n", "tracking uses-product 2 \n", "\n", " \n", "Demographic (job, entrepreneur, ) \n", "accountability uses-product 1.0 \n", " wife 1.5 \n", "challenges passive reading 1.0 \n", "tracking uses-product 2.0 " ] }, "execution_count": 146, "metadata": {}, "output_type": "execute_result" } ], "source": [ "prob_demo_pivot = prob_demo.pivot_table(index='Code', columns='Demographic')\n", "prob_demo_pivot.index = pd.MultiIndex.from_tuples(prob_demo_pivot.index)\n", "prob_demo_pivot" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-2.0
joefutrelle/scipy-talk
talk4/5 iteration over sequences and dicts.ipynb
1
2057
{ "metadata": { "name": "", "signature": "sha256:2f1f6105bbb3053472b6d9e983455a29d712414dd8cfc0e3323a08e08da97f6e" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "# iteration is typically done using for/in and indented block\n", "a = range(10)\n", "\n", "for c in a:\n", " print 'hi'" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "hi\n", "hi\n", "hi\n", "hi\n", "hi\n", "hi\n", "hi\n", "hi\n", "hi\n", "hi\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "# tuples and lists are iterable" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "# dicts are iterable (over keys), but iteration is not ordered\n", "d = { 'a': 1, 'b': 2 }\n", "\n", "for k in d:\n", " print k, d[k]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "a 1\n", "b 2\n" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "# use \"zip\" to iterate over multiple sequences (dict example)\n", "a = 'fish'\n", "b = range(4)\n", "\n", "for x, y in zip(a,b):\n", " print x, y" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "f 0\n", "i 1\n", "s 2\n", "h 3\n" ] } ], "prompt_number": 5 } ], "metadata": {} } ] }
mit
SATHVIKRAJU/Inferential_Statistics
Human_Temp.ipynb
1
28321
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# What is the True Normal Human Body Temperature? \n", "\n", "#### Background\n", "\n", "The mean normal body temperature was held to be 37$^{\\circ}$C or 98.6$^{\\circ}$F for more than 120 years since it was first conceptualized and reported by Carl Wunderlich in a famous 1868 book. But, is this value statistically correct?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"span5 alert alert-info\">\n", "<h3>Exercises</h3>\n", "\n", "<p>In this exercise, you will analyze a dataset of human body temperatures and employ the concepts of hypothesis testing, confidence intervals, and statistical significance.</p>\n", "\n", "<p>Answer the following questions <b>in this notebook below and submit to your Github account</b>.</p> \n", "\n", "<ol>\n", "<li> Is the distribution of body temperatures normal? \n", " <ul>\n", " <li> Although this is not a requirement for CLT to hold (read CLT carefully), it gives us some peace of mind that the population may also be normally distributed if we assume that this sample is representative of the population.\n", " </ul>\n", "<li> Is the sample size large? Are the observations independent?\n", " <ul>\n", " <li> Remember that this is a condition for the CLT, and hence the statistical tests we are using, to apply.\n", " </ul>\n", "<li> Is the true population mean really 98.6 degrees F?\n", " <ul>\n", " <li> Would you use a one-sample or two-sample test? Why?\n", " <li> In this situation, is it appropriate to use the $t$ or $z$ statistic? \n", " <li> Now try using the other test. How is the result be different? Why?\n", " </ul>\n", "<li> At what temperature should we consider someone's temperature to be \"abnormal\"?\n", " <ul>\n", " <li> Start by computing the margin of error and confidence interval.\n", " </ul>\n", "<li> Is there a significant difference between males and females in normal temperature?\n", " <ul>\n", " <li> What test did you use and why?\n", " <li> Write a story with your conclusion in the context of the original problem.\n", " </ul>\n", "</ol>\n", "\n", "You can include written notes in notebook cells using Markdown: \n", " - In the control panel at the top, choose Cell > Cell Type > Markdown\n", " - Markdown syntax: http://nestacms.com/docs/creating-content/markdown-cheat-sheet\n", "\n", "#### Resources\n", "\n", "+ Information and data sources: http://www.amstat.org/publications/jse/datasets/normtemp.txt, http://www.amstat.org/publications/jse/jse_data_archive.htm\n", "+ Markdown syntax: http://nestacms.com/docs/creating-content/markdown-cheat-sheet\n", "\n", "****\n", "</div>" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>temperature</th>\n", " <th>gender</th>\n", " <th>heart_rate</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>99.3</td>\n", " <td>F</td>\n", " <td>68.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>98.4</td>\n", " <td>F</td>\n", " <td>81.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>97.8</td>\n", " <td>M</td>\n", " <td>73.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>99.2</td>\n", " <td>F</td>\n", " <td>66.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>98.0</td>\n", " <td>F</td>\n", " <td>73.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " temperature gender heart_rate\n", "0 99.3 F 68.0\n", "1 98.4 F 81.0\n", "2 97.8 M 73.0\n", "3 99.2 F 66.0\n", "4 98.0 F 73.0" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "from scipy import stats\n", "df = pd.read_csv('data/human_body_temperature.csv')\n", "df.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# The normal distribution test:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYoAAAEKCAYAAAAMzhLIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x22b08ac1160>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "NormaltestResult(statistic=2.7038014333192359, pvalue=0.25874798634882118)" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x=df.sort_values(\"temperature\",axis=0)\n", "t=x[\"temperature\"]\n", "#print(np.mean(t))\n", "\n", "plot_fit = stats.norm.pdf(t, np.mean(t), np.std(t)) \n", "plt.plot(t,plot_fit,'-o')\n", "plt.hist(df.temperature, bins = 20 ,normed = True)\n", "plt.ylabel('Frequency')\n", "plt.xlabel('Temperature')\n", "plt.show()\n", "stats.normaltest(t)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To check if the distribution of temperature is normal, it is always better to visualize it. We plot the histogram of the values and plot the fitted values to obtain a normal distribution. We see that there are a few outliers in the distribution on the right side but still it correlates as a normal distribution. \n", "\n", "Performing the Normaltest using Scipy's normal function and we obtain the p value of 0.25. Assuming the statistical significance to be 0.05 and the Null hypothesis being the distribution is normal. We can accept the Null hypothesis as the obtained p-value is greater than 0.05 which can also confirm the normal distribution." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "130\n" ] } ], "source": [ "#Question 2: \n", "no_of_samples=df[\"temperature\"].count()\n", "print(no_of_samples)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We see the sample size is n= 130 and as a general rule of thumb inorder for CLT to be validated \n", "it is necessary for n>30. Hence the sample size is compartively large." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Question 3 \n", "HO: The true population mean is 98.6 degrees F (Null hypothesis)\n", "H1: The true population mean is not 98.6 degrees F (Alternative hypothesis)\n", "\n", "Alternatively we can state that,\n", "HO: μ1 = μ2\n", "H1: μ1 ≠ μ2" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The z score is given by: 5.454823 and the p-value is given by 0.000000049\n", "The t score is given by: 5.454823 and the p-value is given by 0.000000241\n" ] } ], "source": [ "from statsmodels.stats.weightstats import ztest\n", "from scipy.stats import ttest_ind\n", "from scipy.stats import ttest_1samp\n", "t_score=ttest_1samp(t,98.6)\n", "t_score_abs=abs(t_score[0])\n", "t_score_p_abs=abs(t_score[1])\n", "z_score=ztest(t,value=98.6)\n", "z_score_abs=abs(z_score[0])\n", "p_value_abs=abs(z_score[1])\n", "print(\"The z score is given by: %F and the p-value is given by %6.9F\"%(z_score_abs,p_value_abs))\n", "print(\"The t score is given by: %F and the p-value is given by %6.9F\"%(t_score_abs,t_score_p_abs))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Choosing one sample test vs two sample test:\n", "\n", "The problem defined has a single sample and we need to test against the population mean and hence we would use a one sample test as against the two sample test. \n", "\n", "T-test vs Z-test:\n", "\n", "T-test is chosen and best suited when n<30 and hence we can choose z-test for this particular distribution.Also here we are comparing the mean of the population against a predetermined value i.e. 98.6 and it is best to use z-test. T- test is more useful when we compare the means of two sample distributions and check to see if there is a difference between them. \n", "\n", "The p value is 0.000000049 which is less than the usual significance level 0.05 and hence we can reject the Null hypothesis and say that the population mean is not 98.6 \n", "\n", "Trying the t-test: Since we are comparing the mean value to a reference number, the calculation of both z score and t score remains same and hence value remains same. However the p-value differs slighlty from the other. \n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The Confidence Interval Lies between 98.123682 and 98.374779\n" ] } ], "source": [ "#Question 4:\n", "#For a 95% Confidence Interval the Confidence interval can be computed as:\n", "variance_=np.std(t)/np.sqrt(no_of_samples)\n", "mean_=np.mean(t)\n", "confidence_interval = stats.norm.interval(0.95, loc=mean_, scale=variance_)\n", "print(\"The Confidence Interval Lies between %F and %F\"%(confidence_interval[0],confidence_interval[1]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Any temperatures out of this range should be considered abnormal." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Question 5:\n", "Here we use t-test statistic because we want to compare the mean of two groups involved, the male and the female group and it is better to use a t-test." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Ttest_indResult(statistic=-2.2854345381656103, pvalue=0.023931883122395609)" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "temp_male=df.temperature[df.gender=='M']\n", "female_temp=df.temperature[df.gender=='F']\n", "ttest_ind(temp_male,female_temp)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Considering the Null hypothesis that there is no difference between the two groups, the p-value observed is lesser than the significance level and hence we can reject the Null hypothesis saying that there is a difference in the body temperature amongst men and women." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
gaufung/Data_Analytics_Learning_Note
python-statatics-tutorial/advance-theme/Request.ipynb
1
12111
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Python Request 库入门" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# 1 urllib2 和 Request对比\n", "*Get*请求至`https://api.github.com/`" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "issues_url https://api.github.com/issues\n", "current_user_repositories_url https://api.github.com/user/repos{?type,page,per_page,sort}\n", "rate_limit_url https://api.github.com/rate_limit\n", "repository_search_url https://api.github.com/search/repositories?q={query}{&page,per_page,sort,order}\n", "user_organizations_url https://api.github.com/user/orgs\n", "commit_search_url https://api.github.com/search/commits?q={query}{&page,per_page,sort,order}\n", "repository_url https://api.github.com/repos/{owner}/{repo}\n", "emojis_url https://api.github.com/emojis\n", "hub_url https://api.github.com/hub\n", "keys_url https://api.github.com/user/keys\n", "following_url https://api.github.com/user/following{/target}\n", "emails_url https://api.github.com/user/emails\n", "authorizations_url https://api.github.com/authorizations\n", "code_search_url https://api.github.com/search/code?q={query}{&page,per_page,sort,order}\n", "followers_url https://api.github.com/user/followers\n", "public_gists_url https://api.github.com/gists/public\n", "organization_url https://api.github.com/orgs/{org}\n", "gists_url https://api.github.com/gists{/gist_id}\n", "feeds_url https://api.github.com/feeds\n", "user_search_url https://api.github.com/search/users?q={query}{&page,per_page,sort,order}\n", "user_url https://api.github.com/users/{user}\n", "events_url https://api.github.com/events\n", "organization_repositories_url https://api.github.com/orgs/{org}/repos{?type,page,per_page,sort}\n", "current_user_url https://api.github.com/user\n", "issue_search_url https://api.github.com/search/issues?q={query}{&page,per_page,sort,order}\n", "notifications_url https://api.github.com/notifications\n", "starred_url https://api.github.com/user/starred{/owner}{/repo}\n", "starred_gists_url https://api.github.com/gists/starred\n", "current_user_authorizations_html_url https://github.com/settings/connections/applications{/client_id}\n", "user_repositories_url https://api.github.com/users/{user}/repos{?type,page,per_page,sort}\n", "team_url https://api.github.com/teams\n" ] } ], "source": [ "import urllib2\n", "import requests\n", "import json\n", "gh_url = 'https://api.github.com'\n", "gh_user = 'gaufung'\n", "gh_pw = 'gaofenggit123'\n", "req = urllib2.Request(gh_url)\n", "\n", "password_manager = urllib2.HTTPPasswordMgrWithDefaultRealm()\n", "password_manager.add_password(None, gh_url, gh_user, gh_pw)\n", "\n", "auth_manager = urllib2.HTTPBasicAuthHandler(password_manager)\n", "opener = urllib2.build_opener(auth_manager)\n", "\n", "urllib2.install_opener(opener)\n", "\n", "handler = urllib2.urlopen(req)\n", "\n", "if handler.getcode() == requests.codes.ok:\n", " text = handler.read()\n", " d_text = json.loads(text)\n", " for k, v in d_text.items():\n", " print k, v" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import requests\n", "import json\n", "gh_url = 'https://api.github.com'\n", "gh_user = 'gaufung'\n", "gh_pw = 'gaofenggit123'\n", "r = requests.get(gh_url,auth=(gh_user,gh_pw))\n", "if r.status_code == requests.codes.ok:\n", " for k, v in r.json().items():\n", " print k,v" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 2 基本用法" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import requests\n", "cs_url = 'http://httpbin.org'\n", "r = requests.get(\"%s/%s\" % (cs_url, 'get'))\n", "r = requests.post(\"%s/%s\" % (cs_url, 'post'))\n", "r = requests.put(\"%s/%s\" % (cs_url, 'put'))\n", "r = requests.delete(\"%s/%s\" % (cs_url, 'delete'))\n", "r = requests.patch(\"%s/%s\" % (cs_url, 'patch'))\n", "r = requests.options(\"%s/%s\" % (cs_url, 'get'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 3 URL 传参 \n", "> https://encrypted.google.com/search?q=hello \n", "\n", "> <协议>://<域名>/<接口>?<键1>=<值1>&<键2>=<值2> \n", "\n", "requests 库提供的 HTTP 方法,都提供了名为 params 的参数。这个参数可以接受一个 Python 字典,并自动格式化为上述格式。" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "https://www.so.com/s?q=query&ie=utf-8\n" ] } ], "source": [ "import requests\n", "cs_url = 'https://www.so.com/s'\n", "param = {'ie':'utf-8','q':'query'}\n", "r = requests.get(cs_url,params = param)\n", "print r.url" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4 设置超时\n", "requests 的超时设置以秒为单位。例如,对请求加参数 timeout = 5 即可设置超时为 5 秒" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import requests\n", "cs_url = 'https://www.zhihu.com'\n", "r = requests.get(cs_url,timeout=100)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 5 请求头" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{\n", " \"args\": {}, \n", " \"headers\": {\n", " \"Accept\": \"*/*\", \n", " \"Accept-Encoding\": \"gzip, deflate\", \n", " \"Host\": \"httpbin.org\", \n", " \"User-Agent\": \"python-requests/2.11.1\"\n", " }, \n", " \"origin\": \"117.136.68.150\", \n", " \"url\": \"http://httpbin.org/get\"\n", "}\n", "\n" ] } ], "source": [ "import requests\n", "\n", "cs_url = 'http://httpbin.org/get'\n", "r = requests.get (cs_url)\n", "print r.content" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "通常我们比较关注其中的 User-Agent 和 Accept-Encoding。如果我们要修改 HTTP 头中的这两项内容,只需要将一个合适的字典参数传给 headers 即可。" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{\n", " \"args\": {}, \n", " \"headers\": {\n", " \"Accept\": \"*/*\", \n", " \"Accept-Encoding\": \"gzip\", \n", " \"Host\": \"httpbin.org\", \n", " \"User-Agent\": \"From Liam Huang\"\n", " }, \n", " \"origin\": \"117.136.68.150\", \n", " \"url\": \"http://httpbin.org/get\"\n", "}\n", "\n" ] } ], "source": [ "import requests\n", "\n", "my_headers = {'User-Agent' : 'From Liam Huang', 'Accept-Encoding' : 'gzip'}\n", "cs_url = 'http://httpbin.org/get'\n", "r = requests.get (cs_url, headers = my_headers)\n", "print r.content" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 6 响应头" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{'Content-Length': '239', 'Server': 'nginx', 'Connection': 'keep-alive', 'Access-Control-Allow-Credentials': 'true', 'Date': 'Fri, 06 Jan 2017 07:29:47 GMT', 'Access-Control-Allow-Origin': '*', 'Content-Type': 'application/json'}\n" ] } ], "source": [ "import requests\n", "\n", "cs_url = 'http://httpbin.org/get'\n", "r = requests.get (cs_url)\n", "print r.headers" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 7 响应内容" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "长期以来,互联网都存在带宽有限的情况。因此,网络上传输的数据,很多情况下都是经过压缩的。经由 requests 发送的请求,当收到的响应内容经过 gzip 或 deflate 压缩时,requests 会自动为我们解包。我们可以用 Response.content 来获得以字节形式返回的相应内容。" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import requests\n", "\n", "cs_url = 'https://www.zhihu.com'\n", "r = requests.get (cs_url)\n", "\n", "if r.status_code == requests.codes.ok:\n", " print r.content" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "如果相应内容不是文本,而是二进制数据(比如图片),则需要进行响应的解码" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import requests\n", "from PIL import Image\n", "from StringIO import StringIO\n", "\n", "cs_url = 'http://liam0205.me/uploads/avatar/avatar-2.jpg'\n", "r = requests.get (cs_url)\n", "\n", "if r.status_code == requests.codes.ok:\n", " Image.open(StringIO(r.content)).show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "文本模式解码" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "bad request\n" ] } ], "source": [ "import requests\n", "\n", "cs_url = 'https://www.zhihu.com'\n", "r = requests.get (cs_url,auth=('[email protected]','gaofengcumt'))\n", "\n", "if r.status_code == requests.codes.ok:\n", " print r.text\n", "else:\n", " print 'bad request'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 8 反序列化 JSON 数据" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "美国\n" ] } ], "source": [ "import requests\n", "\n", "cs_url = 'http://ip.taobao.com/service/getIpInfo.php'\n", "my_param = {'ip':'8.8.8.8'}\n", "\n", "r = requests.get(cs_url, params = my_param)\n", "\n", "print r.json()['data']['country'].encode('utf-8')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
Nikolay-Lysenko/presentations
hard_use_and_abuse/surrogate_modelling_with_neural_networks.ipynb
1
534460
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Introduction" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The problem of [surrogate modelling](https://en.wikipedia.org/wiki/Surrogate_model) is as follows. There are several control variables that can be changed by a decision maker and the goal is to find optimal vector of control variables' values, but a loss to be minimized is hard to measure and so its values are available only on limited amount of control variables' vectors. The approach of surrogate modelling lies in building a based on available data model that approximates the loss function on the whole set of available inputs. Then a minimum point of loss function's approximation is regarded as minimum point of real loss.\n", "\n", "Let us provide an example of situation where surrogate modelling is appropriate. Suppose that engineers are going to create new alloy of two metals and these engineers have two control variables: proportion of one metal to the other and intensity of heat treatment. Assume also that a loss is fragility of alloy. Engineers can produce pieces of various alloys that are obtained with several values of control variables and test them empirically, but this procedure is expensive. After some data are gathered, it is possible to build an approximation of fragility function and then test an alloy that corresponds to its minimum point.\n", "\n", "In this notebook, machine learning approach is used for surrogate modelling of some functions of two variables. Note that usage of tree-based ensembles is not interesting in this context, because they can not make a prediction that is below target's minimum over training sample or above target's maximum over training sample and, in particular, they can not extrapolate trends. Neural networks are a more intriguing choice." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# General Preparations" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Using TensorFlow backend.\n" ] } ], "source": [ "from functools import partial\n", "\n", "import numpy as np\n", "import pandas as pd\n", "\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "import seaborn as sns\n", "\n", "from sklearn.model_selection import train_test_split\n", "from sklearn.metrics import r2_score\n", "\n", "import keras\n", "from keras.layers import Dense, Dropout\n", "from keras.layers.advanced_activations import LeakyReLU\n", "from keras.initializers import RandomNormal\n", "from keras.callbacks import EarlyStopping\n", "\n", "from tensorflow import set_random_seed as set_random_seed_for_tf\n", "\n", "from tqdm import tqdm" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sns.set() # Make all graphs prettier." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "np.random.seed(361)\n", "set_random_seed_for_tf(361)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Template of Experiments" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Designs of Experiments" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Since functions of just two arguments are studied here, there is no curse of dimensionality. Hence, complex designs such as [Latin hypercubes](https://en.wikipedia.org/wiki/Latin_hypercube_sampling), [Sobol sequences](https://en.wikipedia.org/wiki/Sobol_sequence), or [Halton sequences](https://en.wikipedia.org/wiki/Halton_sequence) are not necessary. Only two designs are used:\n", "* Random sampling from uniform distribution on a constrained segment of domain;\n", "* Grid of particular size and equal steps. " ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def draw_from_uniform_distribution(n_samples, x_borders, y_borders):\n", " \"\"\"\n", " Draws `n_samples` from a uniform\n", " distribution on a rectangle that is\n", " a Cartesian product of intervals with\n", " ends represented by `x_borders` and\n", " `y_borders` respectively.\n", " \n", " @type n_samples: int\n", " @type x_borders: tuple(float)\n", " @type y_borders: tuple(float)\n", " @rtype: numpy.ndarray\n", " \"\"\"\n", " xs = np.random.uniform(x_borders[0], x_borders[1], n_samples).reshape((-1, 1))\n", " ys = np.random.uniform(y_borders[0], y_borders[1], n_samples).reshape((-1, 1))\n", " return np.hstack((xs, ys))" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def create_grid(step, left_bottom_corner, x_n_steps, y_n_steps):\n", " \"\"\"\n", " Returns array of points from a rectangular\n", " grid with `step` as vertical or horizontal\n", " distance between adjacent nodes. Size of\n", " grid is determined by `x_n_steps` and\n", " `y_n_steps`, while its location is determined\n", " via `left_bottom_corner`.\n", " \n", " @type step: float\n", " @type left_bottom_corner: tuple(float)\n", " @type x_n_steps: int\n", " @type y_n_steps: int\n", " @rtype: numpy.ndarray\n", " \"\"\"\n", " xs = [left_bottom_corner[0] + i * step for i in range(x_n_steps)]\n", " ys = [left_bottom_corner[1] + i * step for i in range(y_n_steps)]\n", " return np.transpose([np.tile(xs, len(ys)), np.repeat(ys, len(xs))])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Actually, brute force over points from above designs is used for surrogate loss optimization. More complex techniques (e.g. gradient-based optimization or [Nelder-Mead method](https://en.wikipedia.org/wiki/Nelder%E2%80%93Mead_method)) are not used, because brute force is computationally feasible due to low dimensionality." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Runner" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class RunnerOfSurrogateModelling(object):\n", " \"\"\"\n", " Structurizes utilities that are useful\n", " for the following experiment. Given a\n", " function of two real-valued arguments\n", " `func` (actually, `func` must have also\n", " an optional argument `noise_stddev`),\n", " learning sample for this function recovery\n", " is drawn from an experiment that is\n", " designed in accordance with `measurement_doe`.\n", " Then a neural network returned by\n", " `build_model` is trained to approximate `func`\n", " and its optimum is found in accordance\n", " with `optimization_doe`.\n", " \"\"\"\n", " \n", " def __init__(self, func, noise_stddev, build_model,\n", " measurement_doe, optimization_doe):\n", " \"\"\"\n", " @type func: function\n", " @type noise_stddev: float\n", " @type build_model: function\n", " @type measurement_doe: str\n", " @type optimization_doe: str\n", " \"\"\"\n", " self.func = func\n", " self.noise_stddev = noise_stddev\n", " self.build_model = build_model\n", " \n", " if measurement_doe == 'random':\n", " self.draw_measurements = draw_from_uniform_distribution\n", " elif measurement_doe == 'grid':\n", " self.draw_measurements = create_grid\n", " else:\n", " raise ValueError(\"Unknown `measurement_doe`: {}\".format(measurement_doe))\n", " \n", " if optimization_doe == 'random':\n", " self.draw_candidates = draw_from_uniform_distribution\n", " elif optimization_doe == 'grid':\n", " self.draw_candidates = create_grid\n", " else:\n", " raise ValueError(\"Unknown `optimization_doe`: {}\".format(optimization_doe))\n", " \n", " self.train_data = None\n", " self.model = None\n", " self.goodness_of_fit = None\n", " self.minimum_point = None\n", " self.actual_value_at_minimum_point = None\n", " self.benchmark = None\n", " \n", " def _draw_train_data(self, **kwargs):\n", " \"\"\"\n", " Draws data according to design of\n", " experiment specified by `kwargs` and\n", " `self.draw_measurements`.\n", " \n", " @rtype: NoneType\n", " \"\"\"\n", " train_inputs = self.draw_measurements(**kwargs)\n", " self.train_data = pd.DataFrame(train_inputs, columns=['x', 'y'])\n", " self.train_data['target'] = self.train_data.apply(\n", " lambda row: self.func(row['x'], row['y'], self.noise_stddev), axis=1)\n", " \n", " def _train_surrogate_model(self, **kwargs):\n", " \"\"\"\n", " Fits `self.model` to `self.train_data`\n", " with specified hyperparameters.\n", " \n", " @rtype: NoneType\n", " \"\"\"\n", " # In Keras, there is no easy way to reset weights without recompiling a model.\n", " self.model = self.build_model()\n", " X_train, X_test, y_train, y_test = \\\n", " train_test_split(self.train_data[['x', 'y']].as_matrix(),\n", " self.train_data['target'].as_matrix(),\n", " random_state=361)\n", " hst = self.model.fit(X_train, y_train, validation_data=(X_test, y_test),\n", " callbacks=[EarlyStopping(patience=10)], verbose=0,\n", " **kwargs)\n", " self.goodness_of_fit = {'train_mse': hst.history['loss'][-1],\n", " 'val_mse': hst.history['val_loss'][-1]}\n", " \n", " def _compare_target_vs_surrogate(self, **kwargs):\n", " \"\"\"\n", " Plots two graphs near each other\n", " and computes R^2 coefficient of\n", " determination.\n", " \n", " @rtype: NoneType\n", " \"\"\"\n", " df = pd.DataFrame(create_grid(**kwargs), columns=['x', 'y'])\n", " df['target'] = df.apply(\n", " lambda row: self.func(row['x'], row['y'], noise_stddev=0),\n", " axis=1)\n", " df['surrogate'] = df.apply(\n", " lambda row: self.model.predict(row[['x', 'y']].as_matrix().reshape((1, 2)))[0, 0],\n", " axis=1)\n", " self.goodness_of_fit['r2'] = r2_score(df['target'], df['surrogate'])\n", " tqdm.write(\"Evaluation: overall R^2 is {:1.3f}\".format(self.goodness_of_fit['r2']))\n", " \n", " fig = plt.figure(figsize=(15, 7))\n", " \n", " ax_one = fig.add_subplot(121)\n", " ax_one.scatter(df['x'], df['y'], c=df['target'], cmap='coolwarm')\n", " ax_one.set_title('Actual function')\n", " ax_one.set_xlabel('x')\n", " ax_one.set_ylabel('y')\n", " ax_one.set_aspect('equal')\n", " \n", " # TODO: unify colormap scale.\n", " ax_two = fig.add_subplot(122)\n", " ax_two.scatter(df['x'], df['y'], c=df['surrogate'], cmap='coolwarm')\n", " ax_two.set_title('Surrogate function')\n", " ax_two.set_xlabel('x')\n", " ax_two.set_ylabel('y')\n", " ax_two.set_aspect('equal')\n", " \n", " def _compute_benchmark(self):\n", " \"\"\"\n", " Computes benchmark that is a score at\n", " a minimum point of (in general, noisy)\n", " measured target. Ties in values of the\n", " measured target are broken at random.\n", " \n", " @rtype: NoneType\n", " \"\"\"\n", " cond = self.train_data['target'] == self.train_data['target'].min()\n", " potential_inputs = self.train_data.loc[cond, ['x', 'y']]\n", " inputs = potential_inputs.sample(random_state=361).iloc[0, :]\n", " self.benchmark = self.func(inputs['x'], inputs['y'], noise_stddev=0)\n", " \n", " def _find_minimum_of_surrogate_target(self, **kwargs):\n", " \"\"\"\n", " Finds a point with the lowest prediction\n", " of `self.model` amongst points that are\n", " obtained in accordance with\n", " `self.optimization_doe`.\n", " Ties are broken by random choice.\n", " \n", " @rtype: NoneType\n", " \"\"\"\n", " candidates = self.draw_candidates(**kwargs)\n", " candidates = pd.DataFrame(candidates, columns=['x', 'y'])\n", " candidates['surrogate_target'] = candidates.apply(\n", " lambda row: self.model.predict(row.as_matrix().reshape((1, 2)))[0, 0],\n", " axis=1)\n", " \n", " cond = candidates['surrogate_target'] == candidates['surrogate_target'].min()\n", " minimum_points = candidates.loc[cond, ['x', 'y']]\n", " self.minimum_point = minimum_points.sample(random_state=361).iloc[0, :]\n", " self.minimum_point = self.minimum_point.as_matrix().reshape((1, 2))\n", " self.actual_value_at_minimum_point = self.func(\n", " self.minimum_point[0, 0], self.minimum_point[0, 1], noise_stddev=0)\n", " \n", " def run_experiment(self, n_runs, runs_to_be_evaluated, evaluation_settings,\n", " measurement_settings, train_settings, optimization_settings,\n", " same_train_sample_for_all_runs=True, verbose=False):\n", " \"\"\"\n", " Launchs `n_runs` experiments defined by\n", " `measurement_settings`, `train_settings`,\n", " and `optimization_settings`.\n", " For experiments that are in\n", " `runs_to_be_evaluated`, learnt surrogate\n", " function is plotted against the actual\n", " function with 'evaluation_settings`\n", " and R^2 score is computed. To avoid\n", " granularity of graphs, one should pass\n", " fine grid and it makes computations\n", " hard, so it is recommended to include\n", " in `runs_to_be_evaluated` only small\n", " proportion of runs.\n", " \n", " @type n_runs: int\n", " @type runs_to_be_evaluated: list(int)\n", " @type evaluation_settings: dict(str -> any)\n", " @type measurement_settings: dict(str -> any)\n", " @type train_settings: dict(str -> any)\n", " @type optimization_settings: dict(str -> any)\n", " @type same_train_sample_for_all_runs: bool\n", " @type verbose: bool\n", " @rtype: pandas.DataFrame\n", " \"\"\"\n", " results = []\n", " runs = tqdm(range(n_runs)) if verbose else range(n_runs)\n", " for i in runs:\n", " if self.train_data is None:\n", " self._draw_train_data(**measurement_settings)\n", " \n", " # Even if `same_train_sample_for_all_runs` is `True`,\n", " # benchmark can vary due to ties.\n", " self._compute_benchmark()\n", " \n", " self._train_surrogate_model(**train_settings)\n", " if i in runs_to_be_evaluated:\n", " self._compare_target_vs_surrogate(**evaluation_settings)\n", " self._find_minimum_of_surrogate_target(**optimization_settings)\n", " \n", " benchmark = self.benchmark\n", " score = self.actual_value_at_minimum_point\n", " minimum_point_x = self.minimum_point[0, 0]\n", " minimum_point_y = self.minimum_point[0, 1]\n", " results.append([benchmark, score, minimum_point_x, minimum_point_y])\n", " \n", " if not same_train_sample_for_all_runs:\n", " self.train_data = None\n", " result = pd.DataFrame(results, columns=['benchmark', 'score', 'x', 'y'])\n", " return result" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def build_mlp(hidden_layers_widths, keep_prob, learning_rate):\n", " \"\"\"\n", " Builds Multi-Layer Perceptron (MLP).\n", " \n", " @type hidden_layers_widths: list(int)\n", " @type keep_prob: float\n", " @type learning_rate: float\n", " @rtype: keras.Model\n", " \"\"\"\n", " model = keras.models.Sequential()\n", " model.add(\n", " Dense(hidden_layers_widths[0], input_dim=2,\n", " kernel_initializer=RandomNormal(stddev=0.01, seed=361)))\n", " model.add(LeakyReLU(alpha=0.003))\n", " model.add(Dropout(keep_prob))\n", " for i in range(1, len(hidden_layers_widths)):\n", " model.add(\n", " Dense(hidden_layers_widths[i],\n", " kernel_initializer=RandomNormal(stddev=0.01, seed=361)))\n", " model.add(LeakyReLU(alpha=0.001))\n", " model.add(Dropout(keep_prob))\n", " model.add(\n", " Dense(1, kernel_initializer=RandomNormal(stddev=0.01, seed=361)))\n", " model.compile(loss='mean_squared_error',\n", " optimizer=keras.optimizers.Adam(lr=learning_rate))\n", " return model" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Experiments" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Himmelblau's Function" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As an example of non-convex function with multiple global minima, [Himmelblau's function](https://en.wikipedia.org/wiki/Himmelblau%27s_function) is chosen here.\n", "\n", "It is: $$f(x, y) = (x^2+y-11)^2 + (x+y^2-7)^2.$$\n", "\n", "Himmelblau's function is of particular interest, because there is a region where it looks like plateau." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def noisy_himmelblaus_function(x, y, noise_stddev=0):\n", " \"\"\"\n", " Computes Himmelblau's function at\n", " point (`x`, `y`) and adds some\n", " Gaussian noise.\n", " \n", " @type x: float\n", " @type y: float\n", " @type noise_stddev: float\n", " @rtype: float\n", " \"\"\"\n", " himmelblaus_value = (x**2 + y - 11)**2 + (x + y**2 - 7)**2\n", " noise = np.random.normal(scale=noise_stddev, size=1)[0]\n", " return himmelblaus_value + noise" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Target definition.\n", "func = noisy_himmelblaus_function\n", "noise_stddev = 0.01\n", "\n", "# Model settings.\n", "hidden_layers_widths = [400, 200]\n", "keep_prob = 0.95\n", "learning_rate = 0.001\n", "train_settings = {'epochs': 100,\n", " 'batch_size': 32}\n", "\n", "# Design of experiment.\n", "measurement_doe = 'random'\n", "measurement_settings = {'n_samples': 20000,\n", " 'x_borders': (-7, 7),\n", " 'y_borders': (-7, 7)}\n", "optimization_doe = 'grid'\n", "optimization_settings = {'step': 0.1,\n", " 'left_bottom_corner': (-7, -7),\n", " 'x_n_steps': 141,\n", " 'y_n_steps': 141}" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Evaluation: overall R^2 is 0.884\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA3cAAAG5CAYAAAAtTs37AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nup7DAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f17e9678dd8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "build_model = partial(build_mlp, *[hidden_layers_widths, keep_prob, learning_rate])\n", "runner = RunnerOfSurrogateModelling(\n", " func, noise_stddev, build_model, measurement_doe, optimization_doe)\n", "df = runner.run_experiment(n_runs=20, runs_to_be_evaluated=[0],\n", " evaluation_settings=optimization_settings,\n", " measurement_settings=measurement_settings,\n", " train_settings=train_settings,\n", " optimization_settings=optimization_settings)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Surrogate function has low variance within a region that is coloured in deep blue on the right graph. Does it result in wrong placement of minimum points? " ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>benchmark</th>\n", " <th>score</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>2.000000e+01</td>\n", " <td>20.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>5.799082e-03</td>\n", " <td>31.099370</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>8.898944e-19</td>\n", " <td>18.078997</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>5.799082e-03</td>\n", " <td>5.801600</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>5.799082e-03</td>\n", " <td>23.049700</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>5.799082e-03</td>\n", " <td>26.027050</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>5.799082e-03</td>\n", " <td>35.289100</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>5.799082e-03</td>\n", " <td>89.880200</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " benchmark score\n", "count 2.000000e+01 20.000000\n", "mean 5.799082e-03 31.099370\n", "std 8.898944e-19 18.078997\n", "min 5.799082e-03 5.801600\n", "25% 5.799082e-03 23.049700\n", "50% 5.799082e-03 26.027050\n", "75% 5.799082e-03 35.289100\n", "max 5.799082e-03 89.880200" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df[['benchmark', 'score']].describe()" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAigAAAImCAYAAAB5B3H1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n7kKIA/7SAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f17c1d02550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(figsize=(9, 9))\n", "ax = fig.add_subplot(111)\n", "ax.set_xlim(-7.5, 7.5)\n", "ax.set_ylim(-7.5, 7.5)\n", "ax.set_title('Surrogate minimum points and actual minimum points')\n", "ax.set_xlabel('x')\n", "ax.set_ylabel('y')\n", "\n", "sns.kdeplot(df['x'], df['y'], cmap='Reds', shade=True, shade_lowest=False, ax=ax)\n", "ax.scatter(df['x'], df['y'])\n", "\n", "himmelblaus_roots = pd.DataFrame([[3, 2],\n", " [-2.805118, 3.131312],\n", " [-3.77931, -3.283186],\n", " [3.584428, -1.848126]],\n", " columns=['x', 'y'])\n", "_ = ax.scatter(himmelblaus_roots['x'], himmelblaus_roots['y'], marker='x', s=50, c='black')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Surrogate minimum points (blue points) are far enough from the nearest to them actual minimum point (represented as a black cross)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Rosenbrock Function" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now let us try another non-convex function. Namely, it is [Rosenbrock function](https://en.wikipedia.org/wiki/Rosenbrock_function).\n", "\n", "Its definition is: $$f(x, y) = (a - x)^2 + b(y - x^2)^2.$$\n", "\n", "Rosenbrock function is of particular interest, because it has a valley where its values are close to its global minimum." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def noisy_rosenbrock_function(x, y, noise_stddev=0, a=1, b=100):\n", " \"\"\"\n", " Computes Rosenbrock function\n", " with parameters `a` and `b`at\n", " point (`x`, `y`) and adds some\n", " Gaussian noise.\n", " \n", " @type x: float\n", " @type y: float\n", " @type noise_stddev: float\n", " @type a: float\n", " @type b: float\n", " @rtype: float\n", " \"\"\"\n", " rosenbrock_value = (a - x)**2 + b * (y - x**2)**2\n", " noise = np.random.normal(scale=noise_stddev, size=1)[0]\n", " return rosenbrock_value + noise" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Target definition.\n", "func = noisy_rosenbrock_function\n", "noise_stddev = 0.01\n", "\n", "# Model settings.\n", "hidden_layers_widths = [400, 200]\n", "keep_prob = 0.95\n", "learning_rate = 0.001\n", "train_settings = {'epochs': 100,\n", " 'batch_size': 32}\n", "\n", "# Design of experiment.\n", "measurement_doe = 'random'\n", "measurement_settings = {'n_samples': 20000,\n", " 'x_borders': (-2, 2),\n", " 'y_borders': (-1, 3)}\n", "optimization_doe = 'grid'\n", "optimization_settings = {'step': 0.05,\n", " 'left_bottom_corner': (-2, -1),\n", " 'x_n_steps': 81,\n", " 'y_n_steps': 81}\n", "\n", "# Making plots less granular.\n", "evaluation_settings = {'step': 0.025,\n", " 'left_bottom_corner': (-2, -1),\n", " 'x_n_steps': 161,\n", " 'y_n_steps': 161}" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Evaluation: overall R^2 is 0.803\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4AAAAG5CAYAAADf3lobAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niIiIiIjGxP8HAytS/IdriNIAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f17bbb3cc18>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "build_model = partial(build_mlp, *[hidden_layers_widths, keep_prob, learning_rate])\n", "runner = RunnerOfSurrogateModelling(\n", " func, noise_stddev, build_model, measurement_doe, optimization_doe)\n", "df = runner.run_experiment(n_runs=20, runs_to_be_evaluated=[0],\n", " evaluation_settings=evaluation_settings,\n", " measurement_settings=measurement_settings,\n", " train_settings=train_settings,\n", " optimization_settings=optimization_settings)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>benchmark</th>\n", " <th>score</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>2.000000e+01</td>\n", " <td>20.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>1.857491e-03</td>\n", " <td>126.321094</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>2.224736e-19</td>\n", " <td>14.071122</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>1.857491e-03</td>\n", " <td>91.220000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>1.857491e-03</td>\n", " <td>120.770000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>1.857491e-03</td>\n", " <td>128.361562</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>1.857491e-03</td>\n", " <td>134.434844</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>1.857491e-03</td>\n", " <td>150.173125</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " benchmark score\n", "count 2.000000e+01 20.000000\n", "mean 1.857491e-03 126.321094\n", "std 2.224736e-19 14.071122\n", "min 1.857491e-03 91.220000\n", "25% 1.857491e-03 120.770000\n", "50% 1.857491e-03 128.361562\n", "75% 1.857491e-03 134.434844\n", "max 1.857491e-03 150.173125" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df[['benchmark', 'score']].describe()" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAigAAAImCAYAAAB5B3H1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3Xl0VPX9//HXZCYLkBAICUFFUVCWYsEoAREkBUGQRVoo\n1ioo4EaLUkRU6oKKirZaPafYuhTFpWpdoKIgPxFagW+tYISKrSsqIggJIRHCkn1+f9CkWSYhy8zc\n9515Ps7hHJI7y2fmztz7zL137nj8fr9fAAAAhsQ4PQAAAIDaCBQAAGAOgQIAAMwhUAAAgDkECgAA\nMIdAAQAA5hAoQAvMnz9ff/jDH4J+Wbd4/fXXNX36dKeH0WQ9evTQN9984/QwGjRs2DC9++67Qbmt\nMWPGaOPGjUG/rFtE4nsvGng4Dwpqy87O1oMPPqgvvvhCXq9XXbt21S233KI+ffo4PbRjWrZsmV55\n5RW9+OKLTg8FtViaNz169NDq1avVpUuXoN3mlClTdOGFF2rSpElBub1hw4bpnnvu0TnnnBOU20Pj\nzJs3T+np6br++uudHkrU8zk9ANhy8OBBzZgxQ3feeacuuOAClZaWKjs7W3FxcU2+Lb/fL7/fr5iY\nmAZ/BwBAbawlUMPXX38tSRo7dqy8Xq8SEhI0ePBg9ezZU5K0aNEizZ07t+ryO3fuVI8ePVRWVibp\n6F+RDz/8sC6++GL17dtX3377bcDf5eTkaMaMGerfv79GjBihl19+ueo2i4qKdPPNNyszM1MXXHCB\n/vSnP2nIkCFV05944gkNHz5cGRkZGj16tN5++21J0pdffqk77rhD//rXv5SRkaF+/fpJkkpKSvSb\n3/xGP/rRj3TOOedo/vz5KioqCvj4ly1bposvvlgLFy5Uv379dN5552nz5s1atmyZsrKyNHDgQP31\nr3+tuvy8efP08MMPS5I2btyoIUOG6KmnntLAgQM1ePBgLV26tMHL/ulPf6q67Jo1a7Ru3TqNHDlS\n/fv312OPPRbwutWvX2nYsGFavHixxo0bpzPOOEO33HKL8vLydOWVVyojI0NTp07V/v37Az7mytt6\n7LHHNGDAAA0bNkyvv/561fTCwkLddNNNOvvsszV06FD98Y9/VEVFRdXz9fOf/7zqsj169NCLL76o\n888/X/369dNdd90lv99f77xZt26dRo8erYyMDJ177rl68sknA45xx44duuyyyzRgwAANGDBAN9xw\ngw4cOFDj8T/55JMaN26czjrrLM2ePVvFxcVV0xcvXqzBgwdr8ODBevXVVwPeR6WlS5fqggsuUEZG\nhs477zz95S9/qTF9zZo1Gj9+vM4880wNHz5c69ev18MPP6zs7GwtWLBAGRkZWrBgQZ33hnT0/fHK\nK6806jE1ZN68ebrzzjur5u/FF1+svXv36t5771VmZqZGjRqljz/+uMbzU7m7aNGiRfrVr36lm266\nSRkZGRozZow++uijei87a9YszZ07VxkZGRo3bpy+/vprPf744xo4cKCysrL0f//3fwGvW3n9yuVF\n5fOxdOlSZWVlKTMzUy+++KK2bt2qcePGqV+/flqwYEG9j7lyLLNnz1ZGRoZ+8pOf6NNPP62a/uWX\nX2rKlCnq16+fxowZo7Vr19Z4vhrzPn3ppZf0xhtv6Mknn1RGRoZmzJjRqPmB0CBQUMMpp5wir9er\nm2++WevWrat3pdaQ5cuX6+6779bmzZt1/PHHB/zdnDlz1KlTJ23YsEG///3v9dBDD+mf//ynJOmR\nRx7Rrl27tGbNGi1ZsqTGylKSTjzxRD3//PP64IMPdO211+rGG29Ubm6uunXrprvuuktnnHGGtmzZ\nouzsbEnSgw8+qK+//lqvvfaaVq9erdzc3Ab3R2/dulU9evTQxo0bNXbsWM2ZM0cfffSR3n77bT3w\nwANasGCBDh06FPC6eXl5Kiws1Pr163XvvfdqwYIF9T6HeXl5Ki4u1vr16zVr1izddtttev3117V0\n6VI9//zz+uMf/6hvv/220c/76tWrtWTJEr311lv6+9//rquuukpz5szRe++9p4qKCj333HP1Xjcv\nL08FBQXasGGD7r//fs2fP19fffWVJOnuu+9WYWGh1qxZo+eee07Lly+vEV61vfPOO3r11Vf1+uuv\na9WqVdqwYUO98+bWW2/VggULtGXLFq1YsUJnn312wNv0+/265pprtGHDBq1atUp79uzRokWLalxm\n1apVWrx4sdauXavPPvtMy5YtkyStX79eTz31lJ566imtXr266nVWnw4dOujxxx/X5s2bdd999+m+\n++7Tf/7zH0lHXxs333yzbrrpJmVnZ+v555/XCSecoOuvv179+vXT/PnztWXLFs2fP7/B+2jsY2rI\nqlWrNHv2bL333nuKi4vTz372M/Xu3VvvvfeeRo4cqfvuu6/e6/7tb3/TmDFjlJ2drWHDhunuu++u\n97J///vfNX78eL3//vvq1auXrrjiClVUVGj9+vWaOXNmox5rdR9++KFWr16thx9+WAsXLtRjjz2m\np59+WitXrtSqVau0adOmeq+7du1ajRo1Sps2bdLYsWP1y1/+UqWlpSotLdWMGTM0aNAgvfvuu7rt\ntts0d+7cqtdwbfW9T3/2s59p3LhxuuKKK7Rly5YafyQg/AgU1JCYmKgXXnhBHo9Ht99+uwYOHKgZ\nM2YoLy+v0bfxk5/8RKeddpp8Pp9iY2Pr/C4vL0+bN2/W3LlzFR8fr169emnSpElavny5pKML3muu\nuUbJycnq1KmTLrvsshq3f8EFFyg9PV0xMTEaPXq0unTpoq1btwYci9/v18svv6xbbrlF7dq1U2Ji\noq655hqtXLmy3vF37txZEydOlNfr1ejRo7V7927NnDlTcXFxGjx4sOLi4rRjx46A1/X5fJo5c6Zi\nY2OVlZWl1q1bV22VCnTZX/ziF4qNjdXo0aNVUFCgyy67TImJiTrttNN06qmn6rPPPjvm811p8uTJ\nSk1NVXp6uvr166c+ffroBz/4geLj4zVixIgaf1EH8qtf/UpxcXHq37+/srKytGrVKpWXl+vNN9/U\nDTfcoMTERHXu3FnTpk2rE43VXXXVVWrbtq2OP/54DRgwoMZfuYGeg23btungwYNKTk5W7969A16u\nS5cuGjRokOLi4pSSkqJp06bp/fffr3GZKVOmKD09Xe3atdPQoUP1ySefSDr6epowYYK6d++u1q1b\n69prr23wefjRj36kk046SR6PR/3799egQYOqgurVV1/VxIkTNWjQIMXExCg9PV3dunVr8Pbq05jH\n1JARI0bo9NNPr5q/8fHx+vGPf1z1uq18/IGcddZZysrKktfr1fjx4xucR/369dO5554rn8+nUaNG\nqaCgQFdffXXV63bXrl2N3vIjSTNnzlR8fLwGDx6s1q1ba+zYserQoUPV67ah12nv3r01atQoxcbG\natq0aSopKdGHH36oDz/8UIcPH9bVV1+tuLg4DRw4UEOHDq33fd6U9ymcwzEoqKNbt266//77JR3d\nbHrjjTdq4cKFeuihhxp1/eOOO67B3+Xm5io5OVmJiYlVvzv++OP173//u2p69ct36tSpxm299tpr\nWrJkiXbt2iVJOnz4sAoKCgKOJT8/X0eOHNGECROqfuf3+6t2UQTSoUOHqv8nJCRIklJTU6t+Fx8f\nX+8WlHbt2snn+9/bqlWrVjp8+HC9l/V6vTXup/p9N3Q/gdQeY/WfExIS6h2HJLVt21atW7eu+vn4\n449Xbm6uCgoKVFpaWrUlrHJaTk5OvbeVlpZW9f9WrVo1+Bh+//vf69FHH9Xvfvc79ejRQzfccIMy\nMjLqXC4vL0/33nuvsrOzdejQIfn9frVt27bB+83NzZV09PV0+umnV0074YQT6h2PdHS30x/+8Adt\n375dFRUVKioqUvfu3SVJu3fvVlZWVoPXb6zGPKaG1H6dNmV+175scXGxysrKarx267uf9u3b13nd\nHj58uNFjr/0ar/1zQ+OuviyoDMTK+dypU6cax7Y19DptyvsUzmELChrUrVs3TZgwQV988YWko2/k\n6sdvBNqy4vF4Gvxdx44dtX//fh08eLDqd7t371Z6erqkoyuaPXv2VE2r/v9du3bptttu0+23366N\nGzcqOztbp512Wr333b59eyUkJGjlypXKzs5Wdna2PvjgA23ZsqXRz4EFjXneW+LAgQM1FtC7d+9W\nx44d1b59e8XGxuq7776rMa1yXjVFoNdFnz599Oijj+rdd9/V8OHDNXv27IDXfeihh+TxePTGG29o\n8+bNeuCBB9TYDyB27NhRu3fvrvq5+mOpraSkRLNmzdL06dP1j3/8Q9nZ2RoyZEjVfR133HH1bj2r\nrTL4qs+3vXv3BuUxWdWqVSsdOXKk6ufqjzcYqi8LKioqlJOTo44dO6pjx47as2dPjT88gvk6hTMI\nFNTw5Zdf6qmnnqpaEOzevVsrVqxQ3759JUm9evXS+++/r++++06FhYV6/PHHm3wfxx13nDIyMvTQ\nQw+puLhYn376qV599VVdeOGFko7uwnn88ce1f/9+5eTk6M9//nPVdY8cOSKPx6OUlBRJRw9orIwn\n6ehfZzk5OSopKZF09K+sSZMmaeHChdq3b58kKScnRxs2bGjGs+OcXr16ad26dfr++++1d+9ePfPM\nM0G/j0WLFqmkpETZ2dl65513NGrUKHm9Xo0aNUoPP/ywDh48qF27dmnJkiVV86opas+bkpISvf76\n6yosLFRsbKzatGlT76e7Dh06pNatWyspKUk5OTlavHhxo+931KhR+utf/6pt27bpyJEjeuSRR+q9\nbElJiUpKSpSSkiKfz6d169bpH//4R9X0n/70p1q2bJn++c9/Vq0gv/zyS0lHt0pUP2YoJSVF6enp\nWr58ucrLy/Xqq6/WmN6Sx2RVz5499eabb6q0tFQfffSR3nrrraDe/n/+8x+tXr1aZWVleuaZZxQX\nF6e+ffuqT58+SkhI0OLFi1VaWqqNGzfqb3/7m0aPHt3k++jQoYN27twZ1HGjeQgU1JCYmKgPP/xQ\nkyZN0hlnnKGLLrpI3bt317x58yRJgwYN0ujRo3XhhRdqwoQJGjp0aLPu56GHHtKuXbt07rnn6tpr\nr9V1111Xdb6HmTNnqlOnTjrvvPM0depUjRw5supjzqeeeqqmT5+uiy++WOecc44+//xznXnmmVW3\ne/bZZ+vUU0/V4MGDNWDAAEnSjTfeqC5duuiiiy7SmWeeqalTp7puf/P48ePVs2dPDRs2TNOnT2/W\ngrchqampatu2rc4991zNnTtXd955Z9WxFbfffrtatWql4cOH65JLLtHYsWM1ceLEJt9HoHmzfPly\nDRs2TGeeeab+8pe/6IEHHgh43WuvvVYff/yx+vXrp6uvvlrnn39+o+83KytLl19+uS6//HKNGDGi\n3gNxpaOv/9tuu02zZ89WZmamVqxYoWHDhlVN79Onj+677z4tXLhQZ511liZPnly1Reayyy7TW2+9\npczMTN1zzz2Sjh5g/OSTT2rAgAHatm1bjd1XLXlMVs2ePVs7duxQ//79tWjRIo0bNy6ot3/eeefp\nzTffVGZmppYvX65FixYpNjZWcXFxeuyxx7R+/XqdffbZuuuuu/Tb3/62WccH/fSnP9W2bdvUr18/\n/fKXvwzq+NE0nKgN5r3wwgt68803a2xJQfBs3LhRN954o9avX+/0UIB6LVq0SN98840efPBBp4eC\nMGELCszJzc3VBx98oIqKCn311VdasmSJhg8f7vSwAABhxKd4YE5paanuuOMO7dy5U0lJSRozZowu\nueQSp4cFAAgjdvEAAABz2MUDAADMIVAAAIA5rjoGZe/eQqeHEBTt27dWQQFnLbSEeWIL88MW5oc9\nkTJP0tKS6p3GFhQH+Hxep4eAWpgntjA/bGF+2BMN84RAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQA\nAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAA\ngDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA\n5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACY\nQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5vicHkBTpBZ84vQQgsJfIKU6PQiH5LXv\n5fQQAAAu4KpAgfuFIzKJIABwP8cCpbi4WJdeeqlKSkpUXl6ukSNHatasWU4NBxGkORFU31YtYgcA\nnOFYoMTFxemZZ55RmzZtVFpaqksuuURDhgzRGWec4dSQgDpassWHuAGA5nMsUDwej9q0aSNJKisr\nU1lZmTweT9Dvp2LHp0G/zUgUc1JPp4cQcZoaNwQNAPyPx+/3+5268/Lyck2YMEE7duzQJZdcohtv\nvLHhy695NkwjQzgQRc3j6d7f6SEAQMg5epCs1+vV8uXLdeDAAc2cOVOff/65unfv7uSQEEbB2LoV\njZHj/3zTMS/j9q0xaWlJ2ru30Olh4L+YH/ZEyjxJS0uqd5qJT/G0bdtWAwYM0IYNGwgUNElzIyfS\nw+ZYu5fcHjAAIp9jgZKfny+fz6e2bduqqKhI7777rq666qqW3ej2L4IzOBx18mlOjyBkGhs2kRoy\nDQUM8QLAAscCJTc3V/PmzVN5ebn8fr9GjRqloUOHNnwlAiS8gvl8uzR2GhMykRYx9cUL4QIgnBwL\nlJ49e+q1115z6u4Rbs2JHZdETUMRE0nxEihciBYAoWLiGJRocqDMq+3FrXVy/GG19ZU7PRzbGhM1\nxiOmvniJlHCpHS0EC4BgiapA8X/9lWP3XVIRo5tLhmmHklWhGMWoQidpv34T9zfFxVQ4Ni7PKV0d\nu++gaChiDMdLoHCJhGghWAAEi2sDxcnYaI6bS4Zpu1Kqfq6QV9uVoptLhunhhDWOjas5z6Nroqa+\neDEaLrWjJdKChVgB0BSuChS3RUmlAxVx2qHkgNN2KFkHKuLUNqYkzKNqvmPNB/MBUztcXBAsxAqA\naOOqQHGr7f6ju3UCqVCMtvuT1Ud7wzyq0KkvYMyGiwuCJVJjhVABUB8CJQxO9uxXjCpUIW+daTGq\n0Mme/Q6MKvyqh4vZWJH+FywGQ0X6X6wQKgAiWeA/6xFUbWNKdKICR8iJ2u+q3TvB4v/6q6p/Zm3/\nwvS5dyLpizBTCz5p0TdHA4g8BEqY/CAmr0m/jyamI8W4SIoUqenfAA0gchEoYVDs9+qDiuMDTvug\n4ngV++vu+oEhhreiRKLUgk8a9YWIACIbgRIG+f4E7VXrgNPy1Fr5/oQwjwiwj60pQHQjUMIgxVOk\nNB0OOC1Vh5XiKQrziGwxfcCsZPZg2WjAsSlA9CJQwiDeU67+3l0Bp/X37lK8J3pPeW8+TmACoQJE\nHz5mHCZTfVslSZvKT1CeWitVh9Xfu6vq99HGNWHC1hNTONkbED0IlDDxevy6IvZDTfb9W/n+BKV4\niqJyy4lrwkQiTowjViIfW83q5y+QUp0eRAs05j1LoIRZvKdcx3kOOT2MsHNVmEjEicsEWpERLcFF\nLCCYql5Paf3rvQyBgpByXZhIxEmEaMwK1e0RE65o8BeE5W6AGlwVKJ5TunJSLxdxZZy4UMWOTyPi\ntPdOYKsAYJfrPsXDSg8h58ITs0XaGWUBwFVbUOAulVu7XBmV279w3a6eSPoSQcCySPiDwA3LCY/f\n7/c7PYjGKrv1UqeHgBZyZaxIrouVSm5YCAFNFQmBEK1qL5M83TlI1nWK/d6I/Dhy7WOIXBMslbt9\nXBYq1RfkxAqcRFQ0T3G5lF8Uo5SECsVHwNe21X4deAkU9yj3e/R0WR9tKj9Be9VaadVO6Ob1uGZj\nV6MFOujZdLTUPj7FRcFSe8FAsKCpiIzwKa+QlnyaoE25Pu09EqO0VhXq37FM03oWyeu6o0ebh0Ax\n5umyPlpR3qPq51wlVv18ReyHTg0rrOr7pJbJcImgYJGIlmhCbNi25NMErfgmvurn3CNerfjm6CaU\nK38QHd/fRqCEQelXOxt1uWKPTxvTRgacK5uKO+lnO99SvL+szrTYrp1bOkRXcMXWlkCfACJaEAYE\nRxAY+QRfcUWMNu36QcBpm3b5NSXhS8XHVIR+IA4vuwiUABobFMFWENNGed6kgNPyvIkqiGmjTuX7\n60wL9njdFDwNnRfHTLy4eCuL1PCKj3gJHYKjEYwERbDll8Vqb1l8wGl5ZXHKL4vVcXHFoR9IMJ/f\nZiz3oiJQnAqOxir2+FQQ00atK4qVWl6ovb7kOpdJLT+o9hXhOUV+c54vi1FjdldRfW96l4WLdOyV\nKAHzPwRHPSI0MloixVeqNF+xcssS6kxL9ZUoxVfqwKhaqBnz2fWBYj0+GlIuj55LGqL3W52qPG+S\nUssL1aa8KGCg9DuyLeDuHSuaMh+cjhlXhYsLo6W6pqyU3RQzxMYxEB0tEh9Tof5J32tFQac60/on\nfR+e3TsGuOo8KEd+nuX0EILq6aQsvZl0Vp3fn1yco8PeBOV5E5VaflD9jmzTlML18so1s6rFnI6Y\n6hwPl0BcHi5wKcKjSVry1SzVP9GZp9ZKPcYnOk0upxrBe+Xd9U5z/RYUpxzYtrdF1y+OidXGs04J\nOK2wIla3ffB7HfElKLmkUPEVpQr2zp22p6YF+RaD61hbZMIZMCa3uETg1hY4LIriww3f6eb1+HVF\n7Iea7Pt3o86JFerH5MTyLmoDpaWB0VL745KUn1B3V44kFcQn64gvQR2L8kN2/8F4/E5GjoWAMRcu\nEXRsC0IgQgPEDbHREvGech3nCc/xhw1pzvPc0mVhRAWK09HRFMklhUop2q99rVLqTGtfvF/JJYUO\njKppmvJ8hztm6gsYwqUawiXyRFCERHp4BBJpZxBv6Tx0VaC4KUCOJb6iVBn7PtaazoPrTMvY97Hi\nK1x4lHYDGjvvQh0yDW15CXW8mDuPC7uJ3MvlIRKN8dGQaDuDeGO56iDZnMzAJ64JtR07D4bkdis8\nMVqd8WN9fsIPtb9NeyUfKlD3XR/p/C2vKcbvzFHaJ3VOdOR+G8uJ3UrhPmDX5MFuhIszCJGo8GRp\n3xpnEK801vtZxJ9B3Hfv8/VOi8pACVVwNFepN1aFrZKVdGS/YsvdueXEQtiEM16Ilv8iXIKDEDEr\n1KeyKPb4NCft8oCnl+hYtl+/2/tMyE4xYeHTkg0Fiqt28TSGtfhojNjyUqUczHN6GC3SmOc91BFT\n326kUIRLoIVWKN/sZr8FmuNbmsblISJFToxYOYdWc88gHgyNeQ6cjBhXBoobI2RHcUmTLl8U11r5\nKZ2Vkr9TCSWHm3Tdk+LjmnT5cGlovoUyXgKFSziiJSqDpRLhEhExIrk7SKxESEPaVxwycQbx+jh5\n3J6rAsWpMGlqXLREWYxPK8fcpO/bd5Y/Jkaeigq1K9ipMSt/K19F4zbztXS8TgROuOOldrQQLGES\nqeESITFSyY1R4oYYCSTeX6azjmzT/wtw0s4zXXYG8WAv41wVKKEQzvhojJVjblJBapeqn/1erwpS\nu2jlmJs0/o2FYRlDY5+TcIVMoHgJdrSEO1jC+Ykhs7FSnVvCJcJCpDa3hYlboyRSBXsZFzWBYi1E\nAimKa63v2weeqd+376yiuNZN3t0TSg09p6GOl9rREspgIVYcdKwgCGXARHiMVEeYOKfY49MHrU4N\nOG1zq1N16cF/mN6KUp/KedSS5VvEBoobgqS2/JSju3UC8cfEKD+ls47f83mYR9U8tZ//cAaLW2Ml\nnCeRc12o1KcxEVFfxERRgESSSIoTydmDZK2LmEBxY5DUlpK/U6qokLzeuhMrKo5Od6lwBks4YoVQ\ncRFCpEFu23oSadpXHFKH8kLlBThItoOBg2Sd5PpAsR4mWw8VN/7Ch4ql8rLAgVJeps8LCpp8/33a\nxDf5OuFQfb6FOlZCeZBtqEIlXB/t83/9VXRECurlOaWrqyIltmvniNqKEu8vU2J5UcBAaVNe5Mrd\nO5VauhxzdaBYi5MmxUgAZQmJkreeWeL1qiwhUb6ipn2SKdCYrEXLjuKSsGxRCVWoEClwOyLFOcUe\nnw56EwJOO+RNULHH57pICdayy9WBYklL40SSjqR0luo5BkUxXh1J6ayk7z5t8f1UjtVSqIQ6UgA0\nrDJS3RIq1VeCbo6Vgpg22lfPMSj7XHQMSij+oCJQDGnV4DEo5UenI2qEcytKVGjMJ344XsV1oSLV\nv3J0Q7hYP1FbIOFaLhEoQVK5NaIlW1J8RQeVsG+nijp2qTMtYd+uJu/eqY+lLSeV2HpSF3HSRMH4\nyDERU6X2bj83BUulht5DVuLF6onaLCx/XB0olSs1S8ei1F75NzVYEr/7PGCgJH7X/I8XWwyS6sIR\nJ6E6lb4T364cbK49/sSpk7gd634jNGAiIViqa+wK2ErIBIOF6GgKVwdKJYuhUqkpcVDmjdVHp5wR\ncNqBU/qq4r1XFFNW0uTbtcrNYSKFLk7CuRBxVZxYO6tsfaLkvCv1vXbcHi61hfr9WOz3anNxj4DT\nNif10NTU7Yr3lId0DFZFRKBUqr7Csxgrx3K4dbJKk1ICTitJ6qCuqWlqW+jubz0O164cN4aJFL44\ncUWYuCVIGquhxxNB8RIt4RIs+f4E7VXrgNPy1Fr5/gQd57F3HEo4RFSgVBdoRWg9Wlof3q/EQwU6\nmJRaZ1rioXzFFR/WgaRUtT68X77yUgdG2HThPraEMGmY6TCJtCBpiiiIl2O99qI1YFI8RUrTYeWq\n7rIrVYeV4ilyYFSh15hlUcQGSiD1rSythIuvvFQn7viXPuk9vM602OLDWnHhrTrYpr0SDxXoxB3/\nUub7SxXjr3BgpHU5dZBrKINEIkpCJppjpKmiIF6k6A2YeE+5+nt3aUV53d08/b27XLt7JxjLnagK\nlPoca+UazoDJfH+pJOnbk/rqYJsUJR7KV2zx4RrfcHwwKbUqYgZseiUs47LyKZtQB4kU+gNfozZM\niJLgi5LrTLgnAAAWRElEQVR4kZr2enZbzEz1bZUkbSo/QXlqrVQdVn/vrqrfWxLO5YrH7/f7w3Zv\nLZST+YOq/9f+NluLWhI2Zd5YHW6drLjKLSeBdvsU7tWP/3pXk3b3WAmNYwlHiFSKlCCRjEUJQWJb\nhAVMMDgdNsV+r/L9CUrxFIV8y4mVZYX3yrvrnebaLSgNrcCsxEuLY6DkgPKTUnWwTfuAkw+1SVG7\ndmlKOejeA2fDGSJS+D4WHJVbSQgSd4mirS+N5fT7KUHS8Y6OwBbXBkpDGrPSsxIxx5J0ZL+SDxVo\nf4AtKMmHCpR0xO4pkMMdH7VFWoxIzi9AqxAjkY14gQERGSiN0dSVp1NBE1tequ67/q33e/6ozrTT\ndv1bsWH8NI/TwRFIuE+UFu4THREkMCdKzvMC57kqUKqvjCq/7j5cnFg5V0aRR4EPE0pKjDUZDcHm\n1NlanTjrookgIUbQHGx1QZC5KlCqa8xKK9wRE2wndU5UcUysvuzSN+D0Dzv00sTtbym+wh3nRKnN\nymniozZEJGIE4UG8oFITljmuDZTGaO4K0FLY7I9LUn5C3W+5lKSC+GTtj0tSx6L8MI+qJiuhUR+n\nv3+CGAEaEOh1SbS4XxCWNxEdKM0VjhVuYyMouaRQKUX7ta9V3VPgty/er+SSwgavbz0eWsrp+Khk\nJkIkQqSWmJN6Bv02K3Z8GvTbRDVRcJxLcUWM8stileIrVXyMjRNuNluIljmuCpRjrYzc9K2TTQmH\nzLKv9f9UN1Ayy75WWtd2wRyW46wERyCmIkSKuhAJRWg0V1PGQswEUQRsbSn3S0tyOmtTYTvtLYtX\nmq9Y/ZO+17T0nfJ6nB5dI4RxueOqQDmWlq7c3BQ4llmOjPqYi49KURAhlsIjFOp7fIRLkLgsWpbk\ndNaKgk5VP+eWJVT9fGUnY+sgh5c/ERUoLWVtxVr61U4Ve3z6oNWpAadH+1dxH4vZ6KguwgMk0uOj\nJQI9N0RLkBiNluKKGG0qDLzVe1NhO03p+J1zu3sMLotcFSiBVjhOn5o4lGK7dlZeRRvllSQFnB5N\nX8XtitiozeAbPlgIj9Co/bwSLEFkIFryy2K1tyw+4LS8sjjll8XquLji8AzGBcsnVwVKIMFacVkN\nHTd/Fbcro6IxXPDGbi7CwxaCJcTCHC0pvlKl+YqVW5ZQZ1qqr0QpvhCcMsLFyyvXB0qwWF2ZJkjq\nv+egVhTUDZT+7Q8qoVOXuldC07j4DdxYhEdkqD4fiZUQCeE5W+JjKtQ/6fsax6BU6p/0fct270Tg\ncoxAcYFp6UcPnNpU2E55ZXFK9ZVUHfUNReQbszGIjujG1hUHtGRZ89+4qbM8b+VX/45lmtazlRQT\nncuy+nj8fn/g86gbVL7m2eDdmIEDppoqIj43H6Ux0RgEB4KFWLGvuFzKL4pRSkKF4r1Oj8Y53uGX\n1TstereguHBFGS/pOKcHgQYRGbCArSv2xXul49q49A/NMIneQAFqIS4QqQgWe9iCcmyuChTeZKhE\nTADNxzlYnFNeIS35NEGbcn3aeyRGaa0q/nsMSpG8MU6PzhZXBUptVlZS0fDGtvJcAwgNzngbHks+\nTdCKb/53LpTcI16t+OboJpQrf2D3tBFOcHWgWMHKG5Esr32vkN12asEnIbttBEdDyzfipWmKy6VN\nuYFXu5tyfZrSQ+zuqYZAAQwLZRxYUN/jS0tL0t69DX9Td32InvA51h9nBExN+UUx2nsk8H6cvCMx\nyi+K4cDZaggUoIkiPRrcrqnzh6AJHb71uaaUhAqltapQ7pG6m0lSW1UoJSFy46Q5expcFSiNWfCw\nsIlsoYqDlvzFDndr7GuKZUtoNXdXuZvCJt4r9e9YVnXMSXX9O5a5ZvdOuA5rcFWgNIYb/rplZQi4\nD38g2RTKlWUo4mdaz6MHwm7K9SnvSIxSq32KJ1gi5bjIiAsUAHAKW2MiSyhW9DGSrj5ZurzUr/zD\n5Upp7VV8rCfo9xMJCBQACDNCBvGxHh2XzCq4ITw7AGBUU3ZZEzOINAQKAESA5hx/R9TAMgIFAKJU\nY6OmvgP7CRyEEoECAGiWUH1qkvCJHMd6jaQ1MI1AAQCY4obTRViJKDc8V81FoAAA0EROh0E0nE+L\nL3cGAADmECgAAMAcAgUAAJhDoAAAAHMcO0h29+7duummm7Rv3z55PB5ddNFFuvzyy50aDgAAMMSx\nQPF6vZo3b5569+6tgwcPauLEiRo0aJBOPfVUp4YEAACMcGwXT8eOHdW7d29JUmJiorp27aqcnByn\nhgMAAAzx+P1+v9OD2LlzpyZPnqwVK1YoMTGx3suVlZXL5/OGcWQAAMAJjp+o7dChQ5o1a5ZuueWW\nBuNEkgoKDodpVKEVDSfYcRvmiS3MD1uYH/ZEyjxJS0uqd5qjn+IpLS3VrFmzNG7cOJ1//vlODgUA\nABjiWKD4/X7deuut6tq1q6ZNm+bUMAAAgEGOBcoHH3yg5cuX67333tP48eM1fvx4rVu3zqnhAAAA\nQxw7BqVfv3767LPPnLp7AABgGGeSBQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAA\ngDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA\n5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACY\nQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAO\ngQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkE\nCgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAo\nAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AA\nAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIA\nAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAA\nMIdAAQDU4Pf79eyzS1RUVFTj90VFRXr22SXy+/0OjQzRxNFA+fWvf62BAwdq7NixTg4DAFDNc889\nrblzf6Xp0ydXRUpRUZGmT5+suXN/peeee9rZASIqNCpQiouLQ3LnEyZM0OLFi0Ny2wCA5rnoop9r\n+PDztWbNak2fPln79+/X9OmTtWbNag0ffr4uuujnTg8RUaBRgTJs2DDdf//92rFjR1DvPDMzU8nJ\nyUG9TQBAyyQkJOipp/5cFSnt2rWripOnnvqzEhISnB4iooDH34idifv27dNLL72kV155Rd26ddOl\nl16qoUOHBmUAO3fu1IwZM7RixYpjXrasrFw+nzco9wsAaNj+/fvVrl27qp+///57/qhE2DQqUCqV\nl5dr7dq1WrhwoWJiYjR58mRdeumlio+Pb/YAmhIoe/cWNvt+LElLS4qYxxIpmCe2MD+cV3nMyZo1\nq6t+xxYUOyLlPZKWllTvtEYfJHvkyBG98soreuSRR3TSSSfp+uuv11dffaWrrroqKIMEANhQPU6G\nDz9f33//fY1jUmp/ugcIhUYFyoIFCzRixAh9/PHHevDBB/Xss89q3Lhxuueee5SbmxvqMQIAwujl\nl1+sccxJcnJyjWNSXn75RaeHiCjga8yFTjjhBK1cuTLgvsdnn3222Xc+Z84cbdq0SQUFBRoyZIiu\nu+46TZo0qdm3BwBouSlTpko6+mmeyt05lQfOvvzyi1XTgVBq0jEoTouE/W1S5Ow7jCTME1uYH7Yw\nP+yJlHkSlGNQAAAAwoVAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAA\nMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADA\nHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABz\nCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwh\nUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdA\nAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIF\nAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQA\nAGAOgQIAAMwhUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAA\ngDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABzCBQAAGAOgQIAAMwhUAAAgDkECgAAMMfRQFm/\nfr1GjhypESNG6IknnnByKAAAwBDHAqW8vFwLFizQ4sWLtXLlSq1YsULbtm1zajgAAMAQxwJl69at\n6tKli0488UTFxcVpzJgxWrt2rVPDAQAAhvicuuOcnBx16tSp6uf09HRt3bq1weu0b99aPp831EML\ni7S0JKeHgFqYJ7YwP2xhftgT6fPEsUBpjoKCw04PISjS0pK0d2+h08NANcwTW5gftjA/7ImUedJQ\nZDm2iyc9PV179uyp+jknJ0fp6elODQcAABjiWKD88Ic/1Pbt2/Xtt9+qpKREK1eu1LBhw5waDgAA\nMMSxXTw+n0/z58/XlVdeqfLyck2cOFGnnXaaU8MBAACGOHoMSlZWlrKyspwcAgAAMIgzyQIAAHMI\nFAAAYA6BAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6BAgAAzCFQ\nAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0AB\nAADmECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUA\nAJhDoAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMIFAAA\nYA6BAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6BAgAAzCFQAACA\nOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0ABAADm\nECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhD\noAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMIFAAAYA6B\nAgAAzCFQAACAOQQKAAAwh0ABAADmECgAAMAcAgUAAJhDoAAAAHMcCZRVq1ZpzJgx6tmzpz766CMn\nhgAAAAxzJFC6d++uRYsWKTMz04m7BwAAxvmcuNNu3bo5cbcAAMAlHAmU5mrfvrV8Pq/TwwiKtLQk\np4eAWpgntjA/bGF+2BPp8yRkgTJ16lTl5eXV+f3s2bM1fPjwZt1mQcHhlg7LhLS0JO3dW+j0MFAN\n88QW5octzA97ImWeNBRZIQuUp59+OlQ3DQAAIhwfMwYAAOY4Eihvv/22hgwZoi1btuiaa67RFVdc\n4cQwAACAUY4cJDtixAiNGDHCibsGAAAuwC4eAABgDoECAADMIVAAAIA5BAoAADCHQAEAAOYQKAAA\nwBwCBQAAmEOgAAAAcwgUAABgDoECAADMIVAAAIA5BAoAADCHQAEAAOYQKAAAwBwCBQAAmEOgAAAA\ncwgUAABgDoECAADMIVAAAIA5BAoAADCHQAEAAOYQKAAAwBwCBQAAmEOgAAAAcwgUAABgDoECAADM\nIVAAAIA5BAoAADCHQAEAAOYQKAAAwBwCBQAAmEOgAAAAcwgUAABgDoECAADMIVAAAIA5BAoAADCH\nQAEAAOYQKAAAwBwCBQAAmEOgAAAAcwgUAABgDoECAADMIVAAAIA5Hr/f73d6EAAAANWxBQUAAJhD\noAAAAHMIFAAAYA6BAgAAzCFQAACAOQQKAAAwh0BxyG9+8xuNGjVK48aN08yZM3XgwAGnhxTVVq1a\npTFjxqhnz5766KOPnB5O1Fq/fr1GjhypESNG6IknnnB6OFHv17/+tQYOHKixY8c6PRRI2r17t6ZM\nmaLRo0drzJgxeuaZZ5weUkgRKA4ZNGiQVqxYoTfeeEMnn3yyHn/8caeHFNW6d++uRYsWKTMz0+mh\nRK3y8nItWLBAixcv1sqVK7VixQpt27bN6WFFtQkTJmjx4sVODwP/5fV6NW/ePL355pt66aWX9MIL\nL0T0e4RAccjgwYPl8/kkSWeccYb27Nnj8IiiW7du3dS1a1enhxHVtm7dqi5duujEE09UXFycxowZ\no7Vr1zo9rKiWmZmp5ORkp4eB/+rYsaN69+4tSUpMTFTXrl2Vk5Pj8KhCh0AxYOnSpRoyZIjTwwAc\nlZOTo06dOlX9nJ6eHtELX6Aldu7cqU8++UR9+/Z1eigh43N6AJFs6tSpysvLq/P72bNna/jw4ZKk\nRx99VF6vVxdeeGG4hxd1GjM/AMC6Q4cOadasWbrllluUmJjo9HBChkAJoaeffrrB6cuWLdM777yj\np59+Wh6PJzyDimLHmh9wVnp6eo1dnTk5OUpPT3dwRIA9paWlmjVrlsaNG6fzzz/f6eGEFLt4HLJ+\n/XotXrxYjz76qFq1auX0cADH/fCHP9T27dv17bffqqSkRCtXrtSwYcOcHhZght/v16233qquXbtq\n2rRpTg8n5Pg2Y4eMGDFCJSUlateunSSpb9++WrBggcOjil5vv/227r77buXn56tt27bq1auXnnzy\nSaeHFXXWrVunhQsXqry8XBMnTtQvfvELp4cU1ebMmaNNmzapoKBAHTp00HXXXadJkyY5PayolZ2d\nrUsvvVTdu3dXTMzR7Qtz5sxRVlaWwyMLDQIFAACYwy4eAABgDoECAADMIVAAAIA5BAoAADCHQAEA\nAOYQKAAAwBwCBQAAmEOgADDhyy+/VFZWlnbt2iVJeuSRR3T99dc7PCoATuFEbQDMeO211/TCCy9o\n1qxZuvvuu7V06dKI/jI0APUjUACYMm/ePK1atUrPP/+8Tj/9dKeHA8Ah7OIBYEZJSYm++OILJSUl\nad++fU4PB4CDCBQAZvz2t79V7969tWTJEt1xxx3as2eP00MC4BACBYAJa9as0aZNm3TrrbfqtNNO\n08yZMzVnzhyVlZU5PTQADuAYFAAAYA5bUAAAgDkECgAAMIdAAQAA5hAoAADAHAIFAACYQ6AAAABz\nCBQAAGAOgQIAAMz5/5DqJMJIbsGbAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f17af53a128>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(figsize=(9, 9))\n", "ax = fig.add_subplot(111)\n", "ax.set_xlim(-2.5, 2.5)\n", "ax.set_ylim(-1.5, 3.5)\n", "ax.set_title('Surrogate minimum points and actual minimum point')\n", "ax.set_xlabel('x')\n", "ax.set_ylabel('y')\n", "\n", "sns.kdeplot(df['x'], df['y'], cmap='Reds', shade=True, shade_lowest=False, ax=ax)\n", "ax.scatter(df['x'], df['y'])\n", "\n", "rosenbrock_roots = pd.DataFrame([[1, 1]],\n", " columns=['x', 'y'])\n", "_ = ax.scatter(rosenbrock_roots['x'], rosenbrock_roots['y'], marker='x', s=50, c='black')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It looks like surrogate minimum points are less stable than in case of Himmelblau's function's modelling, does not it?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Conclusion" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "One might think that surrogate modelling does not give any advantages over naive approach at least in 2D case. Although surrogate modelling is an approach suitable for high-dimensional data where grids' sizes grow too fast to allow having fine enough steps, there is an obvious improvement of surrogate modelling's scores. Himmelblau's function is a polynomial and Rosenbrock function is a polynomial as well. Thus, linear regression with squared terms included can model these functions better than the neural networks that are trained above. If you are wondering why neural networks are chosen here as a tool, please note that this notebook is placed in a directory named `hard_use_and_abuse`. Besides that, probably, functions that are not polynomials will be studied here in the future.\n", "\n", "The last, but not the least, all above experiments are run with quite low standard deviation of noise. An interested reader can increase this parameter and look how performances of both benchmarks and surrogate models degrade." ] } ], "metadata": { "kernelspec": { "display_name": "presentations_env", "language": "python", "name": "presentations_env" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
kwecht/ML-with-Kaggle
notebooks/Linear Regression - DC Bicycle Share.ipynb
1
122272
{ "metadata": { "name": "", "signature": "sha256:5322b4f174f50cf151baabcccaade8c76c8fb1c01e50622fb5dccb9fccd14f9c" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Introduction" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This is the first installment of Applying Machine Learning to Kaggle Datasets, a series of ipython notebooks demonstrating the methods described in the Stanford Machine Learning Course. In each noteobok, I apply one method taught in the course to an open kaggle competition. \n", "\n", "In this notebook, I demonstrate linear regression using the DC Bicycle share competition." ] }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Outline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1. Import and examine the data\n", "2. Construct a linear model to predicut bicycle usage\n", "3. Optimize model paramters by solving Theta*X=y OLS problem\n", "4. Evaluate model results\n", "5. Submit the results to the Kaggle competition" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Import Necessary Modules" ] }, { "cell_type": "code", "collapsed": false, "input": [ "%matplotlib inline\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "import statsmodels.api as sm\n", "import code.Linear_Regression_Funcs as LRF" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "1. Read Bicycle Share Data from file" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A description of the data can be found here:\n", " https://www.kaggle.com/c/bike-sharing-demand/data" ] }, { "cell_type": "code", "collapsed": false, "input": [ "train = pd.read_csv(\"./data/bike_sharing_demand/train.csv\",\n", " index_col='datetime', parse_dates='datetime')\n", "train.head()" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>season</th>\n", " <th>holiday</th>\n", " <th>workingday</th>\n", " <th>weather</th>\n", " <th>temp</th>\n", " <th>atemp</th>\n", " <th>humidity</th>\n", " <th>windspeed</th>\n", " <th>casual</th>\n", " <th>registered</th>\n", " <th>count</th>\n", " </tr>\n", " <tr>\n", " <th>datetime</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2011-01-01 00:00:00</th>\n", " <td> 1</td>\n", " <td> 0</td>\n", " <td> 0</td>\n", " <td> 1</td>\n", " <td> 9.84</td>\n", " <td> 14.395</td>\n", " <td> 81</td>\n", " <td> 0</td>\n", " <td> 3</td>\n", " <td> 13</td>\n", " <td> 16</td>\n", " </tr>\n", " <tr>\n", " <th>2011-01-01 01:00:00</th>\n", " <td> 1</td>\n", " <td> 0</td>\n", " <td> 0</td>\n", " <td> 1</td>\n", " <td> 9.02</td>\n", " <td> 13.635</td>\n", " <td> 80</td>\n", " <td> 0</td>\n", " <td> 8</td>\n", " <td> 32</td>\n", " <td> 40</td>\n", " </tr>\n", " <tr>\n", " <th>2011-01-01 02:00:00</th>\n", " <td> 1</td>\n", " <td> 0</td>\n", " <td> 0</td>\n", " <td> 1</td>\n", " <td> 9.02</td>\n", " <td> 13.635</td>\n", " <td> 80</td>\n", " <td> 0</td>\n", " <td> 5</td>\n", " <td> 27</td>\n", " <td> 32</td>\n", " </tr>\n", " <tr>\n", " <th>2011-01-01 03:00:00</th>\n", " <td> 1</td>\n", " <td> 0</td>\n", " <td> 0</td>\n", " <td> 1</td>\n", " <td> 9.84</td>\n", " <td> 14.395</td>\n", " <td> 75</td>\n", " <td> 0</td>\n", " <td> 3</td>\n", " <td> 10</td>\n", " <td> 13</td>\n", " </tr>\n", " <tr>\n", " <th>2011-01-01 04:00:00</th>\n", " <td> 1</td>\n", " <td> 0</td>\n", " <td> 0</td>\n", " <td> 1</td>\n", " <td> 9.84</td>\n", " <td> 14.395</td>\n", " <td> 75</td>\n", " <td> 0</td>\n", " <td> 0</td>\n", " <td> 1</td>\n", " <td> 1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 2, "text": [ " season holiday workingday weather temp atemp \\\n", "datetime \n", "2011-01-01 00:00:00 1 0 0 1 9.84 14.395 \n", "2011-01-01 01:00:00 1 0 0 1 9.02 13.635 \n", "2011-01-01 02:00:00 1 0 0 1 9.02 13.635 \n", "2011-01-01 03:00:00 1 0 0 1 9.84 14.395 \n", "2011-01-01 04:00:00 1 0 0 1 9.84 14.395 \n", "\n", " humidity windspeed casual registered count \n", "datetime \n", "2011-01-01 00:00:00 81 0 3 13 16 \n", "2011-01-01 01:00:00 80 0 8 32 40 \n", "2011-01-01 02:00:00 80 0 5 27 32 \n", "2011-01-01 03:00:00 75 0 3 10 13 \n", "2011-01-01 04:00:00 75 0 0 1 1 " ] } ], "prompt_number": 2 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "2. Construct a linear model to predict hourly bicycle counts" ] }, { "cell_type": "heading", "level": 6, "metadata": {}, "source": [ "A list of variables to include in the linear model" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1. Time variables (Hour of Day, Day of Week, Month of Year, Day of Week * Hour of Day (Interaction))\n", "2. Weather variables (Weather Type: nice, misty, precipitation, nasty)" ] }, { "cell_type": "heading", "level": 6, "metadata": {}, "source": [ "Preparing data for linear regression" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1. make vector y: endogenous variable = bicycle count each hour\n", "2. make matrix X: exogenous variables described above\n", "\n", "\n", "* Before the regression, scale the model by the average number of rides in each:\n", " - month\n", " - weather type" ] }, { "cell_type": "code", "collapsed": false, "input": [ "y = train['count']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "# Get dictionaries to hold scale factors for month and weather type\n", "scales = LRF.get_scale(train,['weather','monthly'])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "# X is an [m x n] matrix.\n", "# m = number of observations\n", "# n = number of predictors\n", "X = LRF.make_matrix(train,weather_scale=scales['weather'],monthly_scale=scales['monthly'])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "3. Optimize Model Parameters via OLS regression" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Ordinary Least Squares (OLS) assumes a squared loss function with no weights and no regularization.\n", "\n", "a. sm.OLS.fit() solves the normal equations to estimate model parameters.\n", "* Pros: exact single step solution\n", "* Cons: does not scale with number of observations\n", "\n", "b. sm.OLS.fit_regularized() minimizes the cost function numerically using coordinate descent.\n", "* Pros: poorly conditioned problems can be regularized by early stopping or a regularization term\n", "* Cons: slower for problems of this size, minimization via gradient descent is not implemented in statsmodels.OLS (3 Dec 2014)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "results = sm.OLS(y,X).fit()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "4. Evaluate Model Results" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# Print OLS regression results and diagnostics\n", "results.summary()" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<table class=\"simpletable\">\n", "<caption>OLS Regression Results</caption>\n", "<tr>\n", " <th>Dep. Variable:</th> <td>count</td> <th> R-squared: </th> <td> 0.963</td> \n", "</tr>\n", "<tr>\n", " <th>Model:</th> <td>OLS</td> <th> Adj. R-squared: </th> <td> 0.962</td> \n", "</tr>\n", "<tr>\n", " <th>Method:</th> <td>Least Squares</td> <th> F-statistic: </th> <td> 1448.</td> \n", "</tr>\n", "<tr>\n", " <th>Date:</th> <td>Wed, 03 Dec 2014</td> <th> Prob (F-statistic):</th> <td> 0.00</td> \n", "</tr>\n", "<tr>\n", " <th>Time:</th> <td>16:31:20</td> <th> Log-Likelihood: </th> <td> -58166.</td> \n", "</tr>\n", "<tr>\n", " <th>No. Observations:</th> <td> 10886</td> <th> AIC: </th> <td>1.167e+05</td>\n", "</tr>\n", "<tr>\n", " <th>Df Residuals:</th> <td> 10693</td> <th> BIC: </th> <td>1.181e+05</td>\n", "</tr>\n", "<tr>\n", " <th>Df Model:</th> <td> 193</td> <th> </th> <td> </td> \n", "</tr>\n", "<tr>\n", " <th>Covariance Type:</th> <td>nonrobust</td> <th> </th> <td> </td> \n", "</tr>\n", "</table>\n", "<table class=\"simpletable\">\n", "<tr>\n", " <td></td> <th>coef</th> <th>std err</th> <th>t</th> <th>P>|t|</th> <th>[95.0% Conf. Int.]</th> \n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_1</th> <td> 15.6206</td> <td> 8.011</td> <td> 1.950</td> <td> 0.051</td> <td> -0.082 31.323</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_2</th> <td> 20.1382</td> <td> 7.359</td> <td> 2.736</td> <td> 0.006</td> <td> 5.712 34.564</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_3</th> <td> 29.6989</td> <td> 6.608</td> <td> 4.494</td> <td> 0.000</td> <td> 16.746 42.652</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_4</th> <td> 23.6136</td> <td> 5.707</td> <td> 4.137</td> <td> 0.000</td> <td> 12.426 34.801</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_5</th> <td> 18.0927</td> <td> 5.543</td> <td> 3.264</td> <td> 0.001</td> <td> 7.227 28.958</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_6</th> <td> 15.1806</td> <td> 5.502</td> <td> 2.759</td> <td> 0.006</td> <td> 4.395 25.966</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_7</th> <td> 19.6494</td> <td> 5.628</td> <td> 3.491</td> <td> 0.000</td> <td> 8.617 30.682</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_8</th> <td> 29.7368</td> <td> 5.749</td> <td> 5.173</td> <td> 0.000</td> <td> 18.468 41.006</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_9</th> <td> 30.8250</td> <td> 5.723</td> <td> 5.387</td> <td> 0.000</td> <td> 19.608 42.042</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_10</th> <td> 19.0813</td> <td> 5.839</td> <td> 3.268</td> <td> 0.001</td> <td> 7.636 30.526</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_11</th> <td> 23.4614</td> <td> 6.077</td> <td> 3.861</td> <td> 0.000</td> <td> 11.549 35.374</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_12</th> <td> 19.6215</td> <td> 6.246</td> <td> 3.141</td> <td> 0.002</td> <td> 7.378 31.865</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_13</th> <td> 25.2206</td> <td> 5.986</td> <td> 4.213</td> <td> 0.000</td> <td> 13.487 36.955</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_14</th> <td> 19.2910</td> <td> 5.496</td> <td> 3.510</td> <td> 0.000</td> <td> 8.518 30.064</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_15</th> <td> 15.1804</td> <td> 5.355</td> <td> 2.835</td> <td> 0.005</td> <td> 4.684 25.677</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_16</th> <td> 23.9481</td> <td> 5.368</td> <td> 4.462</td> <td> 0.000</td> <td> 13.427 34.469</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_17</th> <td> 20.7854</td> <td> 5.288</td> <td> 3.931</td> <td> 0.000</td> <td> 10.421 31.150</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_18</th> <td> 17.6691</td> <td> 5.347</td> <td> 3.304</td> <td> 0.001</td> <td> 7.187 28.151</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_19</th> <td> 20.6134</td> <td> 5.306</td> <td> 3.885</td> <td> 0.000</td> <td> 10.212 31.014</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_20</th> <td> 22.0085</td> <td> 5.292</td> <td> 4.159</td> <td> 0.000</td> <td> 11.635 32.382</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_21</th> <td> 24.8268</td> <td> 5.331</td> <td> 4.657</td> <td> 0.000</td> <td> 14.376 35.277</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_22</th> <td> 17.1652</td> <td> 5.429</td> <td> 3.162</td> <td> 0.002</td> <td> 6.523 27.807</td>\n", "</tr>\n", "<tr>\n", " <th>Months_Elapsed_23</th> <td> 22.3705</td> <td> 5.510</td> <td> 4.060</td> <td> 0.000</td> <td> 11.570 33.171</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_1</th> <td> -9.9726</td> <td> 8.078</td> <td> -1.235</td> <td> 0.217</td> <td> -25.806 5.861</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_2</th> <td> -15.9362</td> <td> 7.999</td> <td> -1.992</td> <td> 0.046</td> <td> -31.616 -0.256</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_3</th> <td> -19.1545</td> <td> 8.180</td> <td> -2.342</td> <td> 0.019</td> <td> -35.189 -3.120</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_4</th> <td> -17.9639</td> <td> 8.008</td> <td> -2.243</td> <td> 0.025</td> <td> -33.660 -2.268</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_5</th> <td> -0.1197</td> <td> 7.978</td> <td> -0.015</td> <td> 0.988</td> <td> -15.758 15.518</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_6</th> <td> 73.7026</td> <td> 8.031</td> <td> 9.178</td> <td> 0.000</td> <td> 57.961 89.444</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_7</th> <td> 265.1547</td> <td> 8.129</td> <td> 32.620</td> <td> 0.000</td> <td> 249.221 281.088</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_8</th> <td> 424.7341</td> <td> 8.062</td> <td> 52.681</td> <td> 0.000</td> <td> 408.930 440.538</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_9</th> <td> 200.5496</td> <td> 8.160</td> <td> 24.578</td> <td> 0.000</td> <td> 184.555 216.544</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_10</th> <td> 99.8264</td> <td> 8.062</td> <td> 12.382</td> <td> 0.000</td> <td> 84.024 115.629</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_11</th> <td> 126.4965</td> <td> 8.014</td> <td> 15.784</td> <td> 0.000</td> <td> 110.787 142.206</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_12</th> <td> 171.7776</td> <td> 8.117</td> <td> 21.161</td> <td> 0.000</td> <td> 155.866 187.689</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_13</th> <td> 165.4083</td> <td> 8.018</td> <td> 20.630</td> <td> 0.000</td> <td> 149.692 181.125</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_14</th> <td> 151.2943</td> <td> 8.036</td> <td> 18.827</td> <td> 0.000</td> <td> 135.542 167.047</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_15</th> <td> 169.5180</td> <td> 7.984</td> <td> 21.233</td> <td> 0.000</td> <td> 153.869 185.167</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_16</th> <td> 268.4027</td> <td> 8.056</td> <td> 33.318</td> <td> 0.000</td> <td> 252.612 284.194</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_17</th> <td> 520.2124</td> <td> 8.112</td> <td> 64.127</td> <td> 0.000</td> <td> 504.311 536.114</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_18</th> <td> 499.0364</td> <td> 8.121</td> <td> 61.448</td> <td> 0.000</td> <td> 483.117 514.956</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_19</th> <td> 342.4033</td> <td> 8.016</td> <td> 42.715</td> <td> 0.000</td> <td> 326.691 358.116</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_20</th> <td> 223.8352</td> <td> 8.032</td> <td> 27.867</td> <td> 0.000</td> <td> 208.090 239.580</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_21</th> <td> 148.8104</td> <td> 8.072</td> <td> 18.435</td> <td> 0.000</td> <td> 132.987 164.634</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_22</th> <td> 90.0603</td> <td> 8.079</td> <td> 11.148</td> <td> 0.000</td> <td> 74.224 105.896</td>\n", "</tr>\n", "<tr>\n", " <th>Hour_23</th> <td> 45.2944</td> <td> 8.127</td> <td> 5.573</td> <td> 0.000</td> <td> 29.363 61.225</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1</th> <td> 2.8788</td> <td> 7.864</td> <td> 0.366</td> <td> 0.714</td> <td> -12.536 18.293</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2</th> <td> 10.9351</td> <td> 7.653</td> <td> 1.429</td> <td> 0.153</td> <td> -4.066 25.936</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3</th> <td> 15.9188</td> <td> 7.860</td> <td> 2.025</td> <td> 0.043</td> <td> 0.511 31.326</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4</th> <td> 28.7777</td> <td> 7.678</td> <td> 3.748</td> <td> 0.000</td> <td> 13.727 43.828</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5</th> <td> 69.3382</td> <td> 7.176</td> <td> 9.663</td> <td> 0.000</td> <td> 55.272 83.404</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6</th> <td> 70.8883</td> <td> 7.694</td> <td> 9.213</td> <td> 0.000</td> <td> 55.806 85.971</td>\n", "</tr>\n", "<tr>\n", " <th>Weather_2</th> <td> 9.9642</td> <td> 1.146</td> <td> 8.694</td> <td> 0.000</td> <td> 7.718 12.211</td>\n", "</tr>\n", "<tr>\n", " <th>Weather_3</th> <td> 3.1118</td> <td> 2.811</td> <td> 1.107</td> <td> 0.268</td> <td> -2.398 8.621</td>\n", "</tr>\n", "<tr>\n", " <th>Weather_4</th> <td> -223.5256</td> <td> 92.196</td> <td> -2.424</td> <td> 0.015</td> <td> -404.246 -42.805</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_1</th> <td> -4.6686</td> <td> 11.771</td> <td> -0.397</td> <td> 0.692</td> <td> -27.742 18.405</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_2</th> <td> -4.7630</td> <td> 11.742</td> <td> -0.406</td> <td> 0.685</td> <td> -27.779 18.253</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_3</th> <td> -4.1579</td> <td> 11.919</td> <td> -0.349</td> <td> 0.727</td> <td> -27.521 19.205</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_4</th> <td> -3.8242</td> <td> 11.749</td> <td> -0.326</td> <td> 0.745</td> <td> -26.854 19.205</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_5</th> <td> -2.1925</td> <td> 11.740</td> <td> -0.187</td> <td> 0.852</td> <td> -25.204 20.819</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_6</th> <td> 9.2137</td> <td> 11.785</td> <td> 0.782</td> <td> 0.434</td> <td> -13.886 32.314</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_7</th> <td> 12.4646</td> <td> 11.806</td> <td> 1.056</td> <td> 0.291</td> <td> -10.678 35.608</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_8</th> <td> 18.5426</td> <td> 11.752</td> <td> 1.578</td> <td> 0.115</td> <td> -4.493 41.578</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_9</th> <td> 8.6453</td> <td> 11.808</td> <td> 0.732</td> <td> 0.464</td> <td> -14.501 31.792</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_10</th> <td> 6.3671</td> <td> 11.779</td> <td> 0.541</td> <td> 0.589</td> <td> -16.722 29.456</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_11</th> <td> -5.0526</td> <td> 11.681</td> <td> -0.433</td> <td> 0.665</td> <td> -27.949 17.844</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_12</th> <td> -12.9040</td> <td> 11.781</td> <td> -1.095</td> <td> 0.273</td> <td> -35.997 10.189</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_13</th> <td> -6.8936</td> <td> 11.705</td> <td> -0.589</td> <td> 0.556</td> <td> -29.838 16.051</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_14</th> <td> -8.8924</td> <td> 11.740</td> <td> -0.757</td> <td> 0.449</td> <td> -31.905 14.121</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_15</th> <td> -8.5768</td> <td> 11.682</td> <td> -0.734</td> <td> 0.463</td> <td> -31.476 14.323</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_16</th> <td> -7.9458</td> <td> 11.682</td> <td> -0.680</td> <td> 0.496</td> <td> -30.844 14.953</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_17</th> <td> -4.3034</td> <td> 11.718</td> <td> -0.367</td> <td> 0.713</td> <td> -27.272 18.665</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_18</th> <td> -13.6728</td> <td> 11.674</td> <td> -1.171</td> <td> 0.242</td> <td> -36.557 9.211</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_19</th> <td> -17.5595</td> <td> 11.602</td> <td> -1.514</td> <td> 0.130</td> <td> -40.301 5.182</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_20</th> <td> 5.4856</td> <td> 11.678</td> <td> 0.470</td> <td> 0.639</td> <td> -17.406 28.377</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_21</th> <td> 9.1994</td> <td> 11.694</td> <td> 0.787</td> <td> 0.432</td> <td> -13.724 32.122</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_22</th> <td> 13.7585</td> <td> 11.652</td> <td> 1.181</td> <td> 0.238</td> <td> -9.081 36.598</td>\n", "</tr>\n", "<tr>\n", " <th>Day_1_*_Hour_23</th> <td> 7.0077</td> <td> 11.741</td> <td> 0.597</td> <td> 0.551</td> <td> -16.006 30.021</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_1</th> <td> -9.2812</td> <td> 11.395</td> <td> -0.815</td> <td> 0.415</td> <td> -31.617 13.055</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_2</th> <td> -10.3549</td> <td> 11.354</td> <td> -0.912</td> <td> 0.362</td> <td> -32.610 11.900</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_3</th> <td> -9.7327</td> <td> 11.496</td> <td> -0.847</td> <td> 0.397</td> <td> -32.268 12.802</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_4</th> <td> -11.5499</td> <td> 11.446</td> <td> -1.009</td> <td> 0.313</td> <td> -33.986 10.886</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_5</th> <td> -8.5024</td> <td> 11.370</td> <td> -0.748</td> <td> 0.455</td> <td> -30.789 13.784</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_6</th> <td> 3.4818</td> <td> 11.444</td> <td> 0.304</td> <td> 0.761</td> <td> -18.950 25.913</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_7</th> <td> 16.6284</td> <td> 11.531</td> <td> 1.442</td> <td> 0.149</td> <td> -5.974 39.231</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_8</th> <td> 41.5842</td> <td> 11.502</td> <td> 3.615</td> <td> 0.000</td> <td> 19.038 64.131</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_9</th> <td> 6.5540</td> <td> 11.604</td> <td> 0.565</td> <td> 0.572</td> <td> -16.192 29.300</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_10</th> <td> -1.8299</td> <td> 11.515</td> <td> -0.159</td> <td> 0.874</td> <td> -24.402 20.742</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_11</th> <td> -12.0941</td> <td> 11.460</td> <td> -1.055</td> <td> 0.291</td> <td> -34.558 10.369</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_12</th> <td> -12.8202</td> <td> 11.526</td> <td> -1.112</td> <td> 0.266</td> <td> -35.412 9.772</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_13</th> <td> -20.9115</td> <td> 11.466</td> <td> -1.824</td> <td> 0.068</td> <td> -43.387 1.563</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_14</th> <td> -20.6515</td> <td> 11.438</td> <td> -1.806</td> <td> 0.071</td> <td> -43.071 1.768</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_15</th> <td> -20.8866</td> <td> 11.453</td> <td> -1.824</td> <td> 0.068</td> <td> -43.338 1.564</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_16</th> <td> -35.5946</td> <td> 11.381</td> <td> -3.128</td> <td> 0.002</td> <td> -57.903 -13.286</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_17</th> <td> -41.7742</td> <td> 11.403</td> <td> -3.663</td> <td> 0.000</td> <td> -64.127 -19.421</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_18</th> <td> -7.5222</td> <td> 11.576</td> <td> -0.650</td> <td> 0.516</td> <td> -30.213 15.168</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_19</th> <td> -14.9571</td> <td> 11.386</td> <td> -1.314</td> <td> 0.189</td> <td> -37.277 7.362</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_20</th> <td> 5.5813</td> <td> 11.388</td> <td> 0.490</td> <td> 0.624</td> <td> -16.741 27.904</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_21</th> <td> 14.0508</td> <td> 11.396</td> <td> 1.233</td> <td> 0.218</td> <td> -8.288 36.389</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_22</th> <td> 21.5795</td> <td> 11.408</td> <td> 1.892</td> <td> 0.059</td> <td> -0.783 43.942</td>\n", "</tr>\n", "<tr>\n", " <th>Day_2_*_Hour_23</th> <td> 2.5087</td> <td> 11.484</td> <td> 0.218</td> <td> 0.827</td> <td> -20.001 25.019</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_1</th> <td> -13.7088</td> <td> 11.691</td> <td> -1.173</td> <td> 0.241</td> <td> -36.626 9.209</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_2</th> <td> -15.1032</td> <td> 11.587</td> <td> -1.303</td> <td> 0.192</td> <td> -37.816 7.609</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_3</th> <td> -15.1437</td> <td> 11.728</td> <td> -1.291</td> <td> 0.197</td> <td> -38.133 7.845</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_4</th> <td> -16.8648</td> <td> 11.619</td> <td> -1.452</td> <td> 0.147</td> <td> -39.640 5.910</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_5</th> <td> -13.5723</td> <td> 11.608</td> <td> -1.169</td> <td> 0.242</td> <td> -36.325 9.181</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_6</th> <td> -4.5756</td> <td> 11.653</td> <td> -0.393</td> <td> 0.695</td> <td> -27.417 18.266</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_7</th> <td> 6.8192</td> <td> 11.734</td> <td> 0.581</td> <td> 0.561</td> <td> -16.181 29.820</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_8</th> <td> 22.1295</td> <td> 11.665</td> <td> 1.897</td> <td> 0.058</td> <td> -0.737 44.996</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_9</th> <td> -6.0219</td> <td> 11.740</td> <td> -0.513</td> <td> 0.608</td> <td> -29.035 16.991</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_10</th> <td> -10.7687</td> <td> 11.658</td> <td> -0.924</td> <td> 0.356</td> <td> -33.621 12.083</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_11</th> <td> -8.5635</td> <td> 11.635</td> <td> -0.736</td> <td> 0.462</td> <td> -31.371 14.244</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_12</th> <td> -15.3395</td> <td> 11.668</td> <td> -1.315</td> <td> 0.189</td> <td> -38.211 7.532</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_13</th> <td> -13.5303</td> <td> 11.586</td> <td> -1.168</td> <td> 0.243</td> <td> -36.242 9.181</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_14</th> <td> -20.3574</td> <td> 11.583</td> <td> -1.758</td> <td> 0.079</td> <td> -43.062 2.348</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_15</th> <td> -17.5265</td> <td> 11.524</td> <td> -1.521</td> <td> 0.128</td> <td> -40.115 5.062</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_16</th> <td> -24.6346</td> <td> 11.576</td> <td> -2.128</td> <td> 0.033</td> <td> -47.326 -1.943</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_17</th> <td> -28.1863</td> <td> 11.654</td> <td> -2.419</td> <td> 0.016</td> <td> -51.030 -5.342</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_18</th> <td> -33.4563</td> <td> 11.659</td> <td> -2.870</td> <td> 0.004</td> <td> -56.310 -10.603</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_19</th> <td> -22.0675</td> <td> 11.552</td> <td> -1.910</td> <td> 0.056</td> <td> -44.712 0.577</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_20</th> <td> 2.8539</td> <td> 11.547</td> <td> 0.247</td> <td> 0.805</td> <td> -19.781 25.489</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_21</th> <td> 9.8515</td> <td> 11.637</td> <td> 0.847</td> <td> 0.397</td> <td> -12.958 32.661</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_22</th> <td> 19.4362</td> <td> 11.639</td> <td> 1.670</td> <td> 0.095</td> <td> -3.378 42.251</td>\n", "</tr>\n", "<tr>\n", " <th>Day_3_*_Hour_23</th> <td> 16.1372</td> <td> 11.669</td> <td> 1.383</td> <td> 0.167</td> <td> -6.736 39.010</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_1</th> <td> -17.0434</td> <td> 11.506</td> <td> -1.481</td> <td> 0.139</td> <td> -39.597 5.511</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_2</th> <td> -24.1076</td> <td> 11.425</td> <td> -2.110</td> <td> 0.035</td> <td> -46.502 -1.713</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_3</th> <td> -27.1160</td> <td> 11.535</td> <td> -2.351</td> <td> 0.019</td> <td> -49.727 -4.505</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_4</th> <td> -27.9054</td> <td> 11.476</td> <td> -2.432</td> <td> 0.015</td> <td> -50.401 -5.409</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_5</th> <td> -28.8077</td> <td> 11.449</td> <td> -2.516</td> <td> 0.012</td> <td> -51.249 -6.366</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_6</th> <td> -32.0347</td> <td> 11.520</td> <td> -2.781</td> <td> 0.005</td> <td> -54.617 -9.453</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_7</th> <td> -53.1982</td> <td> 11.611</td> <td> -4.582</td> <td> 0.000</td> <td> -75.959 -30.438</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_8</th> <td> -12.8399</td> <td> 11.508</td> <td> -1.116</td> <td> 0.265</td> <td> -35.397 9.717</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_9</th> <td> -4.0348</td> <td> 11.542</td> <td> -0.350</td> <td> 0.727</td> <td> -26.659 18.590</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_10</th> <td> -0.9062</td> <td> 11.492</td> <td> -0.079</td> <td> 0.937</td> <td> -23.433 21.620</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_11</th> <td> 3.2064</td> <td> 11.484</td> <td> 0.279</td> <td> 0.780</td> <td> -19.303 25.716</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_12</th> <td> 6.8410</td> <td> 11.535</td> <td> 0.593</td> <td> 0.553</td> <td> -15.770 29.452</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_13</th> <td> 16.3048</td> <td> 11.425</td> <td> 1.427</td> <td> 0.154</td> <td> -6.091 38.701</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_14</th> <td> 17.4463</td> <td> 11.469</td> <td> 1.521</td> <td> 0.128</td> <td> -5.036 39.929</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_15</th> <td> 22.4190</td> <td> 11.429</td> <td> 1.962</td> <td> 0.050</td> <td> 0.016 44.822</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_16</th> <td> 12.6954</td> <td> 11.529</td> <td> 1.101</td> <td> 0.271</td> <td> -9.903 35.294</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_17</th> <td> -63.7130</td> <td> 11.583</td> <td> -5.500</td> <td> 0.000</td> <td> -86.419 -41.008</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_18</th> <td> -123.0775</td> <td> 11.567</td> <td> -10.641</td> <td> 0.000</td> <td> -145.750 -100.404</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_19</th> <td> -88.9573</td> <td> 11.472</td> <td> -7.754</td> <td> 0.000</td> <td> -111.444 -66.470</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_20</th> <td> -58.4012</td> <td> 11.453</td> <td> -5.099</td> <td> 0.000</td> <td> -80.851 -35.951</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_21</th> <td> -30.4182</td> <td> 11.483</td> <td> -2.649</td> <td> 0.008</td> <td> -52.927 -7.910</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_22</th> <td> 8.5425</td> <td> 11.472</td> <td> 0.745</td> <td> 0.456</td> <td> -13.944 31.029</td>\n", "</tr>\n", "<tr>\n", " <th>Day_4_*_Hour_23</th> <td> 21.4113</td> <td> 11.529</td> <td> 1.857</td> <td> 0.063</td> <td> -1.188 44.011</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_1</th> <td> -17.7230</td> <td> 10.810</td> <td> -1.640</td> <td> 0.101</td> <td> -38.912 3.466</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_2</th> <td> -30.6979</td> <td> 10.754</td> <td> -2.855</td> <td> 0.004</td> <td> -51.778 -9.618</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_3</th> <td> -52.1627</td> <td> 10.859</td> <td> -4.804</td> <td> 0.000</td> <td> -73.449 -30.877</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_4</th> <td> -66.7563</td> <td> 10.734</td> <td> -6.219</td> <td> 0.000</td> <td> -87.797 -45.715</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_5</th> <td> -82.6931</td> <td> 10.693</td> <td> -7.733</td> <td> 0.000</td> <td> -103.654 -61.732</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_6</th> <td> -141.7856</td> <td> 10.749</td> <td> -13.190</td> <td> 0.000</td> <td> -162.856 -120.715</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_7</th> <td> -299.3367</td> <td> 10.812</td> <td> -27.687</td> <td> 0.000</td> <td> -320.529 -278.144</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_8</th> <td> -381.9155</td> <td> 10.783</td> <td> -35.420</td> <td> 0.000</td> <td> -403.051 -360.780</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_9</th> <td> -95.8556</td> <td> 10.853</td> <td> -8.832</td> <td> 0.000</td> <td> -117.130 -74.582</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_10</th> <td> 72.3466</td> <td> 10.784</td> <td> 6.709</td> <td> 0.000</td> <td> 51.208 93.485</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_11</th> <td> 111.8986</td> <td> 10.748</td> <td> 10.412</td> <td> 0.000</td> <td> 90.831 132.966</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_12</th> <td> 109.9479</td> <td> 10.812</td> <td> 10.169</td> <td> 0.000</td> <td> 88.754 131.142</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_13</th> <td> 131.4199</td> <td> 10.753</td> <td> 12.222</td> <td> 0.000</td> <td> 110.342 152.498</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_14</th> <td> 138.8437</td> <td> 10.776</td> <td> 12.884</td> <td> 0.000</td> <td> 117.720 159.968</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_15</th> <td> 119.8622</td> <td> 10.775</td> <td> 11.124</td> <td> 0.000</td> <td> 98.741 140.983</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_16</th> <td> 13.7431</td> <td> 10.837</td> <td> 1.268</td> <td> 0.205</td> <td> -7.500 34.986</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_17</th> <td> -248.2790</td> <td> 10.913</td> <td> -22.751</td> <td> 0.000</td> <td> -269.671 -226.887</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_18</th> <td> -276.1579</td> <td> 10.864</td> <td> -25.419</td> <td> 0.000</td> <td> -297.454 -254.862</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_19</th> <td> -170.0055</td> <td> 10.811</td> <td> -15.725</td> <td> 0.000</td> <td> -191.198 -148.813</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_20</th> <td> -113.2595</td> <td> 10.843</td> <td> -10.445</td> <td> 0.000</td> <td> -134.514 -92.005</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_21</th> <td> -72.6400</td> <td> 10.854</td> <td> -6.693</td> <td> 0.000</td> <td> -93.915 -51.365</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_22</th> <td> -36.4564</td> <td> 10.824</td> <td> -3.368</td> <td> 0.001</td> <td> -57.673 -15.239</td>\n", "</tr>\n", "<tr>\n", " <th>Day_5_*_Hour_23</th> <td> -22.7051</td> <td> 10.926</td> <td> -2.078</td> <td> 0.038</td> <td> -44.121 -1.289</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_1</th> <td> -7.0523</td> <td> 11.512</td> <td> -0.613</td> <td> 0.540</td> <td> -29.618 15.513</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_2</th> <td> -19.3372</td> <td> 11.469</td> <td> -1.686</td> <td> 0.092</td> <td> -41.819 3.145</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_3</th> <td> -46.9686</td> <td> 11.607</td> <td> -4.047</td> <td> 0.000</td> <td> -69.720 -24.217</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_4</th> <td> -67.3904</td> <td> 11.490</td> <td> -5.865</td> <td> 0.000</td> <td> -89.913 -44.868</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_5</th> <td> -84.4756</td> <td> 11.438</td> <td> -7.385</td> <td> 0.000</td> <td> -106.897 -62.054</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_6</th> <td> -152.5208</td> <td> 11.471</td> <td> -13.297</td> <td> 0.000</td> <td> -175.005 -130.036</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_7</th> <td> -323.7666</td> <td> 11.562</td> <td> -28.002</td> <td> 0.000</td> <td> -346.431 -301.103</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_8</th> <td> -434.2322</td> <td> 11.495</td> <td> -37.776</td> <td> 0.000</td> <td> -456.764 -411.700</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_9</th> <td> -136.0725</td> <td> 11.563</td> <td> -11.768</td> <td> 0.000</td> <td> -158.739 -113.406</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_10</th> <td> 61.8447</td> <td> 11.443</td> <td> 5.405</td> <td> 0.000</td> <td> 39.414 84.275</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_11</th> <td> 90.3837</td> <td> 11.420</td> <td> 7.915</td> <td> 0.000</td> <td> 67.999 112.768</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_12</th> <td> 104.8658</td> <td> 11.531</td> <td> 9.094</td> <td> 0.000</td> <td> 82.263 127.469</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_13</th> <td> 114.0860</td> <td> 11.453</td> <td> 9.961</td> <td> 0.000</td> <td> 91.636 136.536</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_14</th> <td> 117.7520</td> <td> 11.479</td> <td> 10.258</td> <td> 0.000</td> <td> 95.251 140.253</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_15</th> <td> 96.0046</td> <td> 11.474</td> <td> 8.367</td> <td> 0.000</td> <td> 73.513 118.496</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_16</th> <td> -3.4728</td> <td> 11.501</td> <td> -0.302</td> <td> 0.763</td> <td> -26.016 19.070</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_17</th> <td> -285.3727</td> <td> 11.576</td> <td> -24.653</td> <td> 0.000</td> <td> -308.063 -262.682</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_18</th> <td> -312.0480</td> <td> 11.593</td> <td> -26.918</td> <td> 0.000</td> <td> -334.772 -289.324</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_19</th> <td> -212.0147</td> <td> 11.415</td> <td> -18.574</td> <td> 0.000</td> <td> -234.390 -189.640</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_20</th> <td> -146.8203</td> <td> 11.432</td> <td> -12.843</td> <td> 0.000</td> <td> -169.229 -124.411</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_21</th> <td> -112.4777</td> <td> 11.459</td> <td> -9.816</td> <td> 0.000</td> <td> -134.939 -90.016</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_22</th> <td> -84.0678</td> <td> 11.554</td> <td> -7.276</td> <td> 0.000</td> <td> -106.716 -61.419</td>\n", "</tr>\n", "<tr>\n", " <th>Day_6_*_Hour_23</th> <td> -74.2203</td> <td> 11.615</td> <td> -6.390</td> <td> 0.000</td> <td> -96.987 -51.453</td>\n", "</tr>\n", "</table>\n", "<table class=\"simpletable\">\n", "<tr>\n", " <th>Omnibus:</th> <td>1688.015</td> <th> Durbin-Watson: </th> <td> 0.755</td> \n", "</tr>\n", "<tr>\n", " <th>Prob(Omnibus):</th> <td> 0.000</td> <th> Jarque-Bera (JB): </th> <td>30329.423</td>\n", "</tr>\n", "<tr>\n", " <th>Skew:</th> <td> 0.023</td> <th> Prob(JB): </th> <td> 0.00</td> \n", "</tr>\n", "<tr>\n", " <th>Kurtosis:</th> <td>11.177</td> <th> Cond. No. </th> <td> 118.</td> \n", "</tr>\n", "</table>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ "<class 'statsmodels.iolib.summary.Summary'>\n", "\"\"\"\n", " OLS Regression Results \n", "==============================================================================\n", "Dep. Variable: count R-squared: 0.963\n", "Model: OLS Adj. R-squared: 0.962\n", "Method: Least Squares F-statistic: 1448.\n", "Date: Wed, 03 Dec 2014 Prob (F-statistic): 0.00\n", "Time: 16:31:20 Log-Likelihood: -58166.\n", "No. Observations: 10886 AIC: 1.167e+05\n", "Df Residuals: 10693 BIC: 1.181e+05\n", "Df Model: 193 \n", "Covariance Type: nonrobust \n", "=====================================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "-------------------------------------------------------------------------------------\n", "Months_Elapsed_1 15.6206 8.011 1.950 0.051 -0.082 31.323\n", "Months_Elapsed_2 20.1382 7.359 2.736 0.006 5.712 34.564\n", "Months_Elapsed_3 29.6989 6.608 4.494 0.000 16.746 42.652\n", "Months_Elapsed_4 23.6136 5.707 4.137 0.000 12.426 34.801\n", "Months_Elapsed_5 18.0927 5.543 3.264 0.001 7.227 28.958\n", "Months_Elapsed_6 15.1806 5.502 2.759 0.006 4.395 25.966\n", "Months_Elapsed_7 19.6494 5.628 3.491 0.000 8.617 30.682\n", "Months_Elapsed_8 29.7368 5.749 5.173 0.000 18.468 41.006\n", "Months_Elapsed_9 30.8250 5.723 5.387 0.000 19.608 42.042\n", "Months_Elapsed_10 19.0813 5.839 3.268 0.001 7.636 30.526\n", "Months_Elapsed_11 23.4614 6.077 3.861 0.000 11.549 35.374\n", "Months_Elapsed_12 19.6215 6.246 3.141 0.002 7.378 31.865\n", "Months_Elapsed_13 25.2206 5.986 4.213 0.000 13.487 36.955\n", "Months_Elapsed_14 19.2910 5.496 3.510 0.000 8.518 30.064\n", "Months_Elapsed_15 15.1804 5.355 2.835 0.005 4.684 25.677\n", "Months_Elapsed_16 23.9481 5.368 4.462 0.000 13.427 34.469\n", "Months_Elapsed_17 20.7854 5.288 3.931 0.000 10.421 31.150\n", "Months_Elapsed_18 17.6691 5.347 3.304 0.001 7.187 28.151\n", "Months_Elapsed_19 20.6134 5.306 3.885 0.000 10.212 31.014\n", "Months_Elapsed_20 22.0085 5.292 4.159 0.000 11.635 32.382\n", "Months_Elapsed_21 24.8268 5.331 4.657 0.000 14.376 35.277\n", "Months_Elapsed_22 17.1652 5.429 3.162 0.002 6.523 27.807\n", "Months_Elapsed_23 22.3705 5.510 4.060 0.000 11.570 33.171\n", "Hour_1 -9.9726 8.078 -1.235 0.217 -25.806 5.861\n", "Hour_2 -15.9362 7.999 -1.992 0.046 -31.616 -0.256\n", "Hour_3 -19.1545 8.180 -2.342 0.019 -35.189 -3.120\n", "Hour_4 -17.9639 8.008 -2.243 0.025 -33.660 -2.268\n", "Hour_5 -0.1197 7.978 -0.015 0.988 -15.758 15.518\n", "Hour_6 73.7026 8.031 9.178 0.000 57.961 89.444\n", "Hour_7 265.1547 8.129 32.620 0.000 249.221 281.088\n", "Hour_8 424.7341 8.062 52.681 0.000 408.930 440.538\n", "Hour_9 200.5496 8.160 24.578 0.000 184.555 216.544\n", "Hour_10 99.8264 8.062 12.382 0.000 84.024 115.629\n", "Hour_11 126.4965 8.014 15.784 0.000 110.787 142.206\n", "Hour_12 171.7776 8.117 21.161 0.000 155.866 187.689\n", "Hour_13 165.4083 8.018 20.630 0.000 149.692 181.125\n", "Hour_14 151.2943 8.036 18.827 0.000 135.542 167.047\n", "Hour_15 169.5180 7.984 21.233 0.000 153.869 185.167\n", "Hour_16 268.4027 8.056 33.318 0.000 252.612 284.194\n", "Hour_17 520.2124 8.112 64.127 0.000 504.311 536.114\n", "Hour_18 499.0364 8.121 61.448 0.000 483.117 514.956\n", "Hour_19 342.4033 8.016 42.715 0.000 326.691 358.116\n", "Hour_20 223.8352 8.032 27.867 0.000 208.090 239.580\n", "Hour_21 148.8104 8.072 18.435 0.000 132.987 164.634\n", "Hour_22 90.0603 8.079 11.148 0.000 74.224 105.896\n", "Hour_23 45.2944 8.127 5.573 0.000 29.363 61.225\n", "Day_1 2.8788 7.864 0.366 0.714 -12.536 18.293\n", "Day_2 10.9351 7.653 1.429 0.153 -4.066 25.936\n", "Day_3 15.9188 7.860 2.025 0.043 0.511 31.326\n", "Day_4 28.7777 7.678 3.748 0.000 13.727 43.828\n", "Day_5 69.3382 7.176 9.663 0.000 55.272 83.404\n", "Day_6 70.8883 7.694 9.213 0.000 55.806 85.971\n", "Weather_2 9.9642 1.146 8.694 0.000 7.718 12.211\n", "Weather_3 3.1118 2.811 1.107 0.268 -2.398 8.621\n", "Weather_4 -223.5256 92.196 -2.424 0.015 -404.246 -42.805\n", "Day_1_*_Hour_1 -4.6686 11.771 -0.397 0.692 -27.742 18.405\n", "Day_1_*_Hour_2 -4.7630 11.742 -0.406 0.685 -27.779 18.253\n", "Day_1_*_Hour_3 -4.1579 11.919 -0.349 0.727 -27.521 19.205\n", "Day_1_*_Hour_4 -3.8242 11.749 -0.326 0.745 -26.854 19.205\n", "Day_1_*_Hour_5 -2.1925 11.740 -0.187 0.852 -25.204 20.819\n", "Day_1_*_Hour_6 9.2137 11.785 0.782 0.434 -13.886 32.314\n", "Day_1_*_Hour_7 12.4646 11.806 1.056 0.291 -10.678 35.608\n", "Day_1_*_Hour_8 18.5426 11.752 1.578 0.115 -4.493 41.578\n", "Day_1_*_Hour_9 8.6453 11.808 0.732 0.464 -14.501 31.792\n", "Day_1_*_Hour_10 6.3671 11.779 0.541 0.589 -16.722 29.456\n", "Day_1_*_Hour_11 -5.0526 11.681 -0.433 0.665 -27.949 17.844\n", "Day_1_*_Hour_12 -12.9040 11.781 -1.095 0.273 -35.997 10.189\n", "Day_1_*_Hour_13 -6.8936 11.705 -0.589 0.556 -29.838 16.051\n", "Day_1_*_Hour_14 -8.8924 11.740 -0.757 0.449 -31.905 14.121\n", "Day_1_*_Hour_15 -8.5768 11.682 -0.734 0.463 -31.476 14.323\n", "Day_1_*_Hour_16 -7.9458 11.682 -0.680 0.496 -30.844 14.953\n", "Day_1_*_Hour_17 -4.3034 11.718 -0.367 0.713 -27.272 18.665\n", "Day_1_*_Hour_18 -13.6728 11.674 -1.171 0.242 -36.557 9.211\n", "Day_1_*_Hour_19 -17.5595 11.602 -1.514 0.130 -40.301 5.182\n", "Day_1_*_Hour_20 5.4856 11.678 0.470 0.639 -17.406 28.377\n", "Day_1_*_Hour_21 9.1994 11.694 0.787 0.432 -13.724 32.122\n", "Day_1_*_Hour_22 13.7585 11.652 1.181 0.238 -9.081 36.598\n", "Day_1_*_Hour_23 7.0077 11.741 0.597 0.551 -16.006 30.021\n", "Day_2_*_Hour_1 -9.2812 11.395 -0.815 0.415 -31.617 13.055\n", "Day_2_*_Hour_2 -10.3549 11.354 -0.912 0.362 -32.610 11.900\n", "Day_2_*_Hour_3 -9.7327 11.496 -0.847 0.397 -32.268 12.802\n", "Day_2_*_Hour_4 -11.5499 11.446 -1.009 0.313 -33.986 10.886\n", "Day_2_*_Hour_5 -8.5024 11.370 -0.748 0.455 -30.789 13.784\n", "Day_2_*_Hour_6 3.4818 11.444 0.304 0.761 -18.950 25.913\n", "Day_2_*_Hour_7 16.6284 11.531 1.442 0.149 -5.974 39.231\n", "Day_2_*_Hour_8 41.5842 11.502 3.615 0.000 19.038 64.131\n", "Day_2_*_Hour_9 6.5540 11.604 0.565 0.572 -16.192 29.300\n", "Day_2_*_Hour_10 -1.8299 11.515 -0.159 0.874 -24.402 20.742\n", "Day_2_*_Hour_11 -12.0941 11.460 -1.055 0.291 -34.558 10.369\n", "Day_2_*_Hour_12 -12.8202 11.526 -1.112 0.266 -35.412 9.772\n", "Day_2_*_Hour_13 -20.9115 11.466 -1.824 0.068 -43.387 1.563\n", "Day_2_*_Hour_14 -20.6515 11.438 -1.806 0.071 -43.071 1.768\n", "Day_2_*_Hour_15 -20.8866 11.453 -1.824 0.068 -43.338 1.564\n", "Day_2_*_Hour_16 -35.5946 11.381 -3.128 0.002 -57.903 -13.286\n", "Day_2_*_Hour_17 -41.7742 11.403 -3.663 0.000 -64.127 -19.421\n", "Day_2_*_Hour_18 -7.5222 11.576 -0.650 0.516 -30.213 15.168\n", "Day_2_*_Hour_19 -14.9571 11.386 -1.314 0.189 -37.277 7.362\n", "Day_2_*_Hour_20 5.5813 11.388 0.490 0.624 -16.741 27.904\n", "Day_2_*_Hour_21 14.0508 11.396 1.233 0.218 -8.288 36.389\n", "Day_2_*_Hour_22 21.5795 11.408 1.892 0.059 -0.783 43.942\n", "Day_2_*_Hour_23 2.5087 11.484 0.218 0.827 -20.001 25.019\n", "Day_3_*_Hour_1 -13.7088 11.691 -1.173 0.241 -36.626 9.209\n", "Day_3_*_Hour_2 -15.1032 11.587 -1.303 0.192 -37.816 7.609\n", "Day_3_*_Hour_3 -15.1437 11.728 -1.291 0.197 -38.133 7.845\n", "Day_3_*_Hour_4 -16.8648 11.619 -1.452 0.147 -39.640 5.910\n", "Day_3_*_Hour_5 -13.5723 11.608 -1.169 0.242 -36.325 9.181\n", "Day_3_*_Hour_6 -4.5756 11.653 -0.393 0.695 -27.417 18.266\n", "Day_3_*_Hour_7 6.8192 11.734 0.581 0.561 -16.181 29.820\n", "Day_3_*_Hour_8 22.1295 11.665 1.897 0.058 -0.737 44.996\n", "Day_3_*_Hour_9 -6.0219 11.740 -0.513 0.608 -29.035 16.991\n", "Day_3_*_Hour_10 -10.7687 11.658 -0.924 0.356 -33.621 12.083\n", "Day_3_*_Hour_11 -8.5635 11.635 -0.736 0.462 -31.371 14.244\n", "Day_3_*_Hour_12 -15.3395 11.668 -1.315 0.189 -38.211 7.532\n", "Day_3_*_Hour_13 -13.5303 11.586 -1.168 0.243 -36.242 9.181\n", "Day_3_*_Hour_14 -20.3574 11.583 -1.758 0.079 -43.062 2.348\n", "Day_3_*_Hour_15 -17.5265 11.524 -1.521 0.128 -40.115 5.062\n", "Day_3_*_Hour_16 -24.6346 11.576 -2.128 0.033 -47.326 -1.943\n", "Day_3_*_Hour_17 -28.1863 11.654 -2.419 0.016 -51.030 -5.342\n", "Day_3_*_Hour_18 -33.4563 11.659 -2.870 0.004 -56.310 -10.603\n", "Day_3_*_Hour_19 -22.0675 11.552 -1.910 0.056 -44.712 0.577\n", "Day_3_*_Hour_20 2.8539 11.547 0.247 0.805 -19.781 25.489\n", "Day_3_*_Hour_21 9.8515 11.637 0.847 0.397 -12.958 32.661\n", "Day_3_*_Hour_22 19.4362 11.639 1.670 0.095 -3.378 42.251\n", "Day_3_*_Hour_23 16.1372 11.669 1.383 0.167 -6.736 39.010\n", "Day_4_*_Hour_1 -17.0434 11.506 -1.481 0.139 -39.597 5.511\n", "Day_4_*_Hour_2 -24.1076 11.425 -2.110 0.035 -46.502 -1.713\n", "Day_4_*_Hour_3 -27.1160 11.535 -2.351 0.019 -49.727 -4.505\n", "Day_4_*_Hour_4 -27.9054 11.476 -2.432 0.015 -50.401 -5.409\n", "Day_4_*_Hour_5 -28.8077 11.449 -2.516 0.012 -51.249 -6.366\n", "Day_4_*_Hour_6 -32.0347 11.520 -2.781 0.005 -54.617 -9.453\n", "Day_4_*_Hour_7 -53.1982 11.611 -4.582 0.000 -75.959 -30.438\n", "Day_4_*_Hour_8 -12.8399 11.508 -1.116 0.265 -35.397 9.717\n", "Day_4_*_Hour_9 -4.0348 11.542 -0.350 0.727 -26.659 18.590\n", "Day_4_*_Hour_10 -0.9062 11.492 -0.079 0.937 -23.433 21.620\n", "Day_4_*_Hour_11 3.2064 11.484 0.279 0.780 -19.303 25.716\n", "Day_4_*_Hour_12 6.8410 11.535 0.593 0.553 -15.770 29.452\n", "Day_4_*_Hour_13 16.3048 11.425 1.427 0.154 -6.091 38.701\n", "Day_4_*_Hour_14 17.4463 11.469 1.521 0.128 -5.036 39.929\n", "Day_4_*_Hour_15 22.4190 11.429 1.962 0.050 0.016 44.822\n", "Day_4_*_Hour_16 12.6954 11.529 1.101 0.271 -9.903 35.294\n", "Day_4_*_Hour_17 -63.7130 11.583 -5.500 0.000 -86.419 -41.008\n", "Day_4_*_Hour_18 -123.0775 11.567 -10.641 0.000 -145.750 -100.404\n", "Day_4_*_Hour_19 -88.9573 11.472 -7.754 0.000 -111.444 -66.470\n", "Day_4_*_Hour_20 -58.4012 11.453 -5.099 0.000 -80.851 -35.951\n", "Day_4_*_Hour_21 -30.4182 11.483 -2.649 0.008 -52.927 -7.910\n", "Day_4_*_Hour_22 8.5425 11.472 0.745 0.456 -13.944 31.029\n", "Day_4_*_Hour_23 21.4113 11.529 1.857 0.063 -1.188 44.011\n", "Day_5_*_Hour_1 -17.7230 10.810 -1.640 0.101 -38.912 3.466\n", "Day_5_*_Hour_2 -30.6979 10.754 -2.855 0.004 -51.778 -9.618\n", "Day_5_*_Hour_3 -52.1627 10.859 -4.804 0.000 -73.449 -30.877\n", "Day_5_*_Hour_4 -66.7563 10.734 -6.219 0.000 -87.797 -45.715\n", "Day_5_*_Hour_5 -82.6931 10.693 -7.733 0.000 -103.654 -61.732\n", "Day_5_*_Hour_6 -141.7856 10.749 -13.190 0.000 -162.856 -120.715\n", "Day_5_*_Hour_7 -299.3367 10.812 -27.687 0.000 -320.529 -278.144\n", "Day_5_*_Hour_8 -381.9155 10.783 -35.420 0.000 -403.051 -360.780\n", "Day_5_*_Hour_9 -95.8556 10.853 -8.832 0.000 -117.130 -74.582\n", "Day_5_*_Hour_10 72.3466 10.784 6.709 0.000 51.208 93.485\n", "Day_5_*_Hour_11 111.8986 10.748 10.412 0.000 90.831 132.966\n", "Day_5_*_Hour_12 109.9479 10.812 10.169 0.000 88.754 131.142\n", "Day_5_*_Hour_13 131.4199 10.753 12.222 0.000 110.342 152.498\n", "Day_5_*_Hour_14 138.8437 10.776 12.884 0.000 117.720 159.968\n", "Day_5_*_Hour_15 119.8622 10.775 11.124 0.000 98.741 140.983\n", "Day_5_*_Hour_16 13.7431 10.837 1.268 0.205 -7.500 34.986\n", "Day_5_*_Hour_17 -248.2790 10.913 -22.751 0.000 -269.671 -226.887\n", "Day_5_*_Hour_18 -276.1579 10.864 -25.419 0.000 -297.454 -254.862\n", "Day_5_*_Hour_19 -170.0055 10.811 -15.725 0.000 -191.198 -148.813\n", "Day_5_*_Hour_20 -113.2595 10.843 -10.445 0.000 -134.514 -92.005\n", "Day_5_*_Hour_21 -72.6400 10.854 -6.693 0.000 -93.915 -51.365\n", "Day_5_*_Hour_22 -36.4564 10.824 -3.368 0.001 -57.673 -15.239\n", "Day_5_*_Hour_23 -22.7051 10.926 -2.078 0.038 -44.121 -1.289\n", "Day_6_*_Hour_1 -7.0523 11.512 -0.613 0.540 -29.618 15.513\n", "Day_6_*_Hour_2 -19.3372 11.469 -1.686 0.092 -41.819 3.145\n", "Day_6_*_Hour_3 -46.9686 11.607 -4.047 0.000 -69.720 -24.217\n", "Day_6_*_Hour_4 -67.3904 11.490 -5.865 0.000 -89.913 -44.868\n", "Day_6_*_Hour_5 -84.4756 11.438 -7.385 0.000 -106.897 -62.054\n", "Day_6_*_Hour_6 -152.5208 11.471 -13.297 0.000 -175.005 -130.036\n", "Day_6_*_Hour_7 -323.7666 11.562 -28.002 0.000 -346.431 -301.103\n", "Day_6_*_Hour_8 -434.2322 11.495 -37.776 0.000 -456.764 -411.700\n", "Day_6_*_Hour_9 -136.0725 11.563 -11.768 0.000 -158.739 -113.406\n", "Day_6_*_Hour_10 61.8447 11.443 5.405 0.000 39.414 84.275\n", "Day_6_*_Hour_11 90.3837 11.420 7.915 0.000 67.999 112.768\n", "Day_6_*_Hour_12 104.8658 11.531 9.094 0.000 82.263 127.469\n", "Day_6_*_Hour_13 114.0860 11.453 9.961 0.000 91.636 136.536\n", "Day_6_*_Hour_14 117.7520 11.479 10.258 0.000 95.251 140.253\n", "Day_6_*_Hour_15 96.0046 11.474 8.367 0.000 73.513 118.496\n", "Day_6_*_Hour_16 -3.4728 11.501 -0.302 0.763 -26.016 19.070\n", "Day_6_*_Hour_17 -285.3727 11.576 -24.653 0.000 -308.063 -262.682\n", "Day_6_*_Hour_18 -312.0480 11.593 -26.918 0.000 -334.772 -289.324\n", "Day_6_*_Hour_19 -212.0147 11.415 -18.574 0.000 -234.390 -189.640\n", "Day_6_*_Hour_20 -146.8203 11.432 -12.843 0.000 -169.229 -124.411\n", "Day_6_*_Hour_21 -112.4777 11.459 -9.816 0.000 -134.939 -90.016\n", "Day_6_*_Hour_22 -84.0678 11.554 -7.276 0.000 -106.716 -61.419\n", "Day_6_*_Hour_23 -74.2203 11.615 -6.390 0.000 -96.987 -51.453\n", "==============================================================================\n", "Omnibus: 1688.015 Durbin-Watson: 0.755\n", "Prob(Omnibus): 0.000 Jarque-Bera (JB): 30329.423\n", "Skew: 0.023 Prob(JB): 0.00\n", "Kurtosis: 11.177 Cond. No. 118.\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "\"\"\"" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "# Print score on Kaggle training data\n", "ypredict = results.predict(X)\n", "ypredict[ypredict<0]=0 # Make sure we don't predict negative ridership!\n", "print \"score on training data = \", LRF.score(y,ypredict)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "score on training data = 0.463711064666\n" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "# View Time series of ridership observations and predictions\n", "start = 2700\n", "end = start + 300\n", "#start = 0; end = len(train)-1 # Uncomment to see entire timeseries\n", "plt.plot(train.index[start:end],y[start:end],'-r',alpha=1,lw=3)\n", "plt.plot(train.index[start:end],ypredict[start:end],'-b',alpha=0.3,lw=3)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ "[<matplotlib.lines.Line2D at 0x108c77d90>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXMAAAEACAYAAABBDJb9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x108c77dd0>" ] } ], "prompt_number": 9 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "5. Submit the results to the Kaggle competition" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# Read test data\n", "test = pd.read_csv(\"./data/bike_sharing_demand/test.csv\",\n", " index_col='datetime', parse_dates='datetime')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "# Construct test model matrix\n", "Xtest = LRF.make_matrix(test,weather_scale=scales['weather'],monthly_scale=scales['monthly'])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "# Calculate predictions by applying model parameters to test model matrix\n", "Ypredict = pd.DataFrame(results.predict(Xtest),index=Xtest.index)\n", "Ypredict = Ypredict.apply(np.round)\n", "Ypredict[Ypredict<0]=0" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "# Write to csv\n", "Ypredict.columns = ['count']\n", "Ypredict = Ypredict.astype(int) # Force integers in output\n", "Ypredict.to_csv('./predictions/Linear_Regression_Prediction.csv',sep=',')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 13 }, { "cell_type": "markdown", "metadata": {}, "source": [ "This submission received a score of 0.57986, placing 902 of 1481 submissions. Not bad for a quick linear regression model!" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "6. How to improve the regression model" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The evaluation function uses log of rides, so it's very important to be correct when ridership is low. \n", "\n", "1. Implement weighted least squares (sm.WLS(y,X)) in which the smaller values of the observations are weighted more heavily.\n", "\n", "2. Include more predictor variables. For example, use weather information after transforming it from the raw data. For example, try monthly temperature anomaly instead of simply temperature. Additionally, include an interaction term between temperature anomaly and month of the year (or day of week).\n", "\n", "3. Regularize the model results. The test data performs worse than the training data, suggesting that the model overfits the training data. Try early stopping or including a regularization term using OLS.fit_regularlized().\n", "\n", "4. Fine tune model predictions. For example, manually reduce ridership predictions during the last week of December, when many people will be out of town or not commuting to work.\n", "\n", "5. Assume that the endogenous variable follows a Poisson distribution, not a normal distribution sm.GLM(y,X,family=sm.families.Poisson()). This is cheating a little bit because this tutorial is about linear regression." ] } ], "metadata": {} } ] }
mit
CompPhysics/ComputationalPhysics
doc/pub/integrate/ipynb/.ipynb_checkpoints/integrate-checkpoint.ipynb
1
125829
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- dom:TITLE: Computational Physics Lectures: Numerical integration, from Newton-Cotes quadrature to Gaussian quadrature -->\n", "# Computational Physics Lectures: Numerical integration, from Newton-Cotes quadrature to Gaussian quadrature\n", "<!-- dom:AUTHOR: Morten Hjorth-Jensen at Department of Physics, University of Oslo & Department of Physics and Astronomy and National Superconducting Cyclotron Laboratory, Michigan State University -->\n", "<!-- Author: --> \n", "**Morten Hjorth-Jensen**, Department of Physics, University of Oslo and Department of Physics and Astronomy and National Superconducting Cyclotron Laboratory, Michigan State University\n", "\n", "Date: **Aug 23, 2017**\n", "\n", "Copyright 1999-2017, Morten Hjorth-Jensen. Released under CC Attribution-NonCommercial 4.0 license\n", "\n", "\n", "\n", "\n", "## Numerical Integration\n", "Here we will discuss some of the classical methods for integrating a function. The methods we discuss are \n", "1. Equal step methods like the trapezoidal, rectangular and Simpson's rule, parts of what are called Newton-Cotes quadrature methods.\n", "\n", "2. Integration approaches based on Gaussian quadrature. \n", "\n", "The latter are more suitable\n", "for the case where the abscissas are not equally spaced. \n", "We emphasize methods for evaluating few-dimensional (typically up to four dimensions) integrals. Multi-dimensional integrals will be discussed in connection with Monte Carlo methods.\n", "\n", "\n", "\n", "## Newton-Cotes Quadrature or equal-step methods\n", "The integral" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:integraldef\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " I=\\int_a^bf(x) dx\n", "\\label{eq:integraldef} \\tag{1}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "has a very simple meaning. The integral is the\n", "area enscribed by the function $f(x)$ starting from $x=a$ to $x=b$. It is subdivided in several smaller areas whose evaluation is to be approximated by different techniques. The areas under the curve can for example be approximated by rectangular boxes or trapezoids.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Basic philosophy of equal-step methods\n", "In considering equal step methods, our basic approach is that of approximating\n", "a function $f(x)$ with a polynomial of at most \n", "degree $N-1$, given $N$ integration points. If our polynomial is of degree $1$,\n", "the function will be approximated with $f(x)\\approx a_0+a_1x$.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Simple algorithm for equal step methods\n", "The algorithm for these integration methods is rather simple, and the number of approximations perhaps unlimited!\n", "\n", "* Choose a step size $h=(b-a)/N$ where $N$ is the number of steps and $a$ and $b$ the lower and upper limits of integration.\n", "\n", "* With a given step length we rewrite the integral as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(x) dx= \\int_a^{a+h}f(x)dx + \\int_{a+h}^{a+2h}f(x)dx+\\dots \\int_{b-h}^{b}f(x)dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "* The strategy then is to find a reliable polynomial approximation for $f(x)$ in the various intervals. Choosing a given approximation for $f(x)$, we obtain a specific approximation to the integral.\n", "\n", "* With this approximation to $f(x)$ we perform the integration by computing the integrals over all subintervals.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Simple algorithm for equal step methods\n", "One possible strategy then is to find a reliable polynomial expansion for $f(x)$ in the smaller\n", "subintervals. Consider for example evaluating" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^{a+2h}f(x)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which we rewrite as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:hhint\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " \\int_a^{a+2h}f(x)dx=\n", " \\int_{x_0-h}^{x_0+h}f(x)dx.\n", "\\label{eq:hhint} \\tag{2}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We have chosen a midpoint $x_0$ and have defined $x_0=a+h$.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Lagrange's interpolation formula\n", "Using Lagrange's interpolation formula" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_N(x)=\\sum_{i=0}^{N}\\prod_{k\\ne i} \\frac{x-x_k}{x_i-x_k}y_i,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we could attempt to approximate the function $f(x)$ with a first-order polynomial in $x$ in the two\n", "sub-intervals $x\\in[x_0-h,x_0]$ and $x\\in[x_0,x_0+h]$. A first order polynomial means simply that \n", "we have for say the interval $x\\in[x_0,x_0+h]$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "f(x)\\approx P_1(x)=\\frac{x-x_0}{(x_0+h)-x_0}f(x_0+h)+\\frac{x-(x_0+h)}{x_0-(x_0+h)}f(x_0),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and for the interval $x\\in[x_0-h,x_0]$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "f(x)\\approx P_1(x)=\\frac{x-(x_0-h)}{x_0-(x_0-h)}f(x_0)+\\frac{x-x_0}{(x_0-h)-x_0}f(x_0-h).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Polynomial approximation\n", "Having performed this subdivision and polynomial approximation,\n", "one from $x_0-h$ to $x_0$ and the other from $x_0$ to $x_0+h$," ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^{a+2h}f(x)dx=\\int_{x_0-h}^{x_0}f(x)dx+\\int_{x_0}^{x_0+h}f(x)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we can easily calculate for example the second integral as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{x_0}^{x_0+h}f(x)dx\\approx \\int_{x_0}^{x_0+h}\\left(\\frac{x-x_0}{(x_0+h)-x_0}f(x_0+h)+\\frac{x-(x_0+h)}{x_0-(x_0+h)}f(x_0)\\right)dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Simplifying the integral\n", "This integral can be simplified to" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{x_0}^{x_0+h}f(x)dx\\approx \\int_{x_0}^{x_0+h}\\left(\\frac{x-x_0}{h}f(x_0+h)-\\frac{x-(x_0+h)}{h}f(x_0)\\right)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "resulting in" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{x_0}^{x_0+h}f(x)dx=\\frac{h}{2}\\left(f(x_0+h) + f(x_0)\\right)+O(h^3).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here we added the error made in approximating our integral \n", "with a polynomial of degree $1$.\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## The trapezoidal rule\n", "The other integral gives" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{x_0-h}^{x_0}f(x)dx=\\frac{h}{2}\\left(f(x_0) + f(x_0-h)\\right)+O(h^3),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and adding up we obtain" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:trapez\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " \\int_{x_0-h}^{x_0+h}f(x)dx=\\frac{h}{2}\\left(f(x_0+h) + 2f(x_0) + f(x_0-h)\\right)+O(h^3),\n", "\\label{eq:trapez} \\tag{3}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which is the well-known trapezoidal rule. Concerning the error in the approximation made,\n", "$O(h^3)=O((b-a)^3/N^3)$, you should note \n", "that this is the local error. Since we are splitting the integral from\n", "$a$ to $b$ in $N$ pieces, we will have to perform approximately $N$ \n", "such operations.\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Global error\n", "This means that the *global error* goes like $\\approx O(h^2)$. \n", "The trapezoidal reads then" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:trapez1\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " I=\\int_a^bf(x) dx=h\\left(f(a)/2 + f(a+h) +f(a+2h)+\n", " \\dots +f(b-h)+ f_{b}/2\\right),\n", "\\label{eq:trapez1} \\tag{4}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with a global error which goes like $O(h^2)$. \n", "\n", "Hereafter we use the shorthand notations $f_{-h}=f(x_0-h)$, $f_{0}=f(x_0)$\n", "and $f_{h}=f(x_0+h)$.\n", "\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Error in the trapezoidal rule\n", "The correct mathematical expression for the local error for the trapezoidal rule is" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(x)dx -\\frac{b-a}{2}\\left[f(a)+f(b)\\right]=-\\frac{h^3}{12}f^{(2)}(\\xi),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the global error reads" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(x)dx -T_h(f)=-\\frac{b-a}{12}h^2f^{(2)}(\\xi),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $T_h$ is the trapezoidal result and $\\xi \\in [a,b]$.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Algorithm for the trapezoidal rule\n", "The trapezoidal rule is easy to implement numerically \n", "through the following simple algorithm\n", "\n", " * Choose the number of mesh points and fix the step length.\n", "\n", " * calculate $f(a)$ and $f(b)$ and multiply with $h/2$.\n", "\n", " * Perform a loop over $n=1$ to $n-1$ ($f(a)$ and $f(b)$ are known) and sum up the terms $f(a+h) +f(a+2h)+f(a+3h)+\\dots +f(b-h)$. Each step in the loop corresponds to a given value $a+nh$.\n", "\n", " * Multiply the final result by $h$ and add $hf(a)/2$ and $hf(b)/2$.\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Code example\n", "A simple function which implements this algorithm is as follows" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " double TrapezoidalRule(double a, double b, int n, double (*func)(double))\n", " {\n", " double TrapezSum;\n", " double fa, fb, x, step;\n", " int j;\n", " step=(b-a)/((double) n);\n", " fa=(*func)(a)/2. ;\n", " fb=(*func)(b)/2. ;\n", " TrapezSum=0.;\n", " for (j=1; j <= n-1; j++){\n", " x=j*step+a;\n", " TrapezSum+=(*func)(x);\n", " }\n", " TrapezSum=(TrapezSum+fb+fa)*step;\n", " return TrapezSum;\n", " } // end TrapezoidalRule \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The function returns a new value for the specific integral through the variable **TrapezSum**.\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Transfer of function names\n", "There is one new feature to note here, namely\n", "the transfer of a user defined function called **func** in the \n", "definition" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " void TrapezoidalRule(double a, double b, int n, double *TrapezSum, double (*func)(double) ) \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What happens here is that we are transferring a pointer to the name \n", "of a user defined function, which has as input a double precision variable and returns\n", "a double precision number. The function **TrapezoidalRule** is called as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " TrapezoidalRule(a, b, n, &MyFunction ) \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "in the calling function. We note that **a**, **b** and **n** are called by value,\n", "while **TrapezSum** and the user defined function **MyFunction**\n", "are called by reference.\n", "\n", "\n", "\n", "\n", "## Going back to Python, why?\n", "**Symbolic calculations and numerical calculations in one code!**\n", "\n", "Python offers an extremely versatile programming environment, allowing for \n", "the inclusion of analytical studies in a numerical program. Here we show an\n", "example code with the **trapezoidal rule** using **SymPy** to evaluate an integral and compute the absolute error\n", "with respect to the numerically evaluated one of the integral\n", "$4\\int_0^1 dx/(1+x^2) = \\pi$:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Trapezoidal rule= 3.141575986923129\n" ] }, { "ename": "PolynomialDivisionFailed", "evalue": "couldn't reduce degree in a polynomial division algorithm when dividing [3.0, 0.0, 0.25] by [-0.166666666666667, 0.0]. This can happen when it's not possible to detect zero in the coefficient domain. The domain of computation is RR. Your working precision or tolerance of computations may be set improperly. Adjust those parameters of the coefficient domain and try again.", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mPolynomialDivisionFailed\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-2-4de457ca79a1>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m\u001b[0m\n\u001b[1;32m 20\u001b[0m \u001b[0;31m# define x as a symbol to be used by sympy\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 21\u001b[0m \u001b[0mx\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mSymbol\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'x'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 22\u001b[0;31m \u001b[0mexact\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mintegrate\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfunction\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mx\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m(\u001b[0m\u001b[0mx\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;36m0.0\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;36m1.0\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 23\u001b[0m \u001b[0mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"Sympy integration=\"\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mexact\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 24\u001b[0m \u001b[0;31m# Find relative error\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/integrals.py\u001b[0m in \u001b[0;36mintegrate\u001b[0;34m(*args, **kwargs)\u001b[0m\n\u001b[1;32m 1463\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mintegral\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mIntegral\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1464\u001b[0m return integral.doit(deep=False, meijerg=meijerg, conds=conds,\n\u001b[0;32m-> 1465\u001b[0;31m risch=risch, manual=manual)\n\u001b[0m\u001b[1;32m 1466\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1467\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mintegral\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/integrals.py\u001b[0m in \u001b[0;36mdoit\u001b[0;34m(self, **hints)\u001b[0m\n\u001b[1;32m 536\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 537\u001b[0m antideriv = self._eval_integral(\n\u001b[0;32m--> 538\u001b[0;31m function, xab[0], **eval_kwargs)\n\u001b[0m\u001b[1;32m 539\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mantideriv\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mNone\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0mmeijerg\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 540\u001b[0m \u001b[0mret\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mtry_meijerg\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfunction\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mxab\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/integrals.py\u001b[0m in \u001b[0;36m_eval_integral\u001b[0;34m(self, f, x, meijerg, risch, manual, conds)\u001b[0m\n\u001b[1;32m 863\u001b[0m \u001b[0;32mtry\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 864\u001b[0m result, i = risch_integrate(f, x, separate_integral=True,\n\u001b[0;32m--> 865\u001b[0;31m conds=conds)\n\u001b[0m\u001b[1;32m 866\u001b[0m \u001b[0;32mexcept\u001b[0m \u001b[0mNotImplementedError\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 867\u001b[0m \u001b[0;32mpass\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/risch.py\u001b[0m in \u001b[0;36mrisch_integrate\u001b[0;34m(f, x, extension, handle_first, separate_integral, rewrite_complex, conds)\u001b[0m\n\u001b[1;32m 1758\u001b[0m \u001b[0;31m# XXX: We can't call ratint() directly here because it doesn't\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1759\u001b[0m \u001b[0;31m# handle polynomials correctly.\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1760\u001b[0;31m \u001b[0mans\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mintegrate\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfa\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mas_expr\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m/\u001b[0m\u001b[0mfd\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mas_expr\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mDE\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mx\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mrisch\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mFalse\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1761\u001b[0m \u001b[0mb\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mFalse\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1762\u001b[0m \u001b[0mi\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mS\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;36m0\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/integrals.py\u001b[0m in \u001b[0;36mintegrate\u001b[0;34m(*args, **kwargs)\u001b[0m\n\u001b[1;32m 1463\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mintegral\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mIntegral\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1464\u001b[0m return integral.doit(deep=False, meijerg=meijerg, conds=conds,\n\u001b[0;32m-> 1465\u001b[0;31m risch=risch, manual=manual)\n\u001b[0m\u001b[1;32m 1466\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1467\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mintegral\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/integrals.py\u001b[0m in \u001b[0;36mdoit\u001b[0;34m(self, **hints)\u001b[0m\n\u001b[1;32m 536\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 537\u001b[0m antideriv = self._eval_integral(\n\u001b[0;32m--> 538\u001b[0;31m function, xab[0], **eval_kwargs)\n\u001b[0m\u001b[1;32m 539\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mantideriv\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mNone\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0mmeijerg\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 540\u001b[0m \u001b[0mret\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mtry_meijerg\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mfunction\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mxab\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/integrals.py\u001b[0m in \u001b[0;36m_eval_integral\u001b[0;34m(self, f, x, meijerg, risch, manual, conds)\u001b[0m\n\u001b[1;32m 948\u001b[0m \u001b[0;31m# poly(x)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 949\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mg\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mis_rational_function\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mx\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;34m(\u001b[0m\u001b[0mmanual\u001b[0m \u001b[0;32mor\u001b[0m \u001b[0mmeijerg\u001b[0m \u001b[0;32mor\u001b[0m \u001b[0mrisch\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 950\u001b[0;31m \u001b[0mparts\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mappend\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcoeff\u001b[0m \u001b[0;34m*\u001b[0m \u001b[0mratint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mg\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mx\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 951\u001b[0m \u001b[0;32mcontinue\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 952\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/rationaltools.py\u001b[0m in \u001b[0;36mratint\u001b[0;34m(f, x, **flags)\u001b[0m\n\u001b[1;32m 97\u001b[0m \u001b[0;32mfor\u001b[0m \u001b[0mh\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mq\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mL\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 98\u001b[0m \u001b[0m_\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mh\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mh\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mprimitive\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 99\u001b[0;31m \u001b[0mR\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mlog_to_real\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mh\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mq\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mx\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mt\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 100\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 101\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mR\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/integrals/rationaltools.py\u001b[0m in \u001b[0;36mlog_to_real\u001b[0;34m(h, q, x, t)\u001b[0m\n\u001b[1;32m 347\u001b[0m \u001b[0mR_u\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mroots\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mR\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mfilter\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;34m'R'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 348\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 349\u001b[0;31m \u001b[0;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mR_u\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m!=\u001b[0m \u001b[0mR\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcount_roots\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 350\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 351\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/polytools.py\u001b[0m in \u001b[0;36mcount_roots\u001b[0;34m(f, inf, sup)\u001b[0m\n\u001b[1;32m 3436\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0minf_real\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0msup_real\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 3437\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mhasattr\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrep\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'count_real_roots'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 3438\u001b[0;31m \u001b[0mcount\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrep\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcount_real_roots\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0minf\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0minf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msup\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0msup\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 3439\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m \u001b[0;31m# pragma: no cover\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 3440\u001b[0m \u001b[0;32mraise\u001b[0m \u001b[0mOperationNotSupported\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'count_real_roots'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/polyclasses.py\u001b[0m in \u001b[0;36mcount_real_roots\u001b[0;34m(f, inf, sup)\u001b[0m\n\u001b[1;32m 835\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mcount_real_roots\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0minf\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msup\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 836\u001b[0m \u001b[0;34m\"\"\"Return the number of real roots of ``f`` in ``[inf, sup]``. \"\"\"\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 837\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mdup_count_real_roots\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrep\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mdom\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0minf\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0minf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msup\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0msup\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 838\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 839\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mcount_complex_roots\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0minf\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msup\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/rootisolation.py\u001b[0m in \u001b[0;36mdup_count_real_roots\u001b[0;34m(f, K, inf, sup)\u001b[0m\n\u001b[1;32m 707\u001b[0m \u001b[0mf\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mdup_convert\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mR\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 708\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 709\u001b[0;31m \u001b[0msturm\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mdup_sturm\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 710\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 711\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0minf\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/rootisolation.py\u001b[0m in \u001b[0;36mdup_sturm\u001b[0;34m(f, K)\u001b[0m\n\u001b[1;32m 67\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 68\u001b[0m \u001b[0;32mwhile\u001b[0m \u001b[0msturm\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m-\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 69\u001b[0;31m \u001b[0ms\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mdup_rem\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0msturm\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m-\u001b[0m\u001b[0;36m2\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msturm\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m-\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 70\u001b[0m \u001b[0msturm\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mappend\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdup_neg\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0ms\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 71\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/densearith.py\u001b[0m in \u001b[0;36mdup_rem\u001b[0;34m(f, g, K)\u001b[0m\n\u001b[1;32m 1552\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1553\u001b[0m \"\"\"\n\u001b[0;32m-> 1554\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mdup_div\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mg\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1555\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1556\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/densearith.py\u001b[0m in \u001b[0;36mdup_div\u001b[0;34m(f, g, K)\u001b[0m\n\u001b[1;32m 1529\u001b[0m \"\"\"\n\u001b[1;32m 1530\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mis_Field\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1531\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mdup_ff_div\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mg\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1532\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1533\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mdup_rr_div\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mg\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.7/site-packages/sympy/polys/densearith.py\u001b[0m in \u001b[0;36mdup_ff_div\u001b[0;34m(f, g, K)\u001b[0m\n\u001b[1;32m 1452\u001b[0m \u001b[0;32mbreak\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1453\u001b[0m \u001b[0;32melif\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;34m(\u001b[0m\u001b[0mdr\u001b[0m \u001b[0;34m<\u001b[0m \u001b[0m_dr\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1454\u001b[0;31m \u001b[0;32mraise\u001b[0m \u001b[0mPolynomialDivisionFailed\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mg\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mK\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1455\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1456\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mq\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mr\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mPolynomialDivisionFailed\u001b[0m: couldn't reduce degree in a polynomial division algorithm when dividing [3.0, 0.0, 0.25] by [-0.166666666666667, 0.0]. This can happen when it's not possible to detect zero in the coefficient domain. The domain of computation is RR. Your working precision or tolerance of computations may be set improperly. Adjust those parameters of the coefficient domain and try again." ] } ], "source": [ "from math import *\n", "from sympy import *\n", "def Trapez(a,b,f,n):\n", " h = (b-a)/float(n)\n", " s = 0\n", " x = a\n", " for i in range(1,n,1):\n", " x = x+h\n", " s = s+ f(x)\n", " s = 0.5*(f(a)+f(b)) +s\n", " return h*s\n", "\n", "# function to compute pi\n", "def function(x):\n", " return 4.0/(1+x*x)\n", "\n", "a = 0.0; b = 1.0; n = 100\n", "result = Trapez(a,b,function,n)\n", "print(\"Trapezoidal rule=\", result)\n", "# define x as a symbol to be used by sympy\n", "x = Symbol('x')\n", "exact = integrate(function(x), (x, 0.0, 1.0))\n", "print(\"Sympy integration=\", exact)\n", "# Find relative error\n", "print(\"Relative error\", abs((exact-result)/exact))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Error analysis\n", "The following extended version of the trapezoidal rule allows you to plot the relative error by comparing with the exact result. By increasing to $10^8$ points one arrives at a region where numerical errors start to accumulate." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "from math import log10\n", "import numpy as np\n", "from sympy import Symbol, integrate\n", "import matplotlib.pyplot as plt\n", "# function for the trapezoidal rule\n", "def Trapez(a,b,f,n):\n", " h = (b-a)/float(n)\n", " s = 0\n", " x = a\n", " for i in range(1,n,1):\n", " x = x+h\n", " s = s+ f(x)\n", " s = 0.5*(f(a)+f(b)) +s\n", " return h*s\n", "# function to compute pi\n", "def function(x):\n", " return 4.0/(1+x*x)\n", "# define integration limits\n", "a = 0.0; b = 1.0;\n", "# find result from sympy\n", "# define x as a symbol to be used by sympy\n", "x = Symbol('x')\n", "exact = integrate(function(x), (x, a, b))\n", "# set up the arrays for plotting the relative error\n", "n = np.zeros(9); y = np.zeros(9);\n", "# find the relative error as function of integration points\n", "for i in range(1, 8, 1):\n", " npts = 10**i\n", " result = Trapez(a,b,function,npts)\n", " RelativeError = abs((exact-result)/exact)\n", " n[i] = log10(npts); y[i] = log10(RelativeError);\n", "plt.plot(n,y, 'ro')\n", "plt.xlabel('n')\n", "plt.ylabel('Relative error')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Integrating numerical mathematics with calculus\n", "The last example shows the potential of combining numerical algorithms with \n", "symbolic calculations, allowing us thereby to\n", "\n", "* Validate and verify our algorithms.\n", "\n", "* Including concepts like unit testing, one has the possibility to test and validate several or all parts of the code.\n", "\n", "* Validation and verification are then included *naturally*.\n", "\n", "* The above example allows you to test the mathematical error of the algorithm for the trapezoidal rule by changing the number of integration points. You get trained from day one to think error analysis.\n", "\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## The rectangle method\n", "Another very simple approach is the so-called midpoint or rectangle method.\n", "In this case the integration area is split in a given number of rectangles with length $h$ and height given by the mid-point value of the function. This gives the following simple rule for approximating an integral" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:rectangle\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " I=\\int_a^bf(x) dx \\approx h\\sum_{i=1}^N f(x_{i-1/2}), \n", "\\label{eq:rectangle} \\tag{5}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $f(x_{i-1/2})$ is the midpoint value of $f$ for a given rectangle. We will discuss its truncation \n", "error below. It is easy to implement this algorithm, as shown here" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " double RectangleRule(double a, double b, int n, double (*func)(double))\n", " {\n", " double RectangleSum;\n", " double fa, fb, x, step;\n", " int j;\n", " step=(b-a)/((double) n);\n", " RectangleSum=0.;\n", " for (j = 0; j <= n; j++){\n", " x = (j+0.5)*step+; // midpoint of a given rectangle\n", " RectangleSum+=(*func)(x); // add value of function.\n", " }\n", " RectangleSum *= step; // multiply with step length.\n", " return RectangleSum;\n", " } // end RectangleRule \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Truncation error for the rectangular rule\n", "The correct mathematical expression for the local error for the rectangular rule $R_i(h)$ for element $i$ is" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-h}^hf(x)dx - R_i(h)=-\\frac{h^3}{24}f^{(2)}(\\xi),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the global error reads" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(x)dx -R_h(f)=-\\frac{b-a}{24}h^2f^{(2)}(\\xi),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $R_h$ is the result obtained with rectangular rule and $\\xi \\in [a,b]$.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Second-order polynomial\n", "Instead of using the above first-order polynomials \n", "approximations for $f$, we attempt at using a second-order polynomials.\n", "In this case we need three points in order to define a second-order \n", "polynomial approximation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "f(x) \\approx P_2(x)=a_0+a_1x+a_2x^2.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using again Lagrange's interpolation formula we have" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_2(x)=\\frac{(x-x_0)(x-x_1)}{(x_2-x_0)(x_2-x_1)}y_2+\n", " \\frac{(x-x_0)(x-x_2)}{(x_1-x_0)(x_1-x_2)}y_1+\n", " \\frac{(x-x_1)(x-x_2)}{(x_0-x_1)(x_0-x_2)}y_0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Inserting this formula in the integral of Eq. ([eq:hhint](#eq:hhint)) we obtain" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-h}^{+h}f(x)dx=\\frac{h}{3}\\left(f_h + 4f_0 + f_{-h}\\right)+O(h^5),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which is Simpson's rule.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Simpson's rule\n", "Note that the improved accuracy in the evaluation of\n", "the derivatives gives a better error approximation, $O(h^5)$ vs.\\ $O(h^3)$ .\n", "But this is again the *local error approximation*. \n", "Using Simpson's rule we can easily compute\n", "the integral of Eq. ([eq:integraldef](#eq:integraldef)) to be" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:simpson\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " I=\\int_a^bf(x) dx=\\frac{h}{3}\\left(f(a) + 4f(a+h) +2f(a+2h)+\n", " \\dots +4f(b-h)+ f_{b}\\right),\n", "\\label{eq:simpson} \\tag{6}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with a global error which goes like $O(h^4)$.\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Mathematical expressions for the truncation error\n", "More formal expressions for the local and global errors are for the local error" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(x)dx -\\frac{b-a}{6}\\left[f(a)+4f((a+b)/2)+f(b)\\right]=-\\frac{h^5}{90}f^{(4)}(\\xi),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and for the global error" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(x)dx -S_h(f)=-\\frac{b-a}{180}h^4f^{(4)}(\\xi).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with $\\xi\\in[a,b]$ and $S_h$ the results obtained with Simpson's method.\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Algorithm for Simpson's rule\n", "The method \n", "can easily be implemented numerically through the following simple algorithm\n", "\n", " * Choose the number of mesh points and fix the step.\n", "\n", " * calculate $f(a)$ and $f(b)$\n", "\n", " * Perform a loop over $n=1$ to $n-1$ ($f(a)$ and $f(b)$ are known) and sum up the terms $4f(a+h) +2f(a+2h)+4f(a+3h)+\\dots +4f(b-h)$. Each step in the loop corresponds to a given value $a+nh$. Odd values of $n$ give $4$ as factor while even values yield $2$ as factor.\n", "\n", " * Multiply the final result by $\\frac{h}{3}$.\n", "\n", "\n", "\n", "\n", "<!-- !split -->\n", "## Summary for equal-step methods\n", "In more general terms, what we have done here is to approximate a given function $f(x)$ with a polynomial\n", "of a certain degree. One can show that \n", "given $n+1$ distinct points $x_0,\\dots, x_n\\in[a,b]$ and $n+1$ values $y_0,\\dots,y_n$ there exists a \n", "unique polynomial $P_n(x)$ with the property" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_n(x_j) = y_j\\hspace{0.5cm} j=0,\\dots,n\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Lagrange's polynomial\n", "In the Lagrange representation the interpolating polynomial is given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_n = \\sum_{k=0}^nl_ky_k,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with the Lagrange factors" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "l_k(x) = \\prod_{\\begin{array}{c}i=0 \\\\ i\\ne k\\end{array}}^n\\frac{x-x_i}{x_k-x_i}\\hspace{0.2cm} k=0,\\dots,n.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Polynomial approximation\n", "If we for example set $n=1$, we obtain" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_1(x) = y_0\\frac{x-x_1}{x_0-x_1}+y_1\\frac{x-x_0}{x_1-x_0}=\\frac{y_1-y_0}{x_1-x_0}x-\\frac{y_1x_0+y_0x_1}{x_1-x_0},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which we recognize as the equation for a straight line.\n", "\n", "The polynomial interpolatory quadrature of order $n$ with equidistant quadrature points $x_k=a+kh$\n", "and step $h=(b-a)/n$ is called the Newton-Cotes quadrature formula of order $n$.\n", "\n", "\n", "\n", "\n", "## Gaussian Quadrature\n", "The methods we have presented hitherto are tailored to problems where the \n", "mesh points $x_i$ are equidistantly spaced, $x_i$ differing from $x_{i+1}$ by the step $h$.\n", "\n", "The basic idea behind all integration methods is to approximate the integral" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_a^bf(x)dx \\approx \\sum_{i=1}^N\\omega_if(x_i),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $\\omega$ and $x$ are the weights and the chosen mesh points, respectively.\n", "In our previous discussion, these mesh points were fixed at the beginning, by choosing\n", "a given number of points $N$. The weigths $\\omega$ resulted then from the integration\n", "method we applied. Simpson's rule, see Eq. ([eq:simpson](#eq:simpson)) would give" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\omega : \\left\\{h/3,4h/3,2h/3,4h/3,\\dots,4h/3,h/3\\right\\},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "for the weights, while the trapezoidal rule resulted in" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\omega : \\left\\{h/2,h,h,\\dots,h,h/2\\right\\}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Gaussian Quadrature, main idea\n", "In general, an integration formula which is based on a Taylor series using $N$ points,\n", "will integrate exactly a polynomial $P$ of degree $N-1$. That is, the $N$ weights\n", "$\\omega_n$ can be chosen to satisfy $N$ linear equations, see chapter 3 of Ref.\\ [3]. \n", "A greater precision for a given amount of numerical work can be achieved\n", "if we are willing to give up the requirement of equally spaced integration points. \n", "In Gaussian quadrature (hereafter GQ), both the mesh points and the weights are to\n", "be determined. The points will not be equally spaced.\n", "\n", "The theory behind GQ is to obtain an arbitrary weight $\\omega$ through the use of\n", "so-called orthogonal polynomials. These polynomials are orthogonal in some\n", "interval say e.g., [-1,1]. Our points $x_i$ are chosen in some optimal sense subject\n", "only to the constraint that they should lie in this interval. Together with the weights\n", "we have then $2N$ ($N$ the number of points) parameters at our disposal.\n", "\n", "\n", "\n", "\n", "## Gaussian Quadrature\n", "Even though the integrand is not smooth, we could render it smooth by extracting\n", "from it the weight function of an orthogonal polynomial, i.e.,\n", "we are rewriting" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:generalint\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " I= \\int_a^b f(x)dx =\\int_a^b W(x)g(x)dx \\approx \\sum_{i=1}^N\\omega_ig(x_i), \n", "\\label{eq:generalint} \\tag{7}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $g$ is smooth and $W$ is the weight function, which is to be associated with a given \n", "orthogonal polynomial. Note that with a given weight function we end up evaluating the integrand\n", "for the function $g(x_i)$.\n", "\n", "\n", "\n", "## Gaussian Quadrature, weight function\n", "The weight function $W$ is non-negative in the integration interval \n", "$x\\in [a,b]$ such that\n", "for any $n \\ge 0$, the integral $\\int_a^b |x|^n W(x) dx$ is integrable. The naming\n", "weight function arises from the fact that it may be used to give more emphasis\n", "to one part of the interval than another. \n", "A quadrature formula" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"_auto1\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", " \\int_a^b W(x)f(x)dx \\approx \\sum_{i=1}^N\\omega_if(x_i), \n", "\\label{_auto1} \\tag{8}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with $N$ distinct quadrature points (mesh points) is a called a Gaussian quadrature \n", "formula if it integrates all polynomials $p\\in P_{2N-1}$ exactly, that is" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"_auto2\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", "\\int_a^bW(x)p(x)dx =\\sum_{i=1}^N\\omega_ip(x_i), \n", "\\label{_auto2} \\tag{9}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It is assumed that $W(x)$ is continuous and positive and that the integral" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bW(x)dx\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "exists. Note that the replacement of $f\\rightarrow Wg$ is normally a better approximation\n", "due to the fact that we may isolate possible singularities of $W$ and its \n", "derivatives at the endpoints of the interval.\n", "\n", "\n", "\n", "\n", "## Gaussian Quadrature weights and integration points\n", "The quadrature weights or just weights (not to be confused with the weight function) \n", "are positive and the sequence of Gaussian quadrature formulae is convergent \n", "if the sequence $Q_N$ of quadrature formulae" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_N(f)\\rightarrow Q(f)=\\int_a^bf(x)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "in the limit $N\\rightarrow \\infty$.\n", "\n", "\n", "\n", "## Gaussian Quadrature\n", "Then we say that the sequence" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_N(f) = \\sum_{i=1}^N\\omega_i^{(N)}f(x_i^{(N)}),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "is convergent for all polynomials $p$, that is" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_N(p) = Q(p)\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "if there exits a constant $C$ such that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\sum_{i=1}^N|\\omega_i^{(N)}| \\le C,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "for all $N$ which are natural numbers.\n", "\n", "\n", "\n", "## Error in Gaussian Quadrature\n", "The error for the Gaussian quadrature formulae of order $N$ is given\n", "by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bW(x)f(x)dx-\\sum_{k=1}^Nw_kf(x_k)=\\frac{f^{2N}(\\xi)}{(2N)!}\\int_a^bW(x)[q_{N}(x)]^2dx\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $q_{N}$ is the chosen orthogonal polynomial and $\\xi$ is a number in the interval $[a,b]$.\n", "We have assumed that $f\\in C^{2N}[a,b]$, viz. the space of all real or complex $2N$ times continuously\n", "differentiable functions.\n", "\n", "\n", "\n", "## Important polynomials in Gaussian Quadrature\n", "In science there are several important orthogonal polynomials which arise\n", "from the solution of differential equations. Well-known examples are the \n", "Legendre, Hermite, Laguerre and Chebyshev polynomials. They have the following weight functions\n", "\n", "<table border=\"1\">\n", "<thead>\n", "<tr><th align=\"center\"> Weight function </th> <th align=\"center\"> Interval </th> <th align=\"center\">Polynomial</th> </tr>\n", "</thead>\n", "<tbody>\n", "<tr><td align=\"right\"> $W(x)=1$ </td> <td align=\"right\"> $x\\in [-1,1]$ </td> <td align=\"right\"> Legendre </td> </tr>\n", "<tr><td align=\"right\"> $W(x)=e^{-x^2}$ </td> <td align=\"right\"> $-\\infty \\le x \\le \\infty$ </td> <td align=\"right\"> Hermite </td> </tr>\n", "<tr><td align=\"right\"> $W(x)=x^{\\alpha}e^{-x}$ </td> <td align=\"right\"> $0 \\le x \\le \\infty$ </td> <td align=\"right\"> Laguerre </td> </tr>\n", "<tr><td align=\"right\"> $W(x)=1/(\\sqrt{1-x^2})$ </td> <td align=\"right\"> $-1 \\le x \\le 1$ </td> <td align=\"right\"> Chebyshev </td> </tr>\n", "</tbody>\n", "</table>\n", "\n", "The importance of the use of orthogonal polynomials in the evaluation\n", "of integrals can be summarized as follows.\n", "\n", "\n", "\n", "## Gaussian Quadrature, win-win situation\n", "\n", "Methods based on Taylor series using $N$ points will integrate exactly a polynomial $P$ of degree $N-1$. If a function $f(x)$ can be approximated with a polynomial of degree $N-1$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "f(x)\\approx P_{N-1}(x),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with $N$ mesh points we should be able to integrate exactly the polynomial $P_{N-1}$. \n", "\n", "Gaussian quadrature methods promise more than this. We can get a better polynomial approximation with order greater than $N$ to $f(x)$ and still get away with only $N$ mesh points. More precisely, we approximate" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "f(x) \\approx P_{2N-1}(x),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and with only $N$ mesh points these methods promise that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int f(x)dx \\approx \\int P_{2N-1}(x)dx=\\sum_{i=0}^{N-1} P_{2N-1}(x_i)\\omega_i,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Gaussian Quadrature, determining mesh points and weights\n", "\n", "The reason why we can represent a function $f(x)$ with a polynomial of degree\n", "$2N-1$ is due to the fact that we have $2N$ equations, $N$ for the mesh points and $N$\n", "for the weights. \n", "\n", "*The mesh points are the zeros of the chosen orthogonal polynomial* of\n", "order $N$, and the weights are determined from the inverse of a matrix.\n", "An orthogonal polynomials of degree $N$ defined in an interval $[a,b]$\n", "has precisely $N$ distinct zeros on the open interval $(a,b)$. \n", "\n", "Before we detail how to obtain mesh points and weights with orthogonal \n", "polynomials, let us revisit some features of orthogonal polynomials\n", "by specializing to Legendre polynomials. In the text below, we reserve \n", "hereafter the labelling\n", "$L_N$ for a Legendre polynomial of order $N$, while $P_N$ is an arbitrary polynomial\n", "of order $N$. \n", "These polynomials form then the basis for the Gauss-Legendre method.\n", "\n", "\n", "\n", "## Orthogonal polynomials, Legendre\n", "\n", "\n", "The Legendre polynomials are the solutions of an important\n", "differential equation in Science, namely" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "C(1-x^2)P-m_l^2P+(1-x^2)\\frac{d}{dx}\\left((1-x^2)\\frac{dP}{dx}\\right)=0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here $C$ is a constant. For $m_l=0$ we obtain the Legendre polynomials\n", "as solutions, whereas $m_l \\ne 0$ yields the so-called associated Legendre\n", "polynomials. This differential equation arises in for example the solution\n", "of the angular dependence of Schroedinger's \n", "equation with spherically symmetric potentials such as\n", "the Coulomb potential. \n", "\n", "\n", "## Orthogonal polynomials, Legendre\n", "The corresponding polynomials $P$ are" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_k(x)=\\frac{1}{2^kk!}\\frac{d^k}{dx^k}(x^2-1)^k \\hspace{1cm} k=0,1,2,\\dots,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which, up to a factor, are the Legendre polynomials $L_k$. \n", "The latter fulfil the orthogonality relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:ortholeg\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", " \\int_{-1}^1L_i(x)L_j(x)dx=\\frac{2}{2i+1}\\delta_{ij},\n", "\\label{eq:ortholeg} \\tag{10}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the recursion relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:legrecur\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", " (j+1)L_{j+1}(x)+jL_{j-1}(x)-(2j+1)xL_j(x)=0.\n", "\\label{eq:legrecur} \\tag{11}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Orthogonal polynomials, Legendre\n", "It is common to choose the normalization condition" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_N(1)=1.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With these equations we can determine a Legendre polynomial of arbitrary order\n", "with input polynomials of order $N-1$ and $N-2$. \n", "\n", "As an example, consider the determination of $L_0$, $L_1$ and $L_2$. \n", "We have that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_0(x) = c,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with $c$ a constant. Using the normalization equation $L_0(1)=1$\n", "we get that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_0(x) = 1.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Orthogonal polynomials, Legendre\n", "For $L_1(x)$ we have the general expression" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_1(x) = a+bx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and using the orthogonality relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1L_0(x)L_1(x)dx=0,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we obtain $a=0$ and with the condition $L_1(1)=1$, we obtain $b=1$, yielding" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_1(x) = x.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Orthogonal polynomials, Legendre\n", "We can proceed in a similar fashion in order to determine\n", "the coefficients of $L_2$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_2(x) = a+bx+cx^2,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "using the orthogonality relations" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1L_0(x)L_2(x)dx=0,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1L_1(x)L_2(x)dx=0,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the condition\n", "$L_2(1)=1$ we would get" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:l2\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " L_2(x) = \\frac{1}{2}\\left(3x^2-1\\right).\n", "\\label{eq:l2} \\tag{12}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Orthogonal polynomials, Legendre\n", "We note that we have three equations to determine the three coefficients\n", "$a$, $b$ and $c$.\n", "\n", "Alternatively, we could have \n", "employed the recursion relation of Eq. ([eq:legrecur](#eq:legrecur)), resulting in" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "2L_2(x)=3xL_1(x)-L_0,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which leads to Eq. ([eq:l2](#eq:l2)).\n", "\n", "\n", "## Orthogonal polynomials, Legendre\n", "The orthogonality relation above is important in our discussion\n", "on how to obtain the weights and mesh points. Suppose we have an arbitrary\n", "polynomial $Q_{N-1}$ of order $N-1$ and a Legendre polynomial $L_N(x)$ of\n", "order $N$. We could represent $Q_{N-1}$ \n", "by the Legendre polynomials through" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:legexpansion\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " Q_{N-1}(x)=\\sum_{k=0}^{N-1}\\alpha_kL_{k}(x),\n", "\\label{eq:legexpansion} \\tag{13}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $\\alpha_k$'s are constants. \n", "\n", "Using the orthogonality relation of Eq. ([eq:ortholeg](#eq:ortholeg)) we see that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:ortholeg2\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " \\int_{-1}^1L_N(x)Q_{N-1}(x)dx=\\sum_{k=0}^{N-1} \\int_{-1}^1L_N(x) \\alpha_kL_{k}(x)dx=0.\n", "\\label{eq:ortholeg2} \\tag{14}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We will use this result in our construction of mesh points and weights \n", "in the next subsection.\n", "\n", "## Orthogonal polynomials, Legendre\n", "In summary, the first few Legendre polynomials are" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "6\n", "1\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "6\n", "2\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "6\n", "3\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_3(x) = (5x^3-3x)/2,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "L_4(x) = (35x^4-30x^2+3)/8.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Orthogonal polynomials, simple code for Legendre polynomials\n", "The following simple function implements the above recursion relation\n", "of Eq. ([eq:legrecur](#eq:legrecur)).\n", "for computing Legendre polynomials of order $N$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " // This function computes the Legendre polynomial of degree N\n", " \n", " double Legendre( int n, double x) \n", " {\n", " double r, s, t;\n", " int m;\n", " r = 0; s = 1.;\n", " // Use recursion relation to generate p1 and p2\n", " for (m=0; m < n; m++ ) \n", " {\n", " t = r; r = s; \n", " s = (2*m+1)*x*r - m*t;\n", " s /= (m+1);\n", " \t} // end of do loop \n", " return s;\n", " } // end of function Legendre\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The variable $s$ represents $L_{j+1}(x)$, while $r$ holds\n", "$L_j(x)$ and $t$ the value $L_{j-1}(x)$.\n", "\n", "## Integration points and weights with orthogonal polynomials\n", "\n", "To understand how the weights and the mesh points are generated, we define first\n", "a polynomial of degree $2N-1$ (since we have $2N$ variables at hand, the mesh points\n", "and weights for $N$ points). This polynomial can be represented through polynomial\n", "division by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_{2N-1}(x)=L_N(x)P_{N-1}(x)+Q_{N-1}(x),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $P_{N-1}(x)$ and $Q_{N-1}(x)$ are some polynomials of degree $N-1$ or less.\n", "The function $L_N(x)$ is a Legendre polynomial of order $N$. \n", "\n", "Recall that we wanted to approximate an arbitrary function $f(x)$ with a\n", "polynomial $P_{2N-1}$ in order to evaluate" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1f(x)dx\\approx \\int_{-1}^1P_{2N-1}(x)dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Integration points and weights with orthogonal polynomials\n", "We can use Eq. ([eq:ortholeg2](#eq:ortholeg2))\n", "to rewrite the above integral as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1P_{2N-1}(x)dx=\\int_{-1}^1(L_N(x)P_{N-1}(x)+Q_{N-1}(x))dx=\\int_{-1}^1Q_{N-1}(x)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "due to the orthogonality properties of the Legendre polynomials. We see that it suffices\n", "to evaluate the integral over $\\int_{-1}^1Q_{N-1}(x)dx$ in order to evaluate \n", "$\\int_{-1}^1P_{2N-1}(x)dx$. In addition, at the points $x_k$ where $L_N$ is zero, we have" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "P_{2N-1}(x_k)=Q_{N-1}(x_k)\\hspace{1cm} k=0,1,\\dots, N-1,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and we see that through these $N$ points we can fully define $Q_{N-1}(x)$ and thereby the \n", "integral. Note that we have chosen to let the numbering of the points run from $0$ to $N-1$.\n", "The reason for this choice is that we wish to have the same numbering as the order of a \n", "polynomial of degree $N-1$. This numbering will be useful below when we introduce the matrix\n", "elements which define the integration weights $w_i$.\n", "\n", "\n", "\n", "## Integration points and weights with orthogonal polynomials\n", "We develope then $Q_{N-1}(x)$ in terms of Legendre polynomials,\n", "as done in Eq. ([eq:legexpansion](#eq:legexpansion))," ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:lsum1\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", " Q_{N-1}(x)=\\sum_{i=0}^{N-1}\\alpha_iL_i(x).\n", "\\label{eq:lsum1} \\tag{15}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using the orthogonality property of the Legendre polynomials we have" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1Q_{N-1}(x)dx=\\sum_{i=0}^{N-1}\\alpha_i\\int_{-1}^1L_0(x)L_i(x)dx=2\\alpha_0,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where we have just inserted $L_0(x)=1$!\n", "\n", "## Integration points and weights with orthogonal polynomials\n", "Instead of an integration problem we need now to define the coefficient $\\alpha_0$.\n", "Since we know the values of $Q_{N-1}$ at the zeros of $L_N$, we may rewrite \n", "Eq. ([eq:lsum1](#eq:lsum1)) as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:lsum2\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", " Q_{N-1}(x_k)=\\sum_{i=0}^{N-1}\\alpha_iL_i(x_k)=\\sum_{i=0}^{N-1}\\alpha_iL_{ik} \\hspace{1cm} k=0,1,\\dots, N-1.\n", "\\label{eq:lsum2} \\tag{16}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Since the Legendre polynomials are linearly independent of each other, none \n", "of the columns in the matrix $L_{ik}$ are linear combinations of the others. \n", "\n", "\n", "## Integration points and weights with orthogonal polynomials\n", "This means that the matrix $L_{ik}$ has an inverse with the properties" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{L}^{-1}\\hat{L} = \\hat{I}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Multiplying both sides of Eq. ([eq:lsum2](#eq:lsum2)) with $\\sum_{j=0}^{N-1}L_{ji}^{-1}$ results in" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:lsum3\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " \\sum_{i=0}^{N-1}(L^{-1})_{ki}Q_{N-1}(x_i)=\\alpha_k.\n", "\\label{eq:lsum3} \\tag{17}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Integration points and weights with orthogonal polynomials\n", "We can derive this result in an alternative way by defining the vectors" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{x}_k=\\left(\\begin{array} {c} x_0\\\\\n", " x_1\\\\\n", " .\\\\\n", " .\\\\\n", " x_{N-1}\\end{array}\\right) \\hspace{0.5cm}\n", "\\hat{\\alpha}=\\left(\\begin{array} {c} \\alpha_0\\\\\n", " \\alpha_1\\\\\n", " .\\\\\n", " .\\\\\n", " \\alpha_{N-1}\\end{array}\\right),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the matrix" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{L}=\\left(\\begin{array} {cccc} L_0(x_0) & L_1(x_0) &\\dots &L_{N-1}(x_0)\\\\\n", " L_0(x_1) & L_1(x_1) &\\dots &L_{N-1}(x_1)\\\\\n", " \\dots & \\dots &\\dots &\\dots\\\\\n", "L_0(x_{N-1}) & L_1(x_{N-1}) &\\dots &L_{N-1}(x_{N-1})\n", "\\end{array}\\right).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Integration points and weights with orthogonal polynomials\n", "We have then" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_{N-1}(\\hat{x}_k) = \\hat{L}\\hat{\\alpha},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "yielding (if $\\hat{L}$ has an inverse)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{L}^{-1}Q_{N-1}(\\hat{x}_k) = \\hat{\\alpha},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which is Eq. ([eq:lsum3](#eq:lsum3)).\n", "\n", "## Integration points and weights with orthogonal polynomials\n", "Using the above results and the fact that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1P_{2N-1}(x)dx=\\int_{-1}^1Q_{N-1}(x)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we get" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1P_{2N-1}(x)dx=\\int_{-1}^1Q_{N-1}(x)dx=2\\alpha_0=\n", " 2\\sum_{i=0}^{N-1}(L^{-1})_{0i}P_{2N-1}(x_i).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Integration points and weights with orthogonal polynomials\n", "If we identify the weights with $2(L^{-1})_{0i}$, where the points $x_i$ are\n", "the zeros of $L_N$, we have an integration formula of the type" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1P_{2N-1}(x)dx=\\sum_{i=0}^{N-1}\\omega_iP_{2N-1}(x_i)\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and if our function $f(x)$ can be approximated by a polynomial $P$ of degree\n", "$2N-1$, we have finally that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1f(x)dx\\approx \\int_{-1}^1P_{2N-1}(x)dx=\\sum_{i=0}^{N-1}\\omega_iP_{2N-1}(x_i) .\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In summary, the mesh points $x_i$ are defined by the zeros of an orthogonal polynomial of degree $N$, that is \n", "$L_N$, while the weights are\n", "given by $2(L^{-1})_{0i}$. \n", "\n", "\n", "## Application to the case $N=2$\n", "\n", "Let us apply the above formal results to the case $N=2$. \n", "This means that we can approximate a function $f(x)$ with a\n", "polynomial $P_3(x)$ of order $2N-1=3$. \n", "\n", "The mesh points are the zeros of $L_2(x)=1/2(3x^2-1)$. \n", "These points are $x_0=-1/\\sqrt{3}$ and $x_1=1/\\sqrt{3}$.\n", "\n", "Specializing Eq. ([eq:lsum2](#eq:lsum2))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_{N-1}(x_k)=\\sum_{i=0}^{N-1}\\alpha_iL_i(x_k) \\hspace{1cm} k=0,1,\\dots, N-1.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "to $N=2$ yields" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_1(x_0)=\\alpha_0-\\alpha_1\\frac{1}{\\sqrt{3}},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "Q_1(x_1)=\\alpha_0+\\alpha_1\\frac{1}{\\sqrt{3}},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "since $L_0(x=\\pm 1/\\sqrt{3})=1$ and $L_1(x=\\pm 1/\\sqrt{3})=\\pm 1/\\sqrt{3}$. \n", "\n", "\n", "## Application to the case $N=2$\n", "The matrix $L_{ik}$ defined in Eq. ([eq:lsum2](#eq:lsum2)) is then" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{L}=\\left(\\begin{array} {cc} 1 & -\\frac{1}{\\sqrt{3}}\\\\\n", " 1 & \\frac{1}{\\sqrt{3}}\\end{array}\\right),\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with an inverse given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\hat{L}^{-1}=\\frac{\\sqrt{3}}{2}\\left(\\begin{array} {cc} \\frac{1}{\\sqrt{3}} & \\frac{1}{\\sqrt{3}}\\\\\n", " -1 & 1\\end{array}\\right).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The weights are given by the matrix elements $2(L_{0k})^{-1}$. We have thence\n", "$\\omega_0=1$ and $\\omega_1=1$. \n", "\n", "## Application to the case $N=2$\n", "Obviously, there is no problem in changing the numbering of the matrix elements $i,k=0,1,2,\\dots,N-1$ to\n", "$i,k=1,2,\\dots,N$. We have chosen to start from zero, since we deal with polynomials of degree $N-1$.\n", "\n", "Summarizing, for Legendre polynomials with $N=2$ we have\n", "weights" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\omega : \\left\\{1,1\\right\\},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and mesh points" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "x : \\left\\{-\\frac{1}{\\sqrt{3}},\\frac{1}{\\sqrt{3}}\\right\\}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Application to the case $N=2$\n", "If we wish to integrate" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^1f(x)dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with $f(x)=x^2$, we approximate" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_{-1}^1x^2dx \\approx \\sum_{i=0}^{N-1}\\omega_ix_i^2.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Application to the case $N=2$\n", "The exact answer is $2/3$. Using $N=2$ with the above two weights \n", "and mesh points we get" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_{-1}^1x^2dx =\\sum_{i=0}^{1}\\omega_ix_i^2=\\frac{1}{3}+\\frac{1}{3}=\\frac{2}{3},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "the exact answer!\n", "\n", "If we were to emply the trapezoidal rule we would get" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_{-1}^1x^2dx =\\frac{b-a}{2}\\left((a)^2+(b)^2\\right)/2=\n", " \\frac{1-(-1)}{2}\\left((-1)^2+(1)^2\\right)/2=1!\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With just two points we can calculate exactly the integral for a second-order\n", "polynomial since our methods approximates the exact function with higher\n", "order polynomial. \n", "How many points do you need with the trapezoidal rule in order to achieve a\n", "similar accuracy?\n", "\n", "## General integration intervals for Gauss-Legendre\n", "\n", "Note that the Gauss-Legendre method is not limited\n", "to an interval [-1,1], since we can always through a change of variable" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "t=\\frac{b-a}{2}x+\\frac{b+a}{2},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "rewrite the integral for an interval [a,b]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_a^bf(t)dt=\\frac{b-a}{2}\\int_{-1}^1f\\left(\\frac{(b-a)x}{2}+\\frac{b+a}{2}\\right)dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Mapping integration points and weights\n", "If we have an integral on the form" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_0^{\\infty}f(t)dt,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we can choose new mesh points and weights by using the mapping" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\tilde{x}_i=tan\\left\\{\\frac{\\pi}{4}(1+x_i)\\right\\},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\tilde{\\omega}_i= \\frac{\\pi}{4}\\frac{\\omega_i}{cos^2\\left(\\frac{\\pi}{4}(1+x_i)\\right)},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $x_i$ and $\\omega_i$ are the original mesh points and weights in the \n", "interval $[-1,1]$, while $\\tilde{x}_i$ and $\\tilde{\\omega}_i$ are the new\n", "mesh points and weights for the interval $[0,\\infty)$. \n", "\n", "## Mapping integration points and weights\n", "To see that this is correct by inserting the \n", "the value of $x_i=-1$ (the lower end of the interval $[-1,1]$)\n", "into the expression for $\\tilde{x}_i$. That gives $\\tilde{x}_i=0$,\n", "the lower end of the interval $[0,\\infty)$. For\n", "$x_i=1$, we obtain $\\tilde{x}_i=\\infty$. To check that the new\n", "weights are correct, recall that the weights should correspond to the \n", "derivative of the mesh points. Try to convince yourself that the\n", "above expression fulfills this condition.\n", "\n", "\n", "## Other orthogonal polynomials, Laguerre polynomials\n", "\n", "If we are able to rewrite our integral of Eq. ([eq:generalint](#eq:generalint)) with a\n", "weight function $W(x)=x^{\\alpha}e^{-x}$ with integration limits \n", "$[0,\\infty)$, we could then use the Laguerre polynomials.\n", "The polynomials form then the basis for the Gauss-Laguerre method which can be applied\n", "to integrals of the form" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_0^{\\infty}f(x)dx =\\int_0^{\\infty}x^{\\alpha}e^{-x}g(x)dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Other orthogonal polynomials, Laguerre polynomials\n", "These polynomials arise from the solution of the differential\n", "equation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\left(\\frac{d^2 }{dx^2}-\\frac{d }{dx}+\\frac{\\lambda}{x}-\\frac{l(l+1)}{x^2}\\right){\\cal L}(x)=0,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $l$ is an integer $l\\ge 0$ and $\\lambda$ a constant. This equation\n", "arises for example from the solution of the radial Schr\\\"odinger equation with \n", "a centrally symmetric potential such as the Coulomb potential.\n", "\n", "\n", "## Other orthogonal polynomials, Laguerre polynomials\n", "The first few polynomials are" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1\n", "0\n", "1\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1\n", "0\n", "2\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1\n", "0\n", "3\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "{\\cal L}_3(x)=6-18x+9x^2-x^3,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "{\\cal L}_4(x)=x^4-16x^3+72x^2-96x+24.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Other orthogonal polynomials, Laguerre polynomials\n", "They fulfil the orthogonality relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{0}^{\\infty}e^{-x}{\\cal L}_n(x)^2dx=1,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the recursion relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "(n+1){\\cal L}_{n+1}(x)=(2n+1-x){\\cal L}_{n}(x)-n{\\cal L}_{n-1}(x).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Other orthogonal polynomials, Hermite polynomials\n", "\n", "In a similar way, for an integral which goes like" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_{-\\infty}^{\\infty}f(x)dx =\\int_{-\\infty}^{\\infty}e^{-x^2}g(x)dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we could use the Hermite polynomials in order to extract weights and mesh points.\n", "The Hermite polynomials are the solutions of the following differential\n", "equation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:hermite\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " \\frac{d^2H(x)}{dx^2}-2x\\frac{dH(x)}{dx}+\n", " (\\lambda-1)H(x)=0.\n", "\\label{eq:hermite} \\tag{18}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Other orthogonal polynomials, Hermite polynomials\n", "A typical example is again the solution of Schrodinger's\n", "equation, but this time with a harmonic oscillator potential.\n", "The first few polynomials are" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1\n", "1\n", "0\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1\n", "1\n", "1\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1\n", "1\n", "2\n", " \n", "<\n", "<\n", "<\n", "!\n", "!\n", "M\n", "A\n", "T\n", "H\n", "_\n", "B\n", "L\n", "O\n", "C\n", "K" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "H_3(x)=8x^3-12,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "H_4(x)=16x^4-48x^2+12.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "They fulfil the orthogonality relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-\\infty}^{\\infty}e^{-x^2}H_n(x)^2dx=2^nn!\\sqrt{\\pi},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the recursion relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "H_{n+1}(x)=2xH_{n}(x)-2nH_{n-1}(x).\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Demonstration of Gaussian Quadrature\n", "Let us here compare three methods for integrating, namely the trapezoidal rule,\n", "Simpson's method and the Gauss-Legendre approach. \n", "We choose two functions to integrate:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_1^{100}\\frac{\\exp{(-x)}}{x}dx,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{0}^{3}\\frac{1}{2+x^2}dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Demonstration of Gaussian Quadrature, simple program\n", "A program example which uses the trapezoidal rule, Simpson's rule\n", "and the Gauss-Legendre method is included here." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " #include <iostream>\n", " #include \"lib.h\"\n", " using namespace std;\n", " // Here we define various functions called by the main program\n", " // this function defines the function to integrate\n", " double int_function(double x);\n", " // Main function begins here\n", " int main()\n", " {\n", " int n;\n", " double a, b;\n", " cout << \"Read in the number of integration points\" << endl;\n", " cin >> n;\n", " cout << \"Read in integration limits\" << endl;\n", " cin >> a >> b;\n", " // reserve space in memory for vectors containing the mesh points\n", " // weights and function values for the use of the gauss-legendre\n", " // method\n", " double *x = new double [n];\n", " double *w = new double [n];\n", " // set up the mesh points and weights\n", " gauss_legendre(a, b,x,w, n);\n", " // evaluate the integral with the Gauss-Legendre method\n", " // Note that we initialize the sum\n", " double int_gauss = 0.;\n", " for ( int i = 0; i < n; i++){\n", " int_gauss+=w[i]*int_function(x[i]);\n", " }\n", " // final output\n", " cout << \"Trapez-rule = \" << trapezoidal_rule(a, b,n, int_function)\n", " << endl;\n", " cout << \"Simpson's rule = \" << simpson(a, b,n, int_function) \n", " << endl;\n", " cout << \"Gaussian quad = \" << int_gauss << endl;\n", " delete [] x;\n", " delete [] w;\n", " return 0;\n", " } // end of main program\n", " // this function defines the function to integrate\n", " double int_function(double x)\n", " {\n", " double value = 4./(1.+x*x);\n", " return value;\n", " } // end of function to evaluate\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- !split -->\n", "## Demonstration of Gaussian Quadrature\n", "To be noted in this program is that we can transfer the name of a given function to integrate.\n", "In the table here we show the results for the first integral using various \n", "mesh points,.\n", "\n", "<table border=\"1\">\n", "<thead>\n", "<tr><th align=\"center\">$N$ </th> <th align=\"center\"> Trapez </th> <th align=\"center\">Simpson </th> <th align=\"center\">Gauss-Legendre</th> </tr>\n", "</thead>\n", "<tbody>\n", "<tr><td align=\"right\"> 10 </td> <td align=\"left\"> 1.821020 </td> <td align=\"left\"> 1.214025 </td> <td align=\"left\"> 0.1460448 </td> </tr>\n", "<tr><td align=\"right\"> 20 </td> <td align=\"left\"> 0.912678 </td> <td align=\"left\"> 0.609897 </td> <td align=\"left\"> 0.2178091 </td> </tr>\n", "<tr><td align=\"right\"> 40 </td> <td align=\"left\"> 0.478456 </td> <td align=\"left\"> 0.333714 </td> <td align=\"left\"> 0.2193834 </td> </tr>\n", "<tr><td align=\"right\"> 100 </td> <td align=\"left\"> 0.273724 </td> <td align=\"left\"> 0.231290 </td> <td align=\"left\"> 0.2193839 </td> </tr>\n", "<tr><td align=\"right\"> 1000 </td> <td align=\"left\"> 0.219984 </td> <td align=\"left\"> 0.219387 </td> <td align=\"left\"> 0.2193839 </td> </tr>\n", "</tbody>\n", "</table>\n", "We note here that, since the area over where we integrate is rather large and the integrand \n", "goes slowly to zero for large values of $x$, both the trapezoidal rule and Simpson's method\n", "need quite many points in order to approach the Gauss-Legendre method. \n", "This integrand demonstrates clearly the strength of the Gauss-Legendre method\n", "(and other GQ methods as well), viz., few points\n", "are needed in order to achieve a very high precision. \n", "\n", "<!-- !split -->\n", "## Demonstration of Gaussian Quadrature\n", "The second table however shows that for smaller integration intervals, both the trapezoidal rule\n", "and Simpson's method compare well with the results obtained with the Gauss-Legendre\n", "approach. \n", "\n", "<table border=\"1\">\n", "<thead>\n", "<tr><th align=\"center\">$N$ </th> <th align=\"center\"> Trapez </th> <th align=\"center\">Simpson </th> <th align=\"center\">Gauss-Legendre</th> </tr>\n", "</thead>\n", "<tbody>\n", "<tr><td align=\"right\"> 10 </td> <td align=\"left\"> 0.798861 </td> <td align=\"left\"> 0.799231 </td> <td align=\"left\"> 0.799233 </td> </tr>\n", "<tr><td align=\"right\"> 20 </td> <td align=\"left\"> 0.799140 </td> <td align=\"left\"> 0.799233 </td> <td align=\"left\"> 0.799233 </td> </tr>\n", "<tr><td align=\"right\"> 40 </td> <td align=\"left\"> 0.799209 </td> <td align=\"left\"> 0.799233 </td> <td align=\"left\"> 0.799233 </td> </tr>\n", "<tr><td align=\"right\"> 100 </td> <td align=\"left\"> 0.799229 </td> <td align=\"left\"> 0.799233 </td> <td align=\"left\"> 0.799233 </td> </tr>\n", "<tr><td align=\"right\"> 1000 </td> <td align=\"left\"> 0.799233 </td> <td align=\"left\"> 0.799233 </td> <td align=\"left\"> 0.799233 </td> </tr>\n", "</tbody>\n", "</table>\n", "\n", "\n", "## Comparing methods and using symbolic Python\n", "The following python code allows you to run interactively either in a browser or using ipython notebook. It compares the trapezoidal rule and Gaussian quadrature with the exact result from symbolic python **SYMPY** up to 1000 integration points for the integral" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I = 2 = \\int_0^{\\infty} x^2 \\exp{-x} dx.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For the trapezoidal rule the results will vary strongly depending on how the infinity limit is approximated. Try to run the code below for different finite approximations to $\\infty$." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "from math import exp\n", "import numpy as np\n", "from sympy import Symbol, integrate, exp, oo\n", "\n", "# function for the trapezoidal rule\n", "def TrapezoidalRule(a,b,f,n):\n", " h = (b-a)/float(n)\n", " s = 0\n", " x = a\n", " for i in range(1,n,1):\n", " x = x+h\n", " s = s+ f(x)\n", " s = 0.5*(f(a)+f(b)) +s\n", " return h*s\n", "\n", "# function for the Gaussian quadrature with Laguerre polynomials\n", "def GaussLaguerreRule(n):\n", " s = 0\n", " xgauleg, wgauleg = np.polynomial.laguerre.laggauss(n)\n", " for i in range(1,n,1):\n", " s = s+ xgauleg[i]*xgauleg[i]*wgauleg[i]\n", " return s\n", "\n", "# function to compute\n", "def function(x):\n", " return x*x*exp(-x)\n", "\n", "\n", "# Integration limits for the Trapezoidal rule\n", "a = 0.0; b = 10000.0\n", "# define x as a symbol to be used by sympy\n", "x = Symbol('x')\n", "# find result from sympy\n", "exact = integrate(function(x), (x, a, oo))\n", "# set up the arrays for plotting the relative error\n", "n = np.zeros(40); Trapez = np.zeros(4); LagGauss = np.zeros(4);\n", "# find the relative error as function of integration points\n", "for i in range(1, 3, 1):\n", " npts = 10**i\n", " n[i] = npts\n", " Trapez[i] = abs((TrapezoidalRule(a,b,function,npts)-exact)/exact)\n", " LagGauss[i] = abs((GaussLaguerreRule(npts)-exact)/exact)\n", "print \"Integration points=\", n[1], n[2]\n", "print \"Trapezoidal relative error=\", Trapez[1], Trapez[2]\n", "print \"LagGuass relative error=\", LagGauss[1], LagGauss[2]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals\n", "So-called principal value (PV) integrals are often employed in physics,\n", "from Green's functions for scattering to dispersion relations.\n", "Dispersion relations are often related to measurable quantities\n", "and provide important consistency checks in atomic, nuclear and\n", "particle physics. \n", "A PV integral is defined as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I(x)={\\cal P}\\int_a^bdt\\frac{f(t)}{t-x}=\\lim_{\\epsilon\\rightarrow 0^+}\n", "\\left[\\int_a^{x-\\epsilon}dt\\frac{f(t)}{t-x}+\\int_{x+\\epsilon}^bdt\\frac{f(t)}{t-x}\\right],\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and \n", "arises in applications\n", "of Cauchy's residue theorem when the pole $x$ lies \n", "on the real axis within the interval of integration $[a,b]$. Here ${\\cal P}$ stands for the principal value. *An important assumption is that the function $f(t)$ is continuous \n", "on the interval of integration*.\n", "\n", "\n", "\n", "## Treatment of Singular Integrals\n", "In case $f(t)$ is a closed form expression or it has an analytic continuation\n", "in the complex plane, it may be possible to obtain an expression on closed\n", "form for the above integral. \n", "\n", "However, the situation which we are often confronted with is that\n", "$f(t)$ is only known at some points $t_i$ with corresponding\n", "values $f(t_i)$. In order to obtain $I(x)$ we need to resort to a\n", "numerical evaluation.\n", "\n", "To evaluate such an integral, let us first rewrite it as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "{\\cal P}\\int_a^bdt\\frac{f(t)}{t-x}=\n", "\\int_a^{x-\\Delta}dt\\frac{f(t)}{t-x}+\\int_{x+\\Delta}^bdt\\frac{f(t)}{t-x}+\n", "{\\cal P}\\int_{x-\\Delta}^{x+\\Delta}dt\\frac{f(t)}{t-x},\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where we have isolated the principal value part in the last integral.\n", "\n", "\n", "\n", "## Treatment of Singular Integrals, change of variables\n", "Defining a new variable $u=t-x$, we can rewrite the principal value\n", "integral as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", "I_{\\Delta}(x)={\\cal P}\\int_{-\\Delta}^{+\\Delta}du\\frac{f(u+x)}{u}.\n", "\\label{eq:deltaint} \\tag{19}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "One possibility is to Taylor expand $f(u+x)$ around $u=0$, and compute\n", "derivatives to a certain order as we did for the Trapezoidal rule or\n", "Simpson's rule. \n", "Since all terms with even powers of $u$ in the Taylor expansion dissapear,\n", "we have that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I_{\\Delta}(x)\\approx \\sum_{n=0}^{N_{max}}f^{(2n+1)}(x)\n", " \\frac{\\Delta^{2n+1}}{(2n+1)(2n+1)!}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals, higher-order derivatives\n", "To evaluate higher-order derivatives may be both time \n", "consuming and delicate from a numerical point of view, since \n", "there is always the risk of loosing precision when calculating\n", "derivatives numerically. Unless we have an analytic expression\n", "for $f(u+x)$ and can evaluate the derivatives in a closed form,\n", "the above approach is not the preferred one. \n", "\n", "Rather, we show here how to use the Gauss-Legendre method\n", "to compute Eq. ([eq:deltaint](#eq:deltaint)). \n", "Let us first introduce a new variable $s=u/\\Delta$ and rewrite\n", "Eq. ([eq:deltaint](#eq:deltaint)) as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint2\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "I_{\\Delta}(x)={\\cal P}\\int_{-1}^{+1}ds\\frac{f(\\Delta s+x)}{s}.\n", "\\label{eq:deltaint2} \\tag{20}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals\n", "The integration limits are now from $-1$ to $1$, as for the Legendre\n", "polynomials.\n", "The principal value in Eq. ([eq:deltaint2](#eq:deltaint2)) is however rather tricky\n", "to evaluate numerically, mainly since computers have limited\n", "precision. We will here use a subtraction trick often used\n", "when dealing with singular integrals in numerical calculations.\n", "We introduce first the calculus relation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-1}^{+1} \\frac{ds}{s} =0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It means that the curve $1/(s)$ has equal and opposite\n", "areas on both sides of the singular point $s=0$.\n", "\n", "\n", "\n", "## Treatment of Singular Integrals\n", "If we then note that $f(x)$ is just a constant, we have also" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "f(x)\\int_{-1}^{+1} \\frac{ds}{s}=\\int_{-1}^{+1}f(x) \\frac{ds}{s} =0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Subtracting this equation from \n", "Eq. ([eq:deltaint2](#eq:deltaint2)) yields" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint3\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "I_{\\Delta}(x)={\\cal P}\\int_{-1}^{+1}ds\\frac{f(\\Delta s+x)}{s}=\\int_{-1}^{+1}ds\\frac{f(\\Delta s+x)-f(x)}{s},\n", "\\label{eq:deltaint3} \\tag{21}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and the integrand is no longer singular since we have that \n", "$\\lim_{s \\rightarrow 0} (f(s+x) -f(x))=0$ and for the particular case\n", "$s=0$ the integrand \n", "is now finite.\n", "\n", "\n", "\n", "## Treatment of Singular Integrals\n", "Eq. ([eq:deltaint3](#eq:deltaint3)) is now rewritten using the Gauss-Legendre\n", "method resulting in" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint4\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "\\int_{-1}^{+1}ds\\frac{f(\\Delta s+x)-f(x)}{s}=\\sum_{i=1}^{N}\\omega_i\\frac{f(\\Delta s_i+x)-f(x)}{s_i},\n", "\\label{eq:deltaint4} \\tag{22}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where $s_i$ are the mesh points ($N$ in total) and $\\omega_i$ are the weights.\n", "\n", "In the selection of mesh points for a PV integral, it is important\n", "to use an even number of points, since an odd number of mesh\n", "points always picks $s_i=0$ as one of the mesh points. The sum in\n", "Eq. ([eq:deltaint4](#eq:deltaint4)) will then diverge.\n", "\n", "\n", "\n", "## Treatment of Singular Integrals\n", "Let us apply this method to the integral" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint5\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "I(x)={\\cal P}\\int_{-1}^{+1}dt\\frac{e^t}{t}.\n", "\\label{eq:deltaint5} \\tag{23}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The integrand diverges at $x=t=0$. We\n", "rewrite it using Eq. ([eq:deltaint3](#eq:deltaint3)) as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint6\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "{\\cal P}\\int_{-1}^{+1}dt\\frac{e^t}{t}=\\int_{-1}^{+1}\\frac{e^t-1}{t},\n", "\\label{eq:deltaint6} \\tag{24}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "since $e^x=e^0=1$. With Eq. ([eq:deltaint4](#eq:deltaint4)) we have then" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:deltaint7\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "\\int_{-1}^{+1}\\frac{e^t-1}{t}\\approx \\sum_{i=1}^{N}\\omega_i\\frac{e^{t_i}-1}{t_i}.\n", "\\label{eq:deltaint7} \\tag{25}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals\n", "The exact results is $2.11450175075....$. With just two mesh points we recall\n", "from the previous subsection that $\\omega_1=\\omega_2=1$ and that the mesh points are the zeros of $L_2(x)$, namely $x_1=-1/\\sqrt{3}$ and \n", "$x_2=1/\\sqrt{3}$. Setting $N=2$ and inserting these values in the last\n", "equation gives" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I_2(x=0)=\\sqrt{3}\\left(e^{1/\\sqrt{3}}-e^{-1/\\sqrt{3}}\\right)=2.1129772845.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With six mesh points we get even the exact result to the tenth digit" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I_6(x=0)=2.11450175075!\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals\n", "We can repeat the above subtraction trick for more complicated\n", "integrands.\n", "First we modify the integration limits to $\\pm \\infty$ and use the fact\n", "that" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-\\infty}^{\\infty} \\frac{dk}{k-k_0}=\n", " \\int_{-\\infty}^{0} \\frac{dk}{k-k_0}+\n", " \\int_{0}^{\\infty} \\frac{dk}{k-k_0} =0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A change of variable $u=-k$ in the integral with limits from $-\\infty$ to $0$ gives" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{-\\infty}^{\\infty} \\frac{dk}{k-k_0}=\n", " \\int_{\\infty}^{0} \\frac{-du}{-u-k_0}+\n", " \\int_{0}^{\\infty} \\frac{dk}{k-k_0}= \\int_{0}^{\\infty} \\frac{dk}{-k-k_0}+\n", " \\int_{0}^{\\infty} \\frac{dk}{k-k_0}=0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals\n", "It means that the curve $1/(k-k_0)$ has equal and opposite\n", "areas on both sides of the singular point $k_0$. If we break\n", "the integral into one over positive $k$ and one over \n", "negative $k$, a change of variable $k\\rightarrow -k$ \n", "allows us to rewrite the last equation as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\int_{0}^{\\infty} \\frac{dk}{k^2-k_0^2} =0.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Treatment of Singular Integrals\n", "We can use this to express a principal values integral\n", "as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq:trick_pintegral\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", " {\\cal P}\\int_{0}^{\\infty} \\frac{f(k)dk}{k^2-k_0^2} =\n", " \\int_{0}^{\\infty} \\frac{(f(k)-f(k_0))dk}{k^2-k_0^2},\n", "\\label{eq:trick_pintegral} \\tag{26}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where the right-hand side is no longer singular at \n", "$k=k_0$, it is proportional to the derivative $df/dk$,\n", "and can be evaluated numerically as any other integral.\n", "\n", "Such a trick is often used when evaluating integral equations.\n", "\n", "\n", "\n", "\n", "## Example of a multidimensional integral\n", "Here we show an example of a multidimensional integral which appears in quantum mechanical calculations.\n", "\n", "The ansatz for the wave function for two electrons is given by the product of two\n", "$1s$ wave functions as" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\Psi({\\bf r}_1,{\\bf r}_2) = \\exp{-(\\alpha (r_1+r_2))}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The integral we need to solve is the quantum mechanical expectation value of the correlation\n", "energy between two electrons, namely" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I = \\int_{-\\infty}^{\\infty} d{\\bf r}_1d{\\bf r}_2 \\exp{-2(\\alpha (r_1+r_2))}\\frac{1}{|{\\bf r}_1-{\\bf r}_2|}.\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The integral has an exact solution $5\\pi^2/16 = 0.19277$.\n", "\n", "\n", "\n", "## Parts of code and brute force Gauss-Legendre quadrature\n", "If we use Gaussian quadrature with Legendre polynomials (without rewriting the integral), we have" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " double *x = new double [N];\n", " double *w = new double [N];\n", " // set up the mesh points and weights\n", " GaussLegendrePoints(a,b,x,w, N);\n", " \n", " // evaluate the integral with the Gauss-Legendre method\n", " // Note that we initialize the sum\n", " double int_gauss = 0.;\n", " // six-double loops\n", " for (int i=0;i<N;i++){\n", " \t for (int j = 0;j<N;j++){\n", " \t for (int k = 0;k<N;k++){\n", " \t for (int l = 0;l<N;l++){\n", " \t for (int m = 0;m<N;m++){\n", " \t for (int n = 0;n<N;n++){\n", " int_gauss+=w[i]*w[j]*w[k]*w[l]*w[m]*w[n]\n", " *int_function(x[i],x[j],x[k],x[l],x[m],x[n]);\n", " \t\t}}}}}\n", " \t}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## The function to integrate, code example" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " // this function defines the function to integrate\n", " double int_function(double x1, double y1, double z1, double x2, double y2, double z2)\n", " {\n", " double alpha = 2.;\n", " // evaluate the different terms of the exponential\n", " double exp1=-2*alpha*sqrt(x1*x1+y1*y1+z1*z1);\n", " double exp2=-2*alpha*sqrt(x2*x2+y2*y2+z2*z2);\n", " double deno=sqrt(pow((x1-x2),2)+pow((y1-y2),2)+pow((z1-z2),2));\n", " return exp(exp1+exp2)/deno;\n", " } // end of function to evaluate\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Laguerre polynomials\n", "Using Legendre polynomials for the Gaussian quadrature is not very efficient. There are several reasons for this:\n", "* You can easily end up in situations where the integrand diverges\n", "\n", "* The limits $\\pm \\infty$ have to be approximated with a finite number\n", "\n", "It is very useful here to change to spherical coordinates" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "d{\\bf r}_1d{\\bf r}_2 = r_1^2dr_1 r_2^2dr_2 dcos(\\theta_1)dcos(\\theta_2)d\\phi_1d\\phi_2,\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\frac{1}{r_{12}}= \\frac{1}{\\sqrt{r_1^2+r_2^2-2r_1r_2cos(\\beta)}}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\cos(\\beta) = \\cos(\\theta_1)\\cos(\\theta_2)+\\sin(\\theta_1)\\sin(\\theta_2)\\cos(\\phi_1-\\phi_2))\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Laguerre polynomials, the new integrand\n", "This means that our integral becomes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_0^{\\infty} r_1^2dr_1 \\int_0^{\\infty}r_2^2dr_2 \\int_0^{\\pi}dcos(\\theta_1)\\int_0^{\\pi}dcos(\\theta_2)\\int_0^{2\\pi}d\\phi_1\\int_0^{2\\pi}d\\phi_2 \\frac{\\exp{-2\\alpha (r_1+r_2)}}{r_{12}}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "where we have defined" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\frac{1}{r_{12}}= \\frac{1}{\\sqrt{r_1^2+r_2^2-2r_1r_2cos(\\beta)}}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "with" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "\\cos(\\beta) = \\cos(\\theta_1)\\cos(\\theta_2)+\\sin(\\theta_1)\\sin(\\theta_2)\\cos(\\phi_1-\\phi_2))\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Laguerre polynomials, new integration rule: Gauss-Laguerre\n", "Our integral is now given by" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "I=\\int_0^{\\infty} r_1^2dr_1 \\int_0^{\\infty}r_2^2dr_2 \\int_0^{\\pi}dcos(\\theta_1)\\int_0^{\\pi}dcos(\\theta_2)\\int_0^{2\\pi}d\\phi_1\\int_0^{2\\pi}d\\phi_2 \\frac{\\exp{-2\\alpha (r_1+r_2)}}{r_{12}}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For the angles we need to perform the integrations over $\\theta_i\\in [0,\\pi]$ and $\\phi_i \\in [0,2\\pi]$. However, for the radial part we can now either use\n", "* Gauss-Legendre wth an appropriate mapping or\n", "\n", "* Gauss-Laguerre taking properly care of the integrands involving the $r_i^2 \\exp{-(2\\alpha r_i)}$ terms.\n", "\n", "\n", "\n", "\n", "\n", "## Results with $N=20$ with Gauss-Legendre\n", "\n", "<table border=\"1\">\n", "<thead>\n", "<tr><th align=\"center\">$r_{\\mathrm{max}}$</th> <th align=\"center\"> Integral </th> <th align=\"center\"> Error </th> </tr>\n", "</thead>\n", "<tbody>\n", "<tr><td align=\"center\"> 1.00 </td> <td align=\"center\"> 0.161419805 </td> <td align=\"center\"> 0.0313459063 </td> </tr>\n", "<tr><td align=\"center\"> 1.50 </td> <td align=\"center\"> 0.180468967 </td> <td align=\"center\"> 0.012296744 </td> </tr>\n", "<tr><td align=\"center\"> 2.00 </td> <td align=\"center\"> 0.177065182 </td> <td align=\"center\"> 0.0157005292 </td> </tr>\n", "<tr><td align=\"center\"> 2.50 </td> <td align=\"center\"> 0.167970694 </td> <td align=\"center\"> 0.0247950165 </td> </tr>\n", "<tr><td align=\"center\"> 3.00 </td> <td align=\"center\"> 0.156139391 </td> <td align=\"center\"> 0.0366263199 </td> </tr>\n", "</tbody>\n", "</table>\n", "\n", "\n", "## Results for $r_{\\mathrm{max}}=2$ with Gauss-Legendre\n", "\n", "<table border=\"1\">\n", "<thead>\n", "<tr><th align=\"center\">$N$</th> <th align=\"center\"> Integral </th> <th align=\"center\"> Error </th> </tr>\n", "</thead>\n", "<tbody>\n", "<tr><td align=\"center\"> 10 </td> <td align=\"center\"> 0.129834248 </td> <td align=\"center\"> 0.0629314631 </td> </tr>\n", "<tr><td align=\"center\"> 16 </td> <td align=\"center\"> 0.167860437 </td> <td align=\"center\"> 0.0249052742 </td> </tr>\n", "<tr><td align=\"center\"> 20 </td> <td align=\"center\"> 0.177065182 </td> <td align=\"center\"> 0.0157005292 </td> </tr>\n", "<tr><td align=\"center\"> 26 </td> <td align=\"center\"> 0.183543237 </td> <td align=\"center\"> 0.00922247353 </td> </tr>\n", "<tr><td align=\"center\"> 30 </td> <td align=\"center\"> 0.185795624 </td> <td align=\"center\"> 0.00697008738 </td> </tr>\n", "</tbody>\n", "</table>\n", "## Results with Gauss-Laguerre\n", "\n", "<table border=\"1\">\n", "<thead>\n", "<tr><th align=\"center\">$N$</th> <th align=\"center\"> Integral </th> <th align=\"center\"> Error </th> </tr>\n", "</thead>\n", "<tbody>\n", "<tr><td align=\"center\"> 10 </td> <td align=\"center\"> 0.186457345 </td> <td align=\"center\"> 0.00630836601 </td> </tr>\n", "<tr><td align=\"center\"> 16 </td> <td align=\"center\"> 0.190113364 </td> <td align=\"center\"> 0.00265234708 </td> </tr>\n", "<tr><td align=\"center\"> 20 </td> <td align=\"center\"> 0.19108178 </td> <td align=\"center\"> 0.00168393093 </td> </tr>\n", "<tr><td align=\"center\"> 26 </td> <td align=\"center\"> 0.191831828 </td> <td align=\"center\"> 0.000933882594 </td> </tr>\n", "<tr><td align=\"center\"> 30 </td> <td align=\"center\"> 0.192113712 </td> <td align=\"center\"> 0.000651999339 </td> </tr>\n", "</tbody>\n", "</table>\n", "The code that was used to generate these results can be found under the [program link](https://github.com/CompPhysics/ComputationalPhysics/blob/master/doc/Programs/LecturePrograms/programs/NumericalIntegration/cpp/program2.cpp)." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 1 }
cc0-1.0
msanterre/deep_learning
sentiment-rnn/Sentiment_RNN.ipynb
1
49263
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Sentiment Analysis with an RNN\n", "\n", "In this notebook, you'll implement a recurrent neural network that performs sentiment analysis. Using an RNN rather than a feedfoward network is more accurate since we can include information about the *sequence* of words. Here we'll use a dataset of movie reviews, accompanied by labels.\n", "\n", "The architecture for this network is shown below.\n", "\n", "<img src=\"assets/network_diagram.png\" width=400px>\n", "\n", "Here, we'll pass in words to an embedding layer. We need an embedding layer because we have tens of thousands of words, so we'll need a more efficient representation for our input data than one-hot encoded vectors. You should have seen this before from the word2vec lesson. You can actually train up an embedding with word2vec and use it here. But it's good enough to just have an embedding layer and let the network learn the embedding table on it's own.\n", "\n", "From the embedding layer, the new representations will be passed to LSTM cells. These will add recurrent connections to the network so we can include information about the sequence of words in the data. Finally, the LSTM cells will go to a sigmoid output layer here. We're using the sigmoid because we're trying to predict if this text has positive or negative sentiment. The output layer will just be a single unit then, with a sigmoid activation function.\n", "\n", "We don't care about the sigmoid outputs except for the very last one, we can ignore the rest. We'll calculate the cost from the output of the last step and the training label." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import tensorflow as tf" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with open('../sentiment-network/reviews.txt', 'r') as f:\n", " reviews = f.read()\n", "with open('../sentiment-network/labels.txt', 'r') as f:\n", " labels_ = f.read()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "'bromwell high is a cartoon comedy . it ran at the same time as some other programs about school life such as teachers . my years in the teaching profession lead me to believe that bromwell high s satire is much closer to reality than is teachers . the scramble to survive financially the insightful students who can see right through their pathetic teachers pomp the pettiness of the whole situation all remind me of the schools i knew and their students . when i saw the episode in which a student repeatedly tried to burn down the school i immediately recalled . . . . . . . . . at . . . . . . . . . . high . a classic line inspector i m here to sack one of your teachers . student welcome to bromwell high . i expect that many adults of my age think that bromwell high is far fetched . what a pity that it isn t \\nstory of a man who has unnatural feelings for a pig . starts out with a opening scene that is a terrific example of absurd comedy . a formal orchestra audience is turned into an insane violent mob by the crazy chantings of it s singers . unfortunately it stays absurd the whole time with no general narrative eventually making it just too off putting . even those from the era should be turned off . the cryptic dialogue would make shakespeare seem easy to a third grader . on a technical level it s better than you might think with some good cinematography by future great vilmos zsigmond . future stars sally kirkland and frederic forrest can be seen briefly . \\nhomelessness or houselessness as george carlin stated has been an issue for years but never a plan to help those on the street that were once considered human who did everything from going to school work or vote for the matter . most people think of the homeless as just a lost cause while worrying about things such as racism the war on iraq pressuring kids to succeed technology the elections inflation or worrying if they ll be next to end up on the streets . br br but what if y'" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "reviews[:2000]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data preprocessing\n", "\n", "The first step when building a neural network model is getting your data into the proper form to feed into the network. Since we're using embedding layers, we'll need to encode each word with an integer. We'll also want to clean it up a bit.\n", "\n", "You can see an example of the reviews data above. We'll want to get rid of those periods. Also, you might notice that the reviews are delimited with newlines `\\n`. To deal with those, I'm going to split the text into each review using `\\n` as the delimiter. Then I can combined all the reviews back together into one big string.\n", "\n", "First, let's remove all punctuation. Then get all the text without the newlines and split it into individual words." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from string import punctuation\n", "all_text = ''.join([c for c in reviews if c not in punctuation])\n", "reviews = all_text.split('\\n')\n", "\n", "all_text = ' '.join(reviews)\n", "words = all_text.split()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "'bromwell high is a cartoon comedy it ran at the same time as some other programs about school life such as teachers my years in the teaching profession lead me to believe that bromwell high s satire is much closer to reality than is teachers the scramble to survive financially the insightful students who can see right through their pathetic teachers pomp the pettiness of the whole situation all remind me of the schools i knew and their students when i saw the episode in which a student repeatedly tried to burn down the school i immediately recalled at high a classic line inspector i m here to sack one of your teachers student welcome to bromwell high i expect that many adults of my age think that bromwell high is far fetched what a pity that it isn t story of a man who has unnatural feelings for a pig starts out with a opening scene that is a terrific example of absurd comedy a formal orchestra audience is turned into an insane violent mob by the crazy chantings of it s singers unfortunately it stays absurd the whole time with no general narrative eventually making it just too off putting even those from the era should be turned off the cryptic dialogue would make shakespeare seem easy to a third grader on a technical level it s better than you might think with some good cinematography by future great vilmos zsigmond future stars sally kirkland and frederic forrest can be seen briefly homelessness or houselessness as george carlin stated has been an issue for years but never a plan to help those on the street that were once considered human who did everything from going to school work or vote for the matter most people think of the homeless as just a lost cause while worrying about things such as racism the war on iraq pressuring kids to succeed technology the elections inflation or worrying if they ll be next to end up on the streets br br but what if you were given a bet to live on the st'" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "all_text[:2000]" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "['bromwell',\n", " 'high',\n", " 'is',\n", " 'a',\n", " 'cartoon',\n", " 'comedy',\n", " 'it',\n", " 'ran',\n", " 'at',\n", " 'the',\n", " 'same',\n", " 'time',\n", " 'as',\n", " 'some',\n", " 'other',\n", " 'programs',\n", " 'about',\n", " 'school',\n", " 'life',\n", " 'such',\n", " 'as',\n", " 'teachers',\n", " 'my',\n", " 'years',\n", " 'in',\n", " 'the',\n", " 'teaching',\n", " 'profession',\n", " 'lead',\n", " 'me',\n", " 'to',\n", " 'believe',\n", " 'that',\n", " 'bromwell',\n", " 'high',\n", " 's',\n", " 'satire',\n", " 'is',\n", " 'much',\n", " 'closer',\n", " 'to',\n", " 'reality',\n", " 'than',\n", " 'is',\n", " 'teachers',\n", " 'the',\n", " 'scramble',\n", " 'to',\n", " 'survive',\n", " 'financially',\n", " 'the',\n", " 'insightful',\n", " 'students',\n", " 'who',\n", " 'can',\n", " 'see',\n", " 'right',\n", " 'through',\n", " 'their',\n", " 'pathetic',\n", " 'teachers',\n", " 'pomp',\n", " 'the',\n", " 'pettiness',\n", " 'of',\n", " 'the',\n", " 'whole',\n", " 'situation',\n", " 'all',\n", " 'remind',\n", " 'me',\n", " 'of',\n", " 'the',\n", " 'schools',\n", " 'i',\n", " 'knew',\n", " 'and',\n", " 'their',\n", " 'students',\n", " 'when',\n", " 'i',\n", " 'saw',\n", " 'the',\n", " 'episode',\n", " 'in',\n", " 'which',\n", " 'a',\n", " 'student',\n", " 'repeatedly',\n", " 'tried',\n", " 'to',\n", " 'burn',\n", " 'down',\n", " 'the',\n", " 'school',\n", " 'i',\n", " 'immediately',\n", " 'recalled',\n", " 'at',\n", " 'high']" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "words[:100]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Encoding the words\n", "\n", "The embedding lookup requires that we pass in integers to our network. The easiest way to do this is to create dictionaries that map the words in the vocabulary to integers. Then we can convert each of our reviews into integers so they can be passed into the network.\n", "\n", "> **Exercise:** Now you're going to encode the words with integers. Build a dictionary that maps words to integers. Later we're going to pad our input vectors with zeros, so make sure the integers **start at 1, not 0**.\n", "> Also, convert the reviews to integers and store the reviews in a new list called `reviews_ints`. " ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Vocab to int\n", "len words: 74072\n", "len vocab: 74072\n", "Sample: 36101\n", "\n", "Reviews ints\n", "Review length: 25001\n", "Length: 25001\n", "Sample: [24884, 57749, 33574, 50119, 48133, 15, 12763, 57156, 27177, 11023, 64545, 5554, 39363, 59260, 28051, 31411, 18618, 45204, 40300, 71323, 39363, 29010, 11096, 41352, 36101, 11023, 24030, 42999, 21182, 41986, 47943, 54474, 57193, 24884, 57749, 68055, 11687, 33574, 45999, 16616, 47943, 47942, 59443, 33574, 29010, 11023, 68330, 47943, 704, 55296, 11023, 1001, 47807, 5656, 21107, 67987, 56325, 34362, 3720, 57546, 29010, 37354, 11023, 43545, 41103, 11023, 11079, 54285, 1283, 18907, 41986, 41103, 11023, 31801, 68909, 67375, 29471, 3720, 47807, 26288, 68909, 51714, 11023, 22029, 36101, 66061, 50119, 35940, 24922, 64538, 47943, 54198, 7973, 11023, 45204, 68909, 63651, 37233, 27177, 57749, 50119, 35726, 15596, 6453, 68909, 6207, 6394, 47943, 28457, 18652, 41103, 66856, 29010, 35940, 63028, 47943, 24884, 57749, 68909, 13390, 57193, 25978, 1116, 41103, 11096, 33302, 18776, 57193, 24884, 57749, 33574, 57636, 70581, 36111, 50119, 21408, 57193, 12763, 22228, 72182]\n" ] } ], "source": [ "# Create your dictionary that maps vocab words to integers here\n", "vocab_to_int = {word: idx+1 for (idx, word) in enumerate(set(words))}\n", "\n", "print(\"Vocab to int\")\n", "print(\"len words: \", len(set(words)))\n", "print(\"len vocab: \", len(vocab_to_int))\n", "print(\"Sample: \", vocab_to_int['in'])\n", " \n", "# Convert the reviews to integers, same shape as reviews list, but with integers\n", "reviews_ints = []\n", "for review in reviews:\n", " word_ints = [vocab_to_int[word] for word in review.split()]\n", " reviews_ints.append(word_ints)\n", " \n", "print()\n", "print(\"Reviews ints\")\n", "print(\"Review length: \", len(reviews))\n", "print(\"Length: \", len(reviews_ints))\n", "print(\"Sample: \", reviews_ints[0])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Encoding the labels\n", "\n", "Our labels are \"positive\" or \"negative\". To use these labels in our network, we need to convert them to 0 and 1.\n", "\n", "> **Exercise:** Convert labels from `positive` and `negative` to 1 and 0, respectively." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "25000\n" ] } ], "source": [ "# Convert labels to 1s and 0s for 'positive' and 'negative'\n", "labels = np.array([0 if a == \"negative\" else 1 for a in labels_.split()])\n", "print(len(labels))" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1\n", " 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0\n", " 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0]\n", "positive\n", "negative\n", "positive\n", "negative\n", "positive\n", "negative\n", "positive\n", "negative\n", "positive\n", "negative\n", "positive\n", "n\n" ] } ], "source": [ "print(labels[:100])\n", "print(labels_[:100])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you built `labels` correctly, you should see the next output." ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Zero-length reviews: 1\n", "Maximum review length: 2514\n" ] } ], "source": [ "from collections import Counter\n", "review_lens = Counter([len(x) for x in reviews_ints])\n", "print(\"Zero-length reviews: {}\".format(review_lens[0]))\n", "print(\"Maximum review length: {}\".format(max(review_lens)))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Okay, a couple issues here. We seem to have one review with zero length. And, the maximum review length is way too many steps for our RNN. Let's truncate to 200 steps. For reviews shorter than 200, we'll pad with 0s. For reviews longer than 200, we can truncate them to the first 200 characters.\n", "\n", "> **Exercise:** First, remove the review with zero length from the `reviews_ints` list." ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Reviews ints len: 25000\n", "Labels len: 25000\n" ] } ], "source": [ "# Filter out that review with 0 length\n", "# for i, review in enumerate(reviews_ints):\n", "# if len(review) == 0:\n", "# np.delete(reviews_ints, i)\n", "# break\n", "reviews_ints = [r for r in reviews_ints if len(r) > 0]\n", "print(\"Reviews ints len: \", len(reviews_ints))\n", "print(\"Labels len: \", len(labels))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "> **Exercise:** Now, create an array `features` that contains the data we'll pass to the network. The data should come from `review_ints`, since we want to feed integers to the network. Each row should be 200 elements long. For reviews shorter than 200 words, left pad with 0s. That is, if the review is `['best', 'movie', 'ever']`, `[117, 18, 128]` as integers, the row will look like `[0, 0, 0, ..., 0, 117, 18, 128]`. For reviews longer than 200, use on the first 200 words as the feature vector.\n", "\n", "This isn't trivial and there are a bunch of ways to do this. But, if you're going to be building your own deep learning networks, you're going to have to get used to preparing your data.\n", "\n" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "seq_len = 200\n", "features = []\n", "for review in reviews_ints:\n", " cut = review[:seq_len]\n", " feature = ([0] * (seq_len - len(cut))) + cut\n", " features.append(feature)\n", "features = np.array(features)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you build features correctly, it should look like that cell output below." ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([[ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 24884, 57749, 33574,\n", " 50119, 48133, 15, 12763, 57156, 27177, 11023, 64545, 5554,\n", " 39363, 59260, 28051, 31411, 18618, 45204, 40300, 71323, 39363,\n", " 29010, 11096, 41352, 36101, 11023, 24030, 42999, 21182, 41986,\n", " 47943, 54474, 57193, 24884, 57749, 68055, 11687, 33574, 45999,\n", " 16616],\n", " [ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 54170, 41103, 50119, 22077,\n", " 5656, 63543, 18275, 21383, 56669, 50119, 5979, 15756, 40791,\n", " 44943],\n", " [65205, 70227, 39466, 39363, 67657, 68117, 62182, 63543, 44355,\n", " 27461, 65308, 56669, 41352, 64675, 61693, 50119, 11074, 47943,\n", " 72122, 34835, 64376, 11023, 56152, 57193, 9802, 27934, 13555,\n", " 70781, 5656, 71130, 54102, 49740, 62346, 47943, 45204, 45253,\n", " 70227, 21011, 56669, 11023, 68017, 64037, 30889, 18776, 41103,\n", " 11023, 60431, 39363, 1541, 50119, 21933, 48827, 56601, 61683,\n", " 18618, 7414, 71323, 39363, 55067, 11023, 73519, 64376, 51934,\n", " 37085, 41282, 47943, 60505, 35030, 11023, 18261, 54067, 70227,\n", " 61683, 64722, 43999, 13864, 46541, 35536, 47943, 65965, 4408,\n", " 64376, 11023, 67572, 14377, 14377, 64675, 36111, 64722, 64626,\n", " 9802, 68548, 50119, 14855, 47943, 435, 64376, 11023, 67572,\n", " 56669],\n", " [25187, 15756, 39363, 50119, 44321, 56244, 18193, 64335, 33574,\n", " 22545, 4408, 44943, 55755, 44486, 71323, 34713, 47943, 30403,\n", " 39274, 53090, 4046, 47857, 69335, 5656, 33574, 58653, 326,\n", " 50119, 39279, 41103, 48433, 68055, 47943, 49934, 44297, 36101,\n", " 18467, 41103, 12763, 41526, 30605, 47943, 11023, 20949, 39363,\n", " 50119, 4266, 22363, 64376, 65311, 33574, 4046, 17521, 11921,\n", " 25760, 70408, 22067, 60263, 11023, 18193, 52604, 9940, 57127,\n", " 39363, 30379, 64675, 38289, 14520, 11023, 64335, 33574, 29422,\n", " 3772, 573, 11023, 31109, 67471, 33897, 5807, 48160, 49934,\n", " 65471, 13428, 68055, 67630, 50428, 43612, 3956, 47322, 45059,\n", " 5656, 46128, 11023, 6474, 27597, 40791, 44943, 55660, 37456,\n", " 43999],\n", " [ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 52382, 6507, 35300, 573,\n", " 7756, 14051, 48078, 58898, 33136, 72048, 47727, 68909, 7645,\n", " 59562, 12231, 29471, 28237, 19713, 36101, 35969, 53005, 24311,\n", " 33791, 47943, 73616, 11023, 48460, 64376, 5340, 33574, 50119,\n", " 35726, 39363, 25911, 39363, 11336, 36101, 13928, 63985, 11023,\n", " 6129, 64376, 3539, 33574, 22363, 57625, 3383, 41526, 43986,\n", " 41103],\n", " [ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 18584, 14407, 31194, 62608, 68909, 65560, 72182, 45177, 11096,\n", " 9466, 64376, 27177, 59457, 40647, 64376, 11023, 67547, 41103,\n", " 11023, 62714, 22143, 18584, 23197, 61354, 47943, 17595, 41103,\n", " 17789, 26288, 35464, 6848, 11023, 68652, 44943, 22067, 62714,\n", " 22077, 13028, 11023, 53832, 19713, 43028, 72116, 39363, 41526,\n", " 65771],\n", " [ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 18584, 33574, 22732, 11023, 64037,\n", " 36710, 14407, 14322, 11023, 52468, 49444, 57319, 56675, 60215,\n", " 12763, 57033, 40179, 17428, 50119, 68425, 4279, 41103, 65205,\n", " 37067],\n", " [ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 24954, 23819, 68909, 67161, 18584, 33574, 3142,\n", " 47943, 46541, 27461, 39469, 14407, 64675, 69960, 43999, 63528,\n", " 7645, 51700, 40791, 15031, 27177, 11023, 59213, 40968, 30889,\n", " 10775, 40046, 3720, 61342, 40791, 29471, 40179, 70347, 71146,\n", " 11023, 43657, 42877, 29471, 25932, 41212, 66687, 29234, 18584,\n", " 54170, 33574, 7920, 56359, 47943, 70347, 11023, 19883, 41103,\n", " 50119],\n", " [ 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 0, 0,\n", " 0, 0, 0, 0, 0, 0, 0, 18584, 33574,\n", " 40179, 11023, 29203, 41830, 52468, 14407, 12763, 66687, 45999,\n", " 29195, 8760, 59443, 64037, 41103, 49934, 2268, 29471, 27621,\n", " 47411],\n", " [26288, 68909, 66687, 49220, 11096, 31898, 34031, 41986, 51690,\n", " 47943, 11023, 27216, 47943, 67987, 39616, 12763, 66687, 18652,\n", " 41103, 25978, 2268, 68909, 63489, 44943, 11096, 31898, 64675,\n", " 18584, 66687, 11023, 40404, 18652, 25215, 11821, 40791, 41103,\n", " 73963, 27987, 68909, 47411, 61693, 12231, 39616, 12196, 1541,\n", " 45046, 29471, 68909, 10775, 7645, 61409, 40791, 11023, 13164,\n", " 41103, 11096, 40300, 55876, 12763, 36111, 50119, 57408, 64732,\n", " 29471, 34386, 66248, 12405, 41103, 68055, 26459, 29471, 43918,\n", " 45281, 1158, 13699, 33574, 18652, 41103, 11096, 2988, 22698,\n", " 64675, 39616, 33574, 573, 57636, 11023, 25786, 12405, 41103,\n", " 46520, 41103, 49934, 12103, 36101, 11023, 6413, 15770, 41103,\n", " 46006]])" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "features[:10,:100]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training, Validation, Test\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With our data in nice shape, we'll split it into training, validation, and test sets.\n", "\n", "> **Exercise:** Create the training, validation, and test sets here. You'll need to create sets for the features and the labels, `train_x` and `train_y` for example. Define a split fraction, `split_frac` as the fraction of data to keep in the training set. Usually this is set to 0.8 or 0.9. The rest of the data will be split in half to create the validation and testing data." ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\t\t\tFeature Shapes:\n", "Train set: \t\t(20000, 200) \n", "Validation set: \t(2500, 200) \n", "Test set: \t\t(2500, 200)\n" ] } ], "source": [ "from sklearn.model_selection import train_test_split\n", "\n", "x_train, x_test, y_train, y_test = train_test_split(features, labels, test_size=0.2)\n", "\n", "train_x = x_train\n", "train_y = y_train\n", "\n", "val_x = x_test[:len(x_test)//2]\n", "val_y = y_test[:len(y_test)//2]\n", "\n", "test_x = x_test[len(x_test)//2:]\n", "test_y = y_test[len(y_test)//2:]\n", "\n", "print(\"\\t\\t\\tFeature Shapes:\")\n", "print(\"Train set: \\t\\t{}\".format(train_x.shape), \n", " \"\\nValidation set: \\t{}\".format(val_x.shape),\n", " \"\\nTest set: \\t\\t{}\".format(test_x.shape))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With train, validation, and text fractions of 0.8, 0.1, 0.1, the final shapes should look like:\n", "```\n", " Feature Shapes:\n", "Train set: \t\t (20000, 200) \n", "Validation set: \t(2500, 200) \n", "Test set: \t\t (2500, 200)\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Build the graph\n", "\n", "Here, we'll build the graph. First up, defining the hyperparameters.\n", "\n", "* `lstm_size`: Number of units in the hidden layers in the LSTM cells. Usually larger is better performance wise. Common values are 128, 256, 512, etc.\n", "* `lstm_layers`: Number of LSTM layers in the network. I'd start with 1, then add more if I'm underfitting.\n", "* `batch_size`: The number of reviews to feed the network in one training pass. Typically this should be set as high as you can go without running out of memory.\n", "* `learning_rate`: Learning rate" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true }, "outputs": [], "source": [ "lstm_size = 256\n", "lstm_layers = 1\n", "batch_size = 500\n", "learning_rate = 0.001" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For the network itself, we'll be passing in our 200 element long review vectors. Each batch will be `batch_size` vectors. We'll also be using dropout on the LSTM layer, so we'll make a placeholder for the keep probability." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "> **Exercise:** Create the `inputs_`, `labels_`, and drop out `keep_prob` placeholders using `tf.placeholder`. `labels_` needs to be two-dimensional to work with some functions later. Since `keep_prob` is a scalar (a 0-dimensional tensor), you shouldn't provide a size to `tf.placeholder`." ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "n_words = len(vocab_to_int) + 1 # Adding 1 because we use 0's for padding, dictionary started at 1\n", "\n", "# Create the graph object\n", "graph = tf.Graph()\n", "# Add nodes to the graph\n", "with graph.as_default():\n", " inputs_ = tf.placeholder(tf.int32, [None, None], name=\"inputs\")\n", " labels_ = tf.placeholder(tf.int32, [None, None], name=\"labels\")\n", " keep_prob = tf.placeholder(tf.float32, name=\"keep_prob\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Embedding\n", "\n", "Now we'll add an embedding layer. We need to do this because there are 74000 words in our vocabulary. It is massively inefficient to one-hot encode our classes here. You should remember dealing with this problem from the word2vec lesson. Instead of one-hot encoding, we can have an embedding layer and use that layer as a lookup table. You could train an embedding layer using word2vec, then load it here. But, it's fine to just make a new layer and let the network learn the weights.\n", "\n", "> **Exercise:** Create the embedding lookup matrix as a `tf.Variable`. Use that embedding matrix to get the embedded vectors to pass to the LSTM cell with [`tf.nn.embedding_lookup`](https://www.tensorflow.org/api_docs/python/tf/nn/embedding_lookup). This function takes the embedding matrix and an input tensor, such as the review vectors. Then, it'll return another tensor with the embedded vectors. So, if the embedding layer has 200 units, the function will return a tensor with size [batch_size, 200].\n", "\n" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [], "source": [ "# Size of the embedding vectors (number of units in the embedding layer)\n", "embed_size = 300 \n", "\n", "with graph.as_default():\n", " embedding = tf.Variable(tf.truncated_normal((n_words, embed_size), -1, 1))\n", " embed = tf.nn.embedding_lookup(embedding, inputs_)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### LSTM cell\n", "\n", "<img src=\"assets/network_diagram.png\" width=400px>\n", "\n", "Next, we'll create our LSTM cells to use in the recurrent network ([TensorFlow documentation](https://www.tensorflow.org/api_docs/python/tf/contrib/rnn)). Here we are just defining what the cells look like. This isn't actually building the graph, just defining the type of cells we want in our graph.\n", "\n", "To create a basic LSTM cell for the graph, you'll want to use `tf.contrib.rnn.BasicLSTMCell`. Looking at the function documentation:\n", "\n", "```\n", "tf.contrib.rnn.BasicLSTMCell(num_units, forget_bias=1.0, input_size=None, state_is_tuple=True, activation=<function tanh at 0x109f1ef28>)\n", "```\n", "\n", "you can see it takes a parameter called `num_units`, the number of units in the cell, called `lstm_size` in this code. So then, you can write something like \n", "\n", "```\n", "lstm = tf.contrib.rnn.BasicLSTMCell(num_units)\n", "```\n", "\n", "to create an LSTM cell with `num_units`. Next, you can add dropout to the cell with `tf.contrib.rnn.DropoutWrapper`. This just wraps the cell in another cell, but with dropout added to the inputs and/or outputs. It's a really convenient way to make your network better with almost no effort! So you'd do something like\n", "\n", "```\n", "drop = tf.contrib.rnn.DropoutWrapper(cell, output_keep_prob=keep_prob)\n", "```\n", "\n", "Most of the time, your network will have better performance with more layers. That's sort of the magic of deep learning, adding more layers allows the network to learn really complex relationships. Again, there is a simple way to create multiple layers of LSTM cells with `tf.contrib.rnn.MultiRNNCell`:\n", "\n", "```\n", "cell = tf.contrib.rnn.MultiRNNCell([drop] * lstm_layers)\n", "```\n", "\n", "Here, `[drop] * lstm_layers` creates a list of cells (`drop`) that is `lstm_layers` long. The `MultiRNNCell` wrapper builds this into multiple layers of RNN cells, one for each cell in the list.\n", "\n", "So the final cell you're using in the network is actually multiple (or just one) LSTM cells with dropout. But it all works the same from an achitectural viewpoint, just a more complicated graph in the cell.\n", "\n", "> **Exercise:** Below, use `tf.contrib.rnn.BasicLSTMCell` to create an LSTM cell. Then, add drop out to it with `tf.contrib.rnn.DropoutWrapper`. Finally, create multiple LSTM layers with `tf.contrib.rnn.MultiRNNCell`.\n", "\n", "Here is [a tutorial on building RNNs](https://www.tensorflow.org/tutorials/recurrent) that will help you out.\n" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [], "source": [ "with graph.as_default():\n", " # Your basic LSTM cell\n", " lstm = tf.contrib.rnn.BasicLSTMCell(lstm_size)\n", " \n", " # Add dropout to the cell\n", " drop = tf.contrib.rnn.DropoutWrapper(lstm, output_keep_prob=keep_prob)\n", " \n", " # Stack up multiple LSTM layers, for deep learning\n", " cell = tf.contrib.rnn.MultiRNNCell([drop]*lstm_layers)\n", " \n", " # Getting an initial state of all zeros\n", " initial_state = cell.zero_state(batch_size, tf.float32)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### RNN forward pass\n", "\n", "<img src=\"assets/network_diagram.png\" width=400px>\n", "\n", "Now we need to actually run the data through the RNN nodes. You can use [`tf.nn.dynamic_rnn`](https://www.tensorflow.org/api_docs/python/tf/nn/dynamic_rnn) to do this. You'd pass in the RNN cell you created (our multiple layered LSTM `cell` for instance), and the inputs to the network.\n", "\n", "```\n", "outputs, final_state = tf.nn.dynamic_rnn(cell, inputs, initial_state=initial_state)\n", "```\n", "\n", "Above I created an initial state, `initial_state`, to pass to the RNN. This is the cell state that is passed between the hidden layers in successive time steps. `tf.nn.dynamic_rnn` takes care of most of the work for us. We pass in our cell and the input to the cell, then it does the unrolling and everything else for us. It returns outputs for each time step and the final_state of the hidden layer.\n", "\n", "> **Exercise:** Use `tf.nn.dynamic_rnn` to add the forward pass through the RNN. Remember that we're actually passing in vectors from the embedding layer, `embed`.\n", "\n" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [], "source": [ "with graph.as_default():\n", " outputs, final_state = tf.nn.dynamic_rnn(cell, embed, initial_state=initial_state)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Output\n", "\n", "We only care about the final output, we'll be using that as our sentiment prediction. So we need to grab the last output with `outputs[:, -1]`, the calculate the cost from that and `labels_`." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with graph.as_default():\n", " predictions = tf.contrib.layers.fully_connected(outputs[:, -1], 1, activation_fn=tf.sigmoid)\n", " cost = tf.losses.mean_squared_error(labels_, predictions)\n", " \n", " optimizer = tf.train.AdamOptimizer(learning_rate).minimize(cost)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Validation accuracy\n", "\n", "Here we can add a few nodes to calculate the accuracy which we'll use in the validation pass." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with graph.as_default():\n", " correct_pred = tf.equal(tf.cast(tf.round(predictions), tf.int32), labels_)\n", " accuracy = tf.reduce_mean(tf.cast(correct_pred, tf.float32))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Batching\n", "\n", "This is a simple function for returning batches from our data. First it removes data such that we only have full batches. Then it iterates through the `x` and `y` arrays and returns slices out of those arrays with size `[batch_size]`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def get_batches(x, y, batch_size=100):\n", " \n", " n_batches = len(x)//batch_size\n", " x, y = x[:n_batches*batch_size], y[:n_batches*batch_size]\n", " for ii in range(0, len(x), batch_size):\n", " yield x[ii:ii+batch_size], y[ii:ii+batch_size]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training\n", "\n", "Below is the typical training code. If you want to do this yourself, feel free to delete all this code and implement it yourself. Before you run this, make sure the `checkpoints` directory exists." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch: 0/10 Iteration: 5 Train loss: 0.364\n", "Epoch: 0/10 Iteration: 10 Train loss: 0.293\n", "Epoch: 0/10 Iteration: 15 Train loss: 0.286\n", "Epoch: 0/10 Iteration: 20 Train loss: 0.260\n", "Epoch: 0/10 Iteration: 25 Train loss: 0.264\n", "Val acc: 0.495\n", "Epoch: 0/10 Iteration: 30 Train loss: 0.258\n", "Epoch: 0/10 Iteration: 35 Train loss: 0.258\n", "Epoch: 0/10 Iteration: 40 Train loss: 0.251\n", "Epoch: 1/10 Iteration: 45 Train loss: 0.254\n", "Epoch: 1/10 Iteration: 50 Train loss: 0.249\n", "Val acc: 0.519\n", "Epoch: 1/10 Iteration: 55 Train loss: 0.253\n", "Epoch: 1/10 Iteration: 60 Train loss: 0.251\n", "Epoch: 1/10 Iteration: 65 Train loss: 0.250\n", "Epoch: 1/10 Iteration: 70 Train loss: 0.250\n", "Epoch: 1/10 Iteration: 75 Train loss: 0.250\n", "Val acc: 0.524\n", "Epoch: 1/10 Iteration: 80 Train loss: 0.249\n", "Epoch: 2/10 Iteration: 85 Train loss: 0.248\n", "Epoch: 2/10 Iteration: 90 Train loss: 0.248\n", "Epoch: 2/10 Iteration: 95 Train loss: 0.249\n", "Epoch: 2/10 Iteration: 100 Train loss: 0.250\n", "Val acc: 0.531\n", "Epoch: 2/10 Iteration: 105 Train loss: 0.246\n", "Epoch: 2/10 Iteration: 110 Train loss: 0.246\n", "Epoch: 2/10 Iteration: 115 Train loss: 0.248\n", "Epoch: 2/10 Iteration: 120 Train loss: 0.243\n", "Epoch: 3/10 Iteration: 125 Train loss: 0.247\n", "Val acc: 0.564\n", "Epoch: 3/10 Iteration: 130 Train loss: 0.244\n", "Epoch: 3/10 Iteration: 135 Train loss: 0.241\n", "Epoch: 3/10 Iteration: 140 Train loss: 0.246\n", "Epoch: 3/10 Iteration: 145 Train loss: 0.245\n", "Epoch: 3/10 Iteration: 150 Train loss: 0.241\n", "Val acc: 0.561\n", "Epoch: 3/10 Iteration: 155 Train loss: 0.249\n", "Epoch: 3/10 Iteration: 160 Train loss: 0.236\n", "Epoch: 4/10 Iteration: 165 Train loss: 0.244\n", "Epoch: 4/10 Iteration: 170 Train loss: 0.244\n", "Epoch: 4/10 Iteration: 175 Train loss: 0.245\n", "Val acc: 0.564\n", "Epoch: 4/10 Iteration: 180 Train loss: 0.237\n", "Epoch: 4/10 Iteration: 185 Train loss: 0.235\n", "Epoch: 4/10 Iteration: 190 Train loss: 0.233\n", "Epoch: 4/10 Iteration: 195 Train loss: 0.239\n", "Epoch: 4/10 Iteration: 200 Train loss: 0.223\n", "Val acc: 0.578\n", "Epoch: 5/10 Iteration: 205 Train loss: 0.236\n", "Epoch: 5/10 Iteration: 210 Train loss: 0.233\n", "Epoch: 5/10 Iteration: 215 Train loss: 0.243\n", "Epoch: 5/10 Iteration: 220 Train loss: 0.234\n", "Epoch: 5/10 Iteration: 225 Train loss: 0.224\n", "Val acc: 0.572\n", "Epoch: 5/10 Iteration: 230 Train loss: 0.224\n", "Epoch: 5/10 Iteration: 235 Train loss: 0.238\n", "Epoch: 5/10 Iteration: 240 Train loss: 0.211\n", "Epoch: 6/10 Iteration: 245 Train loss: 0.222\n", "Epoch: 6/10 Iteration: 250 Train loss: 0.229\n", "Val acc: 0.554\n", "Epoch: 6/10 Iteration: 255 Train loss: 0.235\n", "Epoch: 6/10 Iteration: 260 Train loss: 0.224\n", "Epoch: 6/10 Iteration: 265 Train loss: 0.208\n", "Epoch: 6/10 Iteration: 270 Train loss: 0.205\n", "Epoch: 6/10 Iteration: 275 Train loss: 0.234\n", "Val acc: 0.609\n", "Epoch: 6/10 Iteration: 280 Train loss: 0.185\n", "Epoch: 7/10 Iteration: 285 Train loss: 0.227\n", "Epoch: 7/10 Iteration: 290 Train loss: 0.211\n", "Epoch: 7/10 Iteration: 295 Train loss: 0.211\n", "Epoch: 7/10 Iteration: 300 Train loss: 0.191\n", "Val acc: 0.592\n", "Epoch: 7/10 Iteration: 305 Train loss: 0.184\n", "Epoch: 7/10 Iteration: 310 Train loss: 0.189\n", "Epoch: 7/10 Iteration: 315 Train loss: 0.227\n", "Epoch: 7/10 Iteration: 320 Train loss: 0.190\n", "Epoch: 8/10 Iteration: 325 Train loss: 0.201\n", "Val acc: 0.616\n", "Epoch: 8/10 Iteration: 330 Train loss: 0.201\n", "Epoch: 8/10 Iteration: 335 Train loss: 0.189\n", "Epoch: 8/10 Iteration: 340 Train loss: 0.197\n", "Epoch: 8/10 Iteration: 345 Train loss: 0.179\n", "Epoch: 8/10 Iteration: 350 Train loss: 0.152\n", "Val acc: 0.688\n", "Epoch: 8/10 Iteration: 355 Train loss: 0.160\n", "Epoch: 8/10 Iteration: 360 Train loss: 0.134\n", "Epoch: 9/10 Iteration: 365 Train loss: 0.153\n", "Epoch: 9/10 Iteration: 370 Train loss: 0.154\n", "Epoch: 9/10 Iteration: 375 Train loss: 0.138\n", "Val acc: 0.763\n", "Epoch: 9/10 Iteration: 380 Train loss: 0.119\n", "Epoch: 9/10 Iteration: 385 Train loss: 0.116\n", "Epoch: 9/10 Iteration: 390 Train loss: 0.139\n", "Epoch: 9/10 Iteration: 395 Train loss: 0.139\n" ] } ], "source": [ "epochs = 10\n", "\n", "with graph.as_default():\n", " saver = tf.train.Saver()\n", "\n", "with tf.Session(graph=graph) as sess:\n", " sess.run(tf.global_variables_initializer())\n", " iteration = 1\n", " for e in range(epochs):\n", " state = sess.run(initial_state)\n", " \n", " for ii, (x, y) in enumerate(get_batches(train_x, train_y, batch_size), 1):\n", " feed = {inputs_: x,\n", " labels_: y[:, None],\n", " keep_prob: 0.5,\n", " initial_state: state}\n", " loss, state, _ = sess.run([cost, final_state, optimizer], feed_dict=feed)\n", " \n", " if iteration%5==0:\n", " print(\"Epoch: {}/{}\".format(e, epochs),\n", " \"Iteration: {}\".format(iteration),\n", " \"Train loss: {:.3f}\".format(loss))\n", "\n", " if iteration%25==0:\n", " val_acc = []\n", " val_state = sess.run(cell.zero_state(batch_size, tf.float32))\n", " for x, y in get_batches(val_x, val_y, batch_size):\n", " feed = {inputs_: x,\n", " labels_: y[:, None],\n", " keep_prob: 1,\n", " initial_state: val_state}\n", " batch_acc, val_state = sess.run([accuracy, final_state], feed_dict=feed)\n", " val_acc.append(batch_acc)\n", " print(\"Val acc: {:.3f}\".format(np.mean(val_acc)))\n", " iteration +=1\n", " saver.save(sess, \"checkpoints/sentiment.ckpt\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Testing" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "test_acc = []\n", "with tf.Session(graph=graph) as sess:\n", " saver.restore(sess, tf.train.latest_checkpoint('checkpoints'))\n", " test_state = sess.run(cell.zero_state(batch_size, tf.float32))\n", " for ii, (x, y) in enumerate(get_batches(test_x, test_y, batch_size), 1):\n", " feed = {inputs_: x,\n", " labels_: y[:, None],\n", " keep_prob: 1,\n", " initial_state: test_state}\n", " batch_acc, test_state = sess.run([accuracy, final_state], feed_dict=feed)\n", " test_acc.append(batch_acc)\n", " print(\"Test accuracy: {:.3f}\".format(np.mean(test_acc)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
trustrachel/graphviz
NetworkX Graph Generators.ipynb
2
187965
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import networkx as nx" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "%pylab inline" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "G=nx.heawood_graph()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "nx.draw(G)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAd8AAAFBCAYAAAA2bKVrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQgihZ/8PsO2iyN0NvyQAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x106038790>" ] } ], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "G2=nx.pappus_graph()\n", "nx.draw(G2)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAd8AAAFBCAYAAAA2bKVrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nVwghhLCx/weArghoXWxemwAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x106073a90>" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "G3=nx.lollipop_graph(6,1)\n", "nx.draw(G3)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAd8AAAFBCAYAAAA2bKVrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nmPGVJCmwfwF+7D2t/srrvAAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x10601dc10>" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "G4=nx.random_lobster(10, 0.1, 0.5)\n", "nx.draw(G4)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAd8AAAFBCAYAAAA2bKVrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x1060ad390>" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "G5=nx.florentine_families_graph()\n", "nx.draw(G5)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAd8AAAFBCAYAAAA2bKVrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x106098550>" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
apache-2.0
KIPAC/StatisticalMethods
tutorials/generative_models.ipynb
1
8095
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Tutorial: Generative Models\n", "\n", "This exercise is mostly to practice going from a real-world(ish) problem described in words to an actionable model. By model, we mean\n", "1. a list of quantities comprising your data and parameters from which predicted data can be produced;\n", "2. a PGM representing the conditional dependences of the parameters and data;\n", "3. a list of expressions containing the same information as the PGM, with the added specification of what probability distributions are involved.\n", "\n", "\"Expressions\" in this context are of the form you saw in the reading, and need not be fully spelled-out equations, for example:\n", "* $a \\Leftarrow b,c$ \n", "* $x \\sim \\mathrm{Normal}(\\mu, \\sigma)$\n", "* $\\mu \\sim \\mathrm{some~prior}$\n", "\n", "Translates to\n", "* $a$ is a deterministic function of $b$ and $c$\n", "* $x$ is normally distributed with mean $\\mu$ and standard deviation $\\sigma$\n", "* $\\mu$ is distributed according to some prior that I would need to specify in practice, but don't necessarily have to bother with for this exercise. Here \"prior\" implies no dependence on other listed parameters.\n", "\n", "_Every_ parameter and datum in the model must have such a rule for how it depends on other quantities (or priors). The result is a recipe for generating mock data, and also contains all the information needed to do inference given real data that we've collected.\n", "\n", "There is no set rule saying that it's better to draw the PGM first and write the expressions second, or vice versa; different people find each approach more or less natural.\n", "\n", "To turn in a PGM, you could for e.g.\n", "* draw on paper and include a photo in the notebook\n", "* do the digital equivalent with a tablet and stylus\n", "* use some other simple drawing tool and include that graphic in the notebook\n", "* use the `daft` package to produce a PGM graphic directly in Python\n", "\n", "Personally, I find the current version of `daft` extremely ugly and have taken to using Google Drawings or old-fashioned scribbling, but whatever works.\n", "\n", "Finally, note that **some of the situations described below are intentionally ambiguous**. Expect to have to make some assumptions in order to fully specify the model, and note what they are." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1. Relaxed cluster fraction\n", "\n", "X-ray imaging data for 361 galaxy clusters were analyzed, and 57 of them were found to be morphologically \"relaxed\" according to some metric. We want to constrain the fraction of relaxed clusters in the Universe (the probability that a randomly chosen cluster is relaxed), $f$, assuming that the data set is representative.\n", "\n", "### 1a. Model specification\n", "\n", "Enumerate the model parameters, draw a PGM and write down the corresponding probability expressions for this problem. Be explicit about the form of the sampling distribution (see [Essential Probability](../notes/essential_probability.ipynb)), and arbitrarily choose some prior distribution for $f$ for the second part, below." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 1b. Generate data\n", "\n", "Go through the process of generating mock data from the model. Produce a visualization that compares an ensemble of mock data sets (say 1000) for\n", "1. model parameters fixed at some fiducial value(s)\n", "2. model parameters varying according to the PGM/expressions you write down above" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import numpy as np\n", "import scipy.stats as st\n", "import matplotlib\n", "matplotlib.use('TkAgg')\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2. Linear regression\n", "\n", "Your data is a list of $\\{x_k,y_k,\\sigma_k\\}$ triplets, where $\\sigma_k$ is some estimate of the \"error\" on $y_k$. You think a linear model, $y(x)=a+bx$, might explain these data.\n", "\n", "In the absence of any better information, assume that $\\vec{x}$ and $\\vec{\\sigma}$ are (somehow) known precisely, and that the \"error\" on $y_k$ is Gaussian (mean of $a+bx_k$ and standard deviation $\\sigma_k$).\n", "\n", "Enumerate the model parameters, draw a PGM and write down the corresponding probability expressions. Optionally, generate and visualize mock data for this problem." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. Exoplanet transit photometry\n", "\n", "You've taken several images of a particular field, in order to record the transit of an exoplanet in front of a star (resulting in a temporary decrease in its brightness). Some kind of model, parametrized by $\\theta$, describes the time series of the resulting flux. Before we get to measure a number of counts, however, each image is affected by time-specific variables, e.g. related to changing weather. To account for these, you've also measured 10 other stars in the same field in every exposure. The assumption is that the average intrinsic flux of these stars should be constant in time, so that they can be used to correct for photometric variations, putting the multiple measurements of the target star on the same scale.\n", "\n", "Enumerate the model parameters, draw a PGM and write down the corresponding probability expressions. Optionally, generate and visualize mock data for this problem.\n", "\n", "Thanks to Anja von der Linden for inspiring (and then correcting) the above problem." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4. Galaxy cluster center offsets\n", "\n", "You've measured the centers of a sample of galaxy clusters in two ways: by choosing a brightest cluster galaxy (BCG) and by finding the centroid of each cluster's X-ray emission. The difference between the two should say something about the fidelity of the BCG selection method, among other things. The BCG positions are determined essentially perfectly, but the X-ray centroids come with a Gaussian statistical uncertainty of typically $\\sim30$ kpc (standard deviation) in both the $x$ and $y$ directions.\n", "\n", "The underlying model is assumed to be that the BCG and true X-ray centroid coincide perfectly in a fraction $f$ of clusters. In the remaining clusters, the true X-ray centroid and BCG are displaced according to a 2D Gaussian whose width in either direction is $\\sigma$.\n", "\n", "Enumerate the model parameters, draw a PGM and write down the corresponding probability expressions. Optionally, generate and visualize mock data for this problem." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.9" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-2.0
lakmalsilva/machineLearning
Triage-MHWEB-Final.ipynb
1
201431
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Issue tracker classification using RNN" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Importing required packages into python" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/eruwsil/anaconda3/envs/MLpy27/lib/python2.7/site-packages/h5py/__init__.py:36: FutureWarning: Conversion of the second argument of issubdtype from `float` to `np.floating` is deprecated. In future, it will be treated as `np.float64 == np.dtype(float).type`.\n", " from ._conv import register_converters as _register_converters\n", "Using TensorFlow backend.\n" ] } ], "source": [ "# Required dependencies\n", "# 1. NLTK\n", "# 2. Gensim for word2vec\n", "# 3. Keras with tensorflow/theano backend\n", "\n", "\n", "import numpy as np\n", "np.random.seed(1337)\n", "import json, re, nltk, string, csv, sys, codecs\n", "from nltk.corpus import wordnet\n", "from gensim.models import Word2Vec\n", "from keras.preprocessing import sequence\n", "from keras.models import Model\n", "from keras.layers import Dense, Dropout, Embedding, LSTM, Input, merge\n", "from keras import layers\n", "from keras.optimizers import RMSprop\n", "from keras.utils import np_utils\n", "from sklearn.feature_extraction.text import CountVectorizer\n", "from sklearn.feature_extraction.text import TfidfTransformer\n", "from sklearn.naive_bayes import MultinomialNB\n", "from sklearn import svm\n", "from sklearn.linear_model import LogisticRegression\n", "from sklearn.multiclass import OneVsRestClassifier\n", "from sklearn.metrics.pairwise import cosine_similarity\n", "\n", "from matplotlib import pyplot as plt\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### A hack to increase size due to Error: field larger than field limit (131072)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "maxInt = sys.maxsize\n", "decrement = True\n", "\n", "while decrement:\n", " # decrease the maxInt value by factor 10\n", " # as long as the OverflowError occurs.\n", "\n", " decrement = False\n", " try:\n", " csv.field_size_limit(maxInt)\n", " except OverflowError:\n", " maxInt = int(maxInt / 10)\n", " decrement = True" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Initializing Hyper parameter" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "#1. Word2vec parameters\n", "min_word_frequency_word2vec = 5\n", "embed_size_word2vec = 200\n", "context_window_word2vec = 5\n", "\n", "#2. Classifier hyperparameters\n", "numCV = 10\n", "max_sentence_len = 50\n", "min_sentence_length = 15\n", "rankK = 10\n", "batch_size = 32" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Define the data files\n", "CSV data format:\n", "\n", "\"General.Eriref\";\"General.Heading\";\"Answer.Answer\";\"Observation.Observation\";\"TR History Answer.Answered by user\"\n", "\n", "Array element[0] = \"General.Eriref\" (Contains only the bug number)\n", "\n", "Array element[1] = \"General.Heading\" (Contains the heading)\n", "\n", "Array element[2] = \"Answer.Answer\" (The unstructured natural text Answer section)--NOT USED IN THIS PROJECT\n", "\n", "Array element[3] = \"Observation.Observation\" (Detailed description of the issue. Free text)\n", "\n", "Array element[4] = \"TR History Answer.Answered by user\" (The developer who fixed and answered the issue ticket)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "open_bugs_csv = 'e1_open.csv'\n", "closed_bugs_csv = 'm15_closed.csv'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Preprocess the open bugs, extract the vocabulary and learn the word2vec representation\n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "with open(open_bugs_csv) as data_file:\n", " data = csv.reader(data_file, delimiter=';')\n", "\n", " all_data = []\n", " for item in data:\n", " #1. Remove \\r \n", " current_title = unicode(item[1], errors='ignore').replace('\\r', ' ')\n", " #print current_title\n", " current_desc = unicode(item[3], errors='ignore').replace('\\r', ' ')\n", " #print current_desc\n", " #2. Remove URLs\n", " current_desc = re.sub(r'http[s]?://(?:[a-zA-Z]|[0-9]|[$-_@.&+]|[!*\\(\\),]|(?:%[0-9a-fA-F][0-9a-fA-F]))+', '', current_desc) \n", " #3. Remove Stack Trace\n", " start_loc = current_desc.find(\"Stack trace:\")\n", " current_desc = current_desc[:start_loc] \n", " #4. Remove hex code\n", " current_desc = re.sub(r'(\\w+)0x\\w+', '', current_desc)\n", " current_title= re.sub(r'(\\w+)0x\\w+', '', current_title) \n", " #5. Change to lower case\n", " current_desc = current_desc.lower()\n", " current_title = current_title.lower() \n", " #6. Tokenize\n", " current_desc_tokens = nltk.word_tokenize(current_desc)\n", " current_title_tokens = nltk.word_tokenize(current_title)\n", " #7. Strip trailing punctuation marks \n", " current_desc_filter = [word.strip(string.punctuation) for word in current_desc_tokens]\n", " current_title_filter = [word.strip(string.punctuation) for word in current_title_tokens] \n", " #8. Join the lists\n", " current_data = current_title_filter + current_desc_filter\n", " current_data = filter(None, current_data)\n", " all_data.append(current_data)\n", " \n", "#print(len(all_data))\n", "# Learn the word2vec model and extract vocabulary\n", "# A vocabulary is constructed and the word2vec model is learnt using the preprocessed data. \n", "# The word2vec model provides a semantic word representation for every word in the vocabulary.\n", "wordvec_model = Word2Vec(all_data, min_count=min_word_frequency_word2vec, size=embed_size_word2vec, window=context_window_word2vec)\n", "vocabulary = wordvec_model.wv.vocab\n", "#print vocabulary\n", "vocab_size = len(vocabulary)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Preprocess the closed bugs, using the extracted the vocabulary\n", "\n", "The closed bug trackers are used for training and testing the classifier. \n", "\n", "(1) The closed bugs are loaded\n", "\n", "(2) Data(Heading and Observarion) are preprocessed\n", "\n" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "with open(closed_bugs_csv) as data_file:\n", " data = csv.reader(data_file, delimiter=';')\n", "\n", " all_data = []\n", " all_owner = [] \n", " for item in data:\n", " #1. Remove \\r \n", " current_title = unicode(item[1], errors='ignore').replace('\\r', ' ')\n", " current_desc = unicode(item[3], errors='ignore').replace('\\r', ' ')\n", " #2. Remove URLs\n", " current_desc = re.sub(r'http[s]?://(?:[a-zA-Z]|[0-9]|[$-_@.&+]|[!*\\(\\),]|(?:%[0-9a-fA-F][0-9a-fA-F]))+', '', current_desc)\n", " #3. Remove Stack Trace\n", " start_loc = current_desc.find(\"Stack trace:\")\n", " current_desc = current_desc[:start_loc]\n", " #4. Remove hex code\n", " current_desc = re.sub(r'(\\w+)0x\\w+', '', current_desc)\n", " current_title= re.sub(r'(\\w+)0x\\w+', '', current_title)\n", " #5. Change to lower case\n", " current_desc = current_desc.lower()\n", " current_title = current_title.lower()\n", " #6. Tokenize\n", " current_desc_tokens = nltk.word_tokenize(current_desc)\n", " current_title_tokens = nltk.word_tokenize(current_title)\n", " #7. Strip punctuation marks\n", " current_desc_filter = [word.strip(string.punctuation) for word in current_desc_tokens]\n", " current_title_filter = [word.strip(string.punctuation) for word in current_title_tokens] \n", " #8. Join the lists\n", " current_data = current_title_filter + current_desc_filter\n", " current_data = filter(None, current_data)\n", " all_data.append(current_data)\n", " all_owner.append(item[4])\n", "# print all_data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Split cross validation sets and perform deep learning + softamx based classification\n", "\n", "The ten times chronological cross validation split is performed as follows:\n" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 1\n", "Creating Model\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/eruwsil/anaconda3/envs/MLpy27/lib/python2.7/site-packages/ipykernel_launcher.py:53: DeprecationWarning: Call to deprecated `__getitem__` (Method will be removed in 4.0.0, use self.wv.__getitem__() instead).\n", "/home/eruwsil/anaconda3/envs/MLpy27/lib/python2.7/site-packages/ipykernel_launcher.py:68: DeprecationWarning: Call to deprecated `__getitem__` (Method will be removed in 4.0.0, use self.wv.__getitem__() instead).\n", "/home/eruwsil/anaconda3/envs/MLpy27/lib/python2.7/site-packages/ipykernel_launcher.py:93: UserWarning: Update your `Model` call to the Keras 2 API: `Model(outputs=Tensor(\"de..., inputs=Tensor(\"in...)`\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Epoch 1/20\n", "74/74 [==============================] - 10s 130ms/step - loss: 4.6367 - acc: 0.0541\n", "Epoch 2/20\n", "74/74 [==============================] - 7s 95ms/step - loss: 3.9130 - acc: 0.0676\n", "Epoch 3/20\n", "74/74 [==============================] - 8s 107ms/step - loss: 3.1084 - acc: 0.1622\n", "Epoch 4/20\n", "74/74 [==============================] - 8s 107ms/step - loss: 2.7026 - acc: 0.2432\n", "Epoch 5/20\n", "74/74 [==============================] - 8s 109ms/step - loss: 2.6111 - acc: 0.2297\n", "Epoch 6/20\n", "74/74 [==============================] - 8s 108ms/step - loss: 2.3558 - acc: 0.3378\n", "Epoch 7/20\n", "74/74 [==============================] - 7s 100ms/step - loss: 2.2117 - acc: 0.2838\n", "Epoch 8/20\n", "74/74 [==============================] - 8s 113ms/step - loss: 2.1818 - acc: 0.4324\n", "Epoch 9/20\n", "74/74 [==============================] - 9s 119ms/step - loss: 2.1694 - acc: 0.3919\n", "Epoch 10/20\n", "74/74 [==============================] - 9s 122ms/step - loss: 1.6200 - acc: 0.5541\n", "Epoch 11/20\n", "74/74 [==============================] - 9s 128ms/step - loss: 1.2449 - acc: 0.7027\n", "Epoch 12/20\n", "74/74 [==============================] - 8s 105ms/step - loss: 1.8379 - acc: 0.5135\n", "Epoch 13/20\n", "74/74 [==============================] - 8s 109ms/step - loss: 1.0384 - acc: 0.7568\n", "Epoch 14/20\n", "74/74 [==============================] - 9s 116ms/step - loss: 0.8680 - acc: 0.7838\n", "Epoch 15/20\n", "74/74 [==============================] - 8s 110ms/step - loss: 0.7570 - acc: 0.8243\n", "Epoch 16/20\n", "74/74 [==============================] - 9s 118ms/step - loss: 0.5378 - acc: 0.8919\n", "Epoch 17/20\n", "74/74 [==============================] - 8s 114ms/step - loss: 0.6430 - acc: 0.8649\n", "Epoch 18/20\n", "74/74 [==============================] - 9s 118ms/step - loss: 0.4758 - acc: 0.9054\n", "Epoch 19/20\n", "74/74 [==============================] - 8s 109ms/step - loss: 0.4647 - acc: 0.9054\n", "Epoch 20/20\n", "74/74 [==============================] - 8s 114ms/step - loss: 1.8677 - acc: 0.4865\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.05405405445678814, 0.06756756797030165, 0.1621621623635292, 0.24324324404871142, 0.22972973295160243, 0.33783783803920486, 0.28378378378378377, 0.4324324356543051, 0.3918918951137646, 0.5540540572759267, 0.7027027027027027, 0.5135135151244499, 0.7567567583676931, 0.7837837837837838, 0.8243243243243243, 0.8918918886700192, 0.8648648616429921, 0.9054054021835327, 0.9054054086272781, 0.4864864832646138], 'loss': [4.636739138010386, 3.912982566936596, 3.1084110285784745, 2.7025617715474723, 2.6111074782706596, 2.3557996169940845, 2.2116774546133504, 2.1817923816474707, 2.169395756077122, 1.6200437481338914, 1.2449047243272937, 1.8378826315338548, 1.038433261819788, 0.867987829285699, 0.7569656774804399, 0.5377844088786358, 0.6429572057079624, 0.47576710420685847, 0.46471532293268153, 1.8677118468928982]}\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/eruwsil/anaconda3/envs/MLpy27/lib/python2.7/site-packages/ipykernel_launcher.py:111: FutureWarning: elementwise comparison failed; returning scalar instead, but in the future will perform elementwise comparison\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 2\n", "Creating Model\n", "Epoch 1/20\n", "149/149 [==============================] - 15s 101ms/step - loss: 4.4454 - acc: 0.0403\n", "Epoch 2/20\n", "149/149 [==============================] - 14s 94ms/step - loss: 4.4161 - acc: 0.0470\n", "Epoch 3/20\n", "149/149 [==============================] - 14s 96ms/step - loss: 3.4694 - acc: 0.1879\n", "Epoch 4/20\n", "149/149 [==============================] - 14s 95ms/step - loss: 3.2583 - acc: 0.1812\n", "Epoch 5/20\n", "149/149 [==============================] - 12s 80ms/step - loss: 2.9866 - acc: 0.2953\n", "Epoch 6/20\n", "149/149 [==============================] - 12s 81ms/step - loss: 2.7092 - acc: 0.3020\n", "Epoch 7/20\n", "149/149 [==============================] - 13s 85ms/step - loss: 2.4486 - acc: 0.4161\n", "Epoch 8/20\n", "149/149 [==============================] - 14s 94ms/step - loss: 2.1733 - acc: 0.4966\n", "Epoch 9/20\n", "149/149 [==============================] - 13s 87ms/step - loss: 2.0339 - acc: 0.4497\n", "Epoch 10/20\n", "149/149 [==============================] - 15s 100ms/step - loss: 1.5682 - acc: 0.6309\n", "Epoch 11/20\n", "149/149 [==============================] - 17s 111ms/step - loss: 1.2924 - acc: 0.6913\n", "Epoch 12/20\n", "149/149 [==============================] - 14s 91ms/step - loss: 1.1759 - acc: 0.7181\n", "Epoch 13/20\n", "149/149 [==============================] - 13s 88ms/step - loss: 1.0551 - acc: 0.7450\n", "Epoch 14/20\n", "149/149 [==============================] - 12s 82ms/step - loss: 0.5387 - acc: 0.9060\n", "Epoch 15/20\n", "149/149 [==============================] - 12s 81ms/step - loss: 0.4783 - acc: 0.9128\n", "Epoch 16/20\n", "149/149 [==============================] - 12s 80ms/step - loss: 0.4417 - acc: 0.9195\n", "Epoch 17/20\n", "149/149 [==============================] - 12s 81ms/step - loss: 0.3338 - acc: 0.9463\n", "Epoch 18/20\n", "149/149 [==============================] - 12s 81ms/step - loss: 0.3319 - acc: 0.9262\n", "Epoch 19/20\n", "149/149 [==============================] - 13s 89ms/step - loss: 0.2867 - acc: 0.9396\n", "Epoch 20/20\n", "149/149 [==============================] - 15s 98ms/step - loss: 0.1942 - acc: 0.9732\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.04026845662585841, 0.04697986577181208, 0.1879194648873886, 0.1812080545913453, 0.29530201432288894, 0.3020134230688114, 0.41610738265034336, 0.496644295502029, 0.4496644301302481, 0.6308724856216635, 0.6912751709854843, 0.7181208093694392, 0.744966444953176, 0.906040268856407, 0.9127516790524425, 0.9194630884484156, 0.9463087256322771, 0.9261744970443265, 0.9395973166363352, 0.9731543624161074], 'loss': [4.445408462678026, 4.416072411825193, 3.469441141858197, 3.258274449598069, 2.986626169025498, 2.709213986492797, 2.448623338801749, 2.173348289208124, 2.033871413877346, 1.568180053026084, 1.2924081247124897, 1.1758944732230783, 1.0551263181955222, 0.5386544690036134, 0.4783200821620506, 0.4417374666105181, 0.33379762984762257, 0.3319464035882246, 0.28666193613269986, 0.19416157511256685]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 3\n", "Creating Model\n", "Epoch 1/20\n", "225/225 [==============================] - 20s 89ms/step - loss: 4.5157 - acc: 0.0400\n", "Epoch 2/20\n", "225/225 [==============================] - 17s 77ms/step - loss: 4.7816 - acc: 0.0800\n", "Epoch 3/20\n", "225/225 [==============================] - 18s 79ms/step - loss: 3.9029 - acc: 0.1156\n", "Epoch 4/20\n", "225/225 [==============================] - 19s 85ms/step - loss: 3.7572 - acc: 0.1022\n", "Epoch 5/20\n", "225/225 [==============================] - 17s 75ms/step - loss: 3.5465 - acc: 0.1289\n", "Epoch 6/20\n", "225/225 [==============================] - 18s 78ms/step - loss: 3.2795 - acc: 0.1644\n", "Epoch 7/20\n", "225/225 [==============================] - 18s 81ms/step - loss: 3.1670 - acc: 0.2489\n", "Epoch 8/20\n", "225/225 [==============================] - 18s 79ms/step - loss: 2.9801 - acc: 0.2444\n", "Epoch 9/20\n", "225/225 [==============================] - 18s 81ms/step - loss: 3.1724 - acc: 0.2756\n", "Epoch 10/20\n", "225/225 [==============================] - 17s 77ms/step - loss: 2.4479 - acc: 0.3600\n", "Epoch 11/20\n", "225/225 [==============================] - 17s 77ms/step - loss: 2.4383 - acc: 0.3600\n", "Epoch 12/20\n", "225/225 [==============================] - 18s 81ms/step - loss: 2.1909 - acc: 0.4000\n", "Epoch 13/20\n", "225/225 [==============================] - 18s 81ms/step - loss: 1.7464 - acc: 0.5556\n", "Epoch 14/20\n", "225/225 [==============================] - 18s 82ms/step - loss: 1.6398 - acc: 0.5556\n", "Epoch 15/20\n", "225/225 [==============================] - 18s 79ms/step - loss: 1.4824 - acc: 0.6000\n", "Epoch 16/20\n", "225/225 [==============================] - 17s 75ms/step - loss: 0.9644 - acc: 0.7822\n", "Epoch 17/20\n", "225/225 [==============================] - 17s 75ms/step - loss: 1.1976 - acc: 0.7422\n", "Epoch 18/20\n", "225/225 [==============================] - 17s 74ms/step - loss: 0.7265 - acc: 0.8667\n", "Epoch 19/20\n", "225/225 [==============================] - 17s 77ms/step - loss: 1.5711 - acc: 0.6267\n", "Epoch 20/20\n", "225/225 [==============================] - 19s 82ms/step - loss: 1.1158 - acc: 0.7467\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.04, 0.08, 0.11555555555555555, 0.10222222222222223, 0.1288888888888889, 0.16444444444444445, 0.24888888888888888, 0.24444444444444444, 0.27555555555555555, 0.36, 0.36, 0.4, 0.5555555555555556, 0.5555555555555556, 0.6, 0.7822222222222223, 0.7422222222222222, 0.8666666666666667, 0.6266666666666667, 0.7466666666666667], 'loss': [4.515739873250325, 4.781630143059624, 3.902880312601725, 3.757197222179837, 3.546457291709052, 3.2794740316602917, 3.166976580089993, 2.98006195280287, 3.1724020279778373, 2.4479230562845866, 2.4382579782274036, 2.190870146221585, 1.7464492561750942, 1.6397590976291232, 1.4823918232652875, 0.9643806558185154, 1.1975877634021972, 0.7265213033888075, 1.5710536193847657, 1.1158309915330675]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 4\n", "Creating Model\n", "Epoch 1/20\n", "301/301 [==============================] - 24s 80ms/step - loss: 4.8524 - acc: 0.0365\n", "Epoch 2/20\n", "301/301 [==============================] - 20s 68ms/step - loss: 4.1264 - acc: 0.0864\n", "Epoch 3/20\n", "301/301 [==============================] - 21s 70ms/step - loss: 3.8839 - acc: 0.0731\n", "Epoch 4/20\n", "301/301 [==============================] - 20s 67ms/step - loss: 3.5926 - acc: 0.1395\n", "Epoch 5/20\n", "301/301 [==============================] - 22s 72ms/step - loss: 3.5098 - acc: 0.2027\n", "Epoch 6/20\n", "301/301 [==============================] - 22s 75ms/step - loss: 3.1414 - acc: 0.2425\n", "Epoch 7/20\n", "301/301 [==============================] - 21s 70ms/step - loss: 2.8183 - acc: 0.2890\n", "Epoch 8/20\n", "301/301 [==============================] - 20s 68ms/step - loss: 2.3671 - acc: 0.4053\n", "Epoch 9/20\n", "301/301 [==============================] - 24s 80ms/step - loss: 2.1544 - acc: 0.4518\n", "Epoch 10/20\n", "301/301 [==============================] - 24s 79ms/step - loss: 1.7372 - acc: 0.5781\n", "Epoch 11/20\n", "301/301 [==============================] - 30s 98ms/step - loss: 1.2966 - acc: 0.6844\n", "Epoch 12/20\n", "301/301 [==============================] - 30s 98ms/step - loss: 1.0202 - acc: 0.7475\n", "Epoch 13/20\n", "301/301 [==============================] - 24s 81ms/step - loss: 0.8047 - acc: 0.8239\n", "Epoch 14/20\n", "301/301 [==============================] - 24s 81ms/step - loss: 0.5793 - acc: 0.9136\n", "Epoch 15/20\n", "301/301 [==============================] - 24s 80ms/step - loss: 0.3861 - acc: 0.9402\n", "Epoch 16/20\n", "301/301 [==============================] - 25s 83ms/step - loss: 0.4014 - acc: 0.9236\n", "Epoch 17/20\n", "301/301 [==============================] - 22s 73ms/step - loss: 0.2202 - acc: 0.9568\n", "Epoch 18/20\n", "301/301 [==============================] - 21s 69ms/step - loss: 0.2750 - acc: 0.9369\n", "Epoch 19/20\n", "301/301 [==============================] - 20s 68ms/step - loss: 0.1859 - acc: 0.9668\n", "Epoch 20/20\n", "301/301 [==============================] - 21s 69ms/step - loss: 0.2293 - acc: 0.9568\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.036544850498338874, 0.08637873803658343, 0.07308970124420533, 0.13953488396845784, 0.2026578073584756, 0.2425249174385768, 0.28903654490000386, 0.40531561580607267, 0.4518272428219501, 0.5780730901960519, 0.684385383049911, 0.7475083066379509, 0.8239202663747971, 0.913621262854516, 0.9401993357461949, 0.9235880398671097, 0.9568106312292359, 0.9368770764119602, 0.9667774086378738, 0.956810631427258], 'loss': [4.852414856717436, 4.12639047141091, 3.8839353018028793, 3.5926467160449866, 3.5098322594284617, 3.1413970516369587, 2.818260215049566, 2.3670503617917182, 2.154351302555629, 1.7372174484785212, 1.2966221349183904, 1.020157518180898, 0.8047217621755759, 0.5792940518388716, 0.3861074953083184, 0.40143467391844206, 0.22021449468658613, 0.2749699096495527, 0.18585543324582995, 0.22929074914748487]}\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAW4AAAEICAYAAAB/Dx7IAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDIuMi4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvIxREBQAAIABJREFUeJzt3XmcVNWd9/HPrwFBBWRVDAiNOlGhpbHtEBmcKBoJ7hOXaBC3mEGyaRaNPOIkxmfMYHxMjEnGhInbxBY1Q3TcUYOOW4QAgiiIEG2URWjZl6B083v+OLe6m6aqu5pab/f3/XrVq6pu3Vv31K3my6lzzz3H3B0REYmPkkIXQEREWkfBLSISMwpuEZGYUXCLiMSMgltEJGYU3CIiMaPgbsfMrIOZbTWzgdlcdy/K8W9mdm+23zcfzGyFmZ1Y6HJI+9Kx0AWQ9JnZ1kZP9wM+Aeqi51e6e1Vr3s/d64Cu2V5XRHJLwR0j7l4fnGZWDXzd3Z9Ptb6ZdXT32nyUTYqPvv+2S00lbUjU5PCQmU0zsy3AeDMbaWavm9lGM1ttZneYWado/Y5m5mZWGj2/P3r9aTPbYmZ/MbPBrV03ev1UM3vXzDaZ2a/M7FUzuyzNz/HPZvZ2VOaZZnZEo9euN7NVZrbZzN5JNFOY2XFmNi9avsbMbk3x3r3N7CkzqzGzDWb2uJn1b/T6K2b2EzN7Lfpcz5hZr0avX2Zmy83sYzOb1MLnOMvM5kfv84GZ/WuT178QfTebzOxDM7s4Wr6fmf0i2maTmb1kZp3N7IvRf9iN36O+qaa133+0zdFm9ryZrTezj8zsh2bW38y2m1mPRut9Pnpdlb0ioOBue74MPAAcADwE1AJXA32AUcBY4Mpmth8H/CvQC/gA+L+tXdfMDgQeBq6N9vs+MCKdwpvZUcD9wHeAvsDzwONm1snMhkZlr3D37sCp0X4BfgXcGi0/HPjvFLsoAf4TGAgMAnYCv0zyuS4FDgL2B74fle1o4NfR6/2BzwD9mvk4W4HxhO/iTOBqMzsjeq/BwJPAz4HewDHAwmi7XwDDgM8Tju31wK5m9tNY2t+/mR1AdHyBg4HPAi+6+0rgFeD8Ru87HpimGnxxUHC3Pa+4++Puvsvd/+7uf3X3We5e6+7vAVOBE5rZ/r/dfY677wSqgOF7se4ZwHx3/5/otV8AH6dZ/guBx9x9ZrTtFKA7IcRqgS7A0KgZ4P3oM0EI4H8ws97uvsXdZyV7c3evcfdHomOzGfgpex6Pu9x9qbtvB/7Y6HOdDzzq7q+6+yeEQLVUHyT6DG9F38UC4MFG+xoPPOPuD0ffzcfuPt/MOgCXAVe5+2p3r3P3V6JjkY7WfP9nAR+6+y/d/RN33+zus6PX7ovKSFTLvgD4Q5plkBxTcLc9HzZ+YmZHmtmT0c/czcBNhNpXKh81eryd5k9Iplr3M43L4WEksxVplD2x7fJG2+6Ktu3v7kuAHxA+w9qoSSBR470cGAIsMbPZZnZasjc3s/3N7PdRM8RmYCZ7Ho90P9dWYH2qDxI1U7wYNctsAr7eaF+HAH9LstlBwD4pXktHa77/Q4BlKd7nEaDcQi+isUCNu8/byzJJlim4256mwz3+DngLODxqRvgRzdQSs2Q1MCDxxMyM0LSQjlWEJozEtiXRe60EcPf73X0UMBjoAPx7tHyJu18IHAjcBkw3sy5J3v+H0bYjouNxUis/1yGNytaV0JSRyoPAdOAQdz8A+D0Nx/5D4LAk26wBPk3x2jZCb6LE/jsSmlkaa833n6oMRL82pgMXARej2nZRUXC3fd2ATcC2qP24ufbtbHkCqDCzM6NwuZrQXp2Oh4GzzOzE6CTatcAWYJaZHWVmo82sM/D36FYHYGYXm1mfqIa+iRBgydqFuxFq0RvMrDchyNL1R+DsqCbdGfg39gzKpvta7+47zOw4QjNQwv3AWDM718KJ3z5mVh51u7wXuN3M+lnoPz8qOhbvAN3M7EvR8x8DnWhec9//Y8BAM/u2me1jZt3NrPG5iP8CvgacHpVXioSCu+37AeFE2xZC7euhXO/Q3dcQ2kR/Dqwj1OreIPQ7b2nbtwnlvROoIfxMPytq4+0M/IzQXv4R0BO4Idr0NGBx1Jvi/wEXuPunSXbxc8KJu3XAa8DTrfhcbxL+E3qY8AvgI3ZvVmnqG8C/R2W6Ptou8V7vE05YXkdobpkHHB29/D1gMTA3eu2ngLn7BsJJ2/ui/a9vYf/QzPfv7puAU4BzgbXAu+ze3v8S4VfNLHdPt6lL8sA0kYLkWnTCbRVwnru/XOjySPrM7CXgbne/t9BlkQaqcUtOmNlYMzsgalL4V0KPkNktbCZFJGreKSM0EUkRUXBLrhwPvEdo1hgL/HPUhU5iwMyqgGeAq919W6HLI7tTU4mISMyoxi0iEjM5GXegT58+Xlpamou3FhFpk+bOnfuxu6fVbTat4I4GttlC6DNb6+6Vza1fWlrKnDlz0nlrEREBzGx5y2sFralxj3b3dMebEBGRHFEbt4hIzKQb3A48a2ZzzWxCshXMbIKZzTGzOTU1NdkroYiI7CbdppJR7r4qGmf5OTN7x91faryCu08lDBlJZWWl+hiKpGHnzp2sWLGCHTt2FLookiddunRhwIABdOrU0jAzqaUV3O6+Krpfa2aPEAbFf6n5rUSkJStWrKBbt26UlpYSBlGUtszdWbduHStWrGDw4MEtb5BCi00l0fjF3RKPgTGEYSKzqqoKSkuhpCTcV7Vq2luReNqxYwe9e/dWaLcTZkbv3r0z/oWVTo37IOCR6A+rI/CAuz+T0V6bqKqCCRNg+/bwfPny8BzgoouyuSeR4qPQbl+y8X23GNzRdEflGe+pGZMnN4R2wvbtYbmCW0Rkd0XRHfCDD1q3XESyY926dQwfPpzhw4fTr18/+vfvX//800+TDWe+p8svv5wlS5Y0u85vfvMbqrLU/nn88cczf/78rLxXXOXkkvfWGjgwNI8kWy4iDaqqwi/RDz4I/z5uvjmzX6W9e/euD8Ebb7yRrl27cs011+y2jrvj7pSUJK/n3XPPPS3u51vf+tbeF1L2UBQ17ptvhv32233ZfvuF5SISJM4FLV8O7g3ngnJxIn/ZsmWUlZUxceJEKioqWL16NRMmTKCyspKhQ4dy00031a+bqAHX1tbSo0cPJk2aRHl5OSNHjmTt2rUA3HDDDdx+++3160+aNIkRI0ZwxBFH8NprrwGwbds2zj33XMrLy/nqV79KZWVlizXr+++/n6OPPpqysjKuv/56AGpra7n44ovrl99xxx0A/OIXv2DIkCGUl5czfvz4rB+zfCqK4L7oIpg6FQYNArNwP3Wq2rdFGmvuXFAuLFq0iCuuuII33niD/v37M2XKFObMmcOCBQt47rnnWLRo0R7bbNq0iRNOOIEFCxYwcuRI7r777qTv7e7Mnj2bW2+9tf4/gV/96lf069ePBQsWMGnSJN54441my7dixQpuuOEGXnjhBd544w1effVVnnjiCebOncvHH3/MwoULeeutt7jkkksA+NnPfsb8+fNZsGABv/71rzM8OoVVFMENIaSrq2HXrnCv0BbZXb7PBR122GF87nOfq38+bdo0KioqqKioYPHixUmDe9999+XUU08F4Nhjj6W6ujrpe59zzjl7rPPKK69w4YVhPuXy8nKGDh3abPlmzZrFSSedRJ8+fejUqRPjxo3jpZde4vDDD2fJkiVcffXVzJgxgwMOOACAoUOHMn78eKqqqjK6+KUYFE1wi0jzUp3zydW5oP3337/+8dKlS/nlL3/JzJkzefPNNxk7dmzSvsj77LNP/eMOHTpQW1ub9L07d+68xzqtndQl1fq9e/fmzTff5Pjjj+eOO+7gyivDxPYzZsxg4sSJzJ49m8rKSurq6lq1v2Ki4BaJiUKeC9q8eTPdunWje/furF69mhkzZmR9H8cffzwPP/wwAAsXLkxao2/suOOO44UXXmDdunXU1tby4IMPcsIJJ1BTU4O7c/755/OTn/yEefPmUVdXx4oVKzjppJO49dZbqampYXvTdqcYKYpeJSLSskTzYTZ7laSroqKCIUOGUFZWxqGHHsqoUaOyvo/vfOc7XHLJJQwbNoyKigrKysrqmzmSGTBgADfddBMnnngi7s6ZZ57J6aefzrx587jiiitwd8yMW265hdraWsaNG8eWLVvYtWsX1113Hd26dcv6Z8iXnMw5WVlZ6ZpIQaRlixcv5qijjip0MYpCbW0ttbW1dOnShaVLlzJmzBiWLl1Kx45tr36Z7Hs3s7ktTVKT0PaOiIjE0tatWzn55JOpra3F3fnd737XJkM7G3RURKQo9OjRg7lz5xa6GLGgk5MiIjGj4BYRiRkFt4hIzCi4RURiRsEt0o7FcVhXgDVr1tCxY0fuuuuurL1nnKgft0gBFVM/7r0d1rUQ7rjjDv74xz/SuXNnnn/++Zztp7a2NiddEjPtx10834SIFI1iH9Z12rRp3H777bz33nt89NFH9cuffPJJKioqKC8vZ8yYMQBs2bKFSy+9lKOPPpphw4bx6KOP1pc14cEHH+TrX/86AOPHj+cHP/gBo0eP5vrrr+f1119n5MiRHHPMMYwaNYqlS5cCIdS/973vUVZWxrBhw/iP//gPZsyYwfnnn1//vk8//TRf+cpXMv4+mlI/bpFi8d3vQrZndhk+HKLAbK1FixZxzz338Nvf/haAKVOm0KtXL2praxk9ejTnnXceQ4YM2W2bxLCuU6ZM4fvf/z533303kyZN2uO9E8O6PvbYY9x0000888wz9cO6Tp8+nQULFlBRUZG0XNXV1WzYsIFjjz2W8847j4cffpirrrqKjz76iG984xu8/PLLDBo0iPXr1wPhl0Tfvn1ZuHAh7s7GjRtb/Ox/+9vf+POf/0xJSQmbNm3ilVdeoUOHDjzzzDPccMMNPPTQQ9x5552sWrWKBQsW0KFDB9avX0+PHj246qqrWLduHb179+aee+7h8ssvb+2hb5Fq3CKSVLEO6zpt2jQuuOACAC688EKmTZsGwF/+8hdGjx7NoEGDAOjVqxcAzz//fP0MPGZGz549W/zs559/fn3T0MaNGznnnHMoKyvjmmuu4e23365/34kTJ9KhQ4f6/ZWUlDBu3DgeeOAB1q9fz9y5c+tr/tmkGrdIsdjLmnGuJBvWdfbs2fTo0YPx48cXbFjXadOmsW7dOu677z4AVq1axfvvv18/qFRTyZaXlJTstr+mn6XxZ588eTJf+tKX+OY3v8myZcsYO3ZsyvcF+NrXvsa5554LwAUXXFAf7NmkGreItKhYhnVdtGgRdXV1rFy5kurqaqqrq7n22mt58MEHGTVqFDNnzmR5NIFtoqlkzJgx9TPeuDsbNmygpKSEnj17snTpUnbt2sUjjzySslybNm2if//+ANx77731y8eMGcOdd95ZP653Yn+HHHIIffr0YcqUKVx22WWZHZQUFNwi0qLGw7r+y7/8S86GdV25ciXDhg3jtttuSzqs6wMPPMCXv/zl3Zade+65PPDAAxx00EHceeednH322ZSXl3NRNN7tj3/8Y9asWUNZWRnDhw/n5ZdfBuCWW25h7NixnHzyyQwYMCBlua677jquvfbaPT7zlVdeSb9+/Rg2bBjl5eX1/+kAjBs3jsGDB/PZz342o2OSiroDihRQMXUHLLS2NKzrxIkTGTlyJJdeemnS1zWsq4i0CW1lWNfhw4fTs2fP+tnlcyF+R0VE2qS2Mqxrqr7n2aQ2bpECy0VzpRSvbHzfbSa4q6qgtBRKSsJ9FodFEMmZLl26sG7dOoV3O+HurFu3ji5dumT0Pm2iqaSqCiZMgMSkzcuXh+eQn4lURfbWgAEDWLFiBTU1NYUuiuRJly5dmu3Fko420auktDSEdVODBkGKC7dERIpKuxtk6oMPWrdcRCTO2kRwDxzYuuUiInGWdnCbWQcze8PMnshlgfbGzTfDfvvtvmy//cJyEZG2pjU17quBxbkqSCYuugimTg1t2mbhfupUnZgUkbYpreA2swHA6cDvc1ucvXfRReFE5K5d4V6hLSJtVbo17tuBHwK7Uq1gZhPMbI6ZzVHXJhGR3GkxuM3sDGCtuzd7Laq7T3X3Snev7Nu3b9YKKCIiu0unxj0KOMvMqoEHgZPM7P6clqoAdOWliMRFi8Ht7v/H3Qe4eylwITDT3cfnvGR5lLjycvlycG+48lLhLSLFqE30487U5MkNl8snbN8elouIFJtWjVXi7i8CL+akJAWkKy9FJE5U40ZXXopIvCi40ZWXIhIvCm505aWIxEubGI87Gy66SEEtIvGgGreISMwouEVEYkbBLSISMwpuEZGYUXBnicY6EZF8Ua+SLNAs8yKST6pxZ4HGOhGRfFJwZ0E2xjpRU4uIpEvBnQWZjnWiYWVFpDUU3FmQ6VgnamoRkdZQcGdBpmOdaFhZEWkN9SrJkkzGOhk4MDSPJFsuItKUatxFQMPKikhrKLiLgIaVFZHWUFNJkdCwsiKSLtW4RURiRsEtIhIzCm4RkZhRcIuIxIyCW0QkZhTcIiIxo+AWEYkZBXcboWFhRdoPXYDTBmgGHpH2RTXuNkDDwoq0LwruNkDDwoq0LwruNiDTGXhEJF4U3G2AhoUVaV9aDG4z62Jms81sgZm9bWY/yUfBJH0aFlakfUmnV8knwEnuvtXMOgGvmNnT7v56jssmraBhYUXajxaD290d2Bo97RTdPJeFEhGR1NJq4zazDmY2H1gLPOfus5KsM8HM5pjZnJqammyXU0REImkFt7vXuftwYAAwwszKkqwz1d0r3b2yb9++2S6niIhEWtWrxN03Ai8CY3NSGhERaVE6vUr6mlmP6PG+wBeBd3JdMBERSS6dXiUHA/eZWQdC0D/s7k/ktlgiIpJKizVud3/T3Y9x92HuXubuN+WjYJJfGl1QJD40OqBodEGRmNEl76LRBUViRsEtGl1QJGYU3KLRBUViRsEtGl1QJGYU3KLRBUViRr1KBNDogiJxohq3iEjMKLhFRGJGwS0iEjMKbhGRmFFwi4jEjIJbRCRmFNwiIjGj4BYRiRkFt4hIzCi4RURiRsEtWaEZdETyR2OVSMY0g45IfqnGLRnTDDoi+aXgloxpBh2R/FJwS8Y0g45Ifim4JWOaQUckvxTckjHNoCOSX+pVIlmhGXRE8kc1bhGRmFFwS1HQBTwi6VNTiRScLuARaR3VuKXgdAGPSOsouKXgdAGPSOsouKXgdAGPSOsouKXgdAGPSOu0GNxmdoiZvWBmi83sbTO7Oh8Fk/ZDF/CItE46vUpqgR+4+zwz6wbMNbPn3H1Rjssm7Ygu4BFJX4s1bndf7e7zosdbgMVA/1wXTEREkmtVG7eZlQLHALOSvDbBzOaY2ZyamprslE5ERPaQdnCbWVdgOvBdd9/c9HV3n+rule5e2bdv32yWUUREGkkruM2sEyG0q9z9T7ktkoiINCedXiUG3AUsdvef575IIiLSnHRq3KOAi4GTzGx+dDstx+USEZEUWuwO6O6vAJaHsoiISBp05aSISMwouEVEYkbBLW2CJmKQ9kQTKUjsaSIGaW9U45bY00QM0t4ouCX2NBGDtDcKbok9TcQg7Y2CW2JPEzFIe6PgltjTRAzS3qhXibQJmohB2hPVuEVEYkbBLSISMwpuEZGYUXCLiMSMgltEJGYU3CIiMaPgFhGJGQW3iEjMKLhFRGJGwS0iEjMKbhE0g47Ei8YqkXZPM+hI3KjGLe2eZtCRuFFwS7unGXQkbhTc0u5pBh2JGwW3tHuaQUfiRsEt7Z5m0JG4Ua8SETSDjsSLatwiIjGj4BYRiRkFt4hIzCi4RURipsXgNrO7zWytmb2VjwKJxJHGOpF8SqfGfS8wNsflEImtxFgny5eDe8NYJwpvyZUWg9vdXwLW56EsIrGksU4k37LWxm1mE8xsjpnNqampydbbihQ9jXXSRrjDrl2FLkVasnYBjrtPBaYCVFZWerbeV6TYDRwYmkeSLZcm3GHrVli7tuFWU9Pw+JNPoFu35m/duzc87ty5+f18/HG4rVuX3uNPP4UOHcL77rNP8vvmXuvdG267LeeHUVdOimTo5pt3H88bimCsk7o62LgRNmyA9et3v29u2c6dofD779/8LdU6dXWpQznxfMeO5GXu1g26dIEtW1Kv01SnTruHOjSE8c6dybcpKYFevaBPnxC0hx4KI0aEx/vtF8L700/DfyLN3W/duufynj1b/13tBQW3SIYSl8pPnhyaRwYODKGdl0voN2+G11+HV1+F116DZctCCG/a1Px2++8fQqZXr3B/+OHhvlMn2LZt99vGjXsuSxWKjXXuDAce2HArKwv3ffvuvjyxrEuXhm137gzBuGVLuG3e3PC4uZs7fP7zIZQTwdz0cY8eIbxjzNybb9Uws2nAiUAfYA3wY3e/q7ltKisrfc6cOdkqo4hAQ5eVV19tuC1cGJaXlMCwYTB0aAioxqHc9L5nz/DTPhM7d+4Z5tu2hWaGRBh37RpG7ZK0mNlcd69MZ90Wa9zu/tXMiyQizamqSlJj/8pOmD8/1KQTQb1qVdiga1c47jj40Y9g1KhQy+zePX8F7tQp1Fx79MjfPqWemkpECizRD7zz9vV8iVmMWv4qAy55ldorZtPxk6jhfOBAOOGEENKjRsHRR4farbRLCm6RQlizBubNg3nz6PXTeby1fR6DqQaglg7M3zWcP+zzdS6/7x9DUA8YUNjySlFRcIvkkjusXFkf0vW3lSvrVzmcw5nNCH7LRP7K55jNCLbRFdsKl19QwLJL0VJwi2SLO1RXN4Tz3LnhPnFBWkkJHHkkjB4NFRXhNnw4p5QfoH7g0ioKbpHWqqsLAb1oESxe3HC/eHHokgbQsWPo4XHGGQ0hXV4euuE1UZT9wKWoKbhFUvn0U1i6dPdwXrQIliwJF1skHHwwHHUUXHpp6Kt87LHhvnG/5GYUtB+4xJKCWwTCRSsvvBCaNxIhvWxZqF1D6I9cWhoCesyYcJ+4ZaFLnOa8lNZQcEv7tHMnzJoFzz0Hzz4Ls2eHAYY6dgxXEQ4dCuedB0OGhHA+4ojQfiFSBBTc0j64hxr0s8+GsJ45M7RHl5SEcSpuuAFOOSU8zvSqQpEcU3BL27V+fQjoRFhXV4flgwfDuHGhyWP06LwNDJRLSa+8VNNLm6XglrZj584w4FIiqP/619D80b07nHQS/PCHIawPO6zQJc2qxJWXiV4piRl4QOHdVrU4yNTe0CBTkjd//3sI6unT4fHHw0h2JSVh7I4xY8JtxIjQdt1GlZYmHw980KCGHxlS/LI6yJRI0dm6FZ56KoT1k0+GUel69oSzz4azzgq163Y0+JFm4Gl/FNwSDxs2hBr19OkwY0boR33ggaEt4NxzQ1t1p06FLmVBaAae9kfBLcVr7Vp49FH405/gz3+G2tow2NKVV4awHjVKI+ShKy/bIwW3FJeVK0NQT58OL78cTi4eeih873shrD/3udjPXpJtuvKy/dHJScmv2toQzh98EH7fJ+4Tt3feCesNGRKC+pxzwhgfmkmlqKk7YuZ0clIKZ+vW3QO56f3KlaEW3VifPqELxFFHwfjxIbCPPLIw5W+nMgledUfMP9W4JTM7dsCLL8ITT4QeHk37n3XsGNqlBw0KidD0fuBAXUpeYE2DF8JXMnVqesGr7ojZ0Zoat4JbWm/16tAd74knwoUu27aFf+mnnAIjR+4ezv366QRikcs0eEtKwogCTZnt+eNKUlNTiWSXO7zxRgjqxx+HxH/KAwfCZZeFMadPPDHtYUyluGTaD1zdEfNPp+clue3bQ0hPmBCaOo49Fm68MfSV/ulP4c03Q3Xs17+GsWMV2jGWKmDTDd6bb96ztSvf3RGrqsIvh5KScF9Vlb99F4Jq3BJs3x6C+H//N9SsZ84M7dfduoVgPuMMOPVU6Nu30CWVLMu0H3ihuyO2x5OjauNuD9zDvIepenp88AF8/HHD+ocdBmeeGcL6n/5Jw5y2A4XuzpfJ/ovh5Gg2jp9OTrY3W7bAqlWhq92HHyYP5h07dt9m//3DX3bTXh7HHhsmDVC/acmTTHu1FPrkaKblT1BwtxU7doQeHCtXhmBufGu8bOvWPbc96KDUXfAGDQqDMimcpQhkWmPORo27GGr86lUSF+6hhtx4lvDlyxsCef36Pbfp3Bk+85lwKy8P7c79+4fnBx8MhxwSbjpZKDGRaa+WTNvoM20jL8TojArufKithffe2z2gFy0Kl3dv29awXu/eYVyOww6DL3yhIaATt/79VVOWNifT7oSZnhydPHn30IfwfPLk9N6jEN0h1VSSTTt2wLvvNgRzIqTffRc+/bRhvQEDGmYIT0xGe9RR6rEh7VK22oj3VqZt5IVo41aNe2/s3AlLl8Jbb8HbbzfcL13a8E2bhdrzkCFw2mkNIX3kkWEqLREBCt+dsNA1/r2hGndz6urg/fdDMDcO6SVLQnhD+O/68MNh6NCG21FHwWc/C/vuW9jyi0iLCl3jT2jbNe5En+Tq6hCq77/f8Hj58tCevO++4eTcvvs23Bo/T/Valy6wYkVDSC9atHs3utJSKCuD008P90OHhhq0Aloktgpd498badW4zWws8EugA/B7d5/S3PoZ17g3btwzlBOPq6t3P6EH4aTe4MEhWPfZJ4Tt3/8ebo0fN31eV5d8//37h1BOhHNZWWjm6Np17z+TiEgzslrjNrMOwG+AU4AVwF/N7DF3X5RZMZuoqwuzcb/3Xgjuxrp3D8F8+OFhBLpESCfuu3Xbu33W1u4Z6AceGHpuiIgUqXSaSkYAy9z9PQAzexA4G8hucHfoEGq1xx0XAjlxKy3NXRe4jh1D6O9t8IuIFEA6wd0f+LDR8xXA55uuZGYTgAkAA/e2A+Mf/rB324mItCPpDOuarKq7R8O4u09190p3r+yr/sgiIjmTTnCvAA5p9HwAsCo3xRERkZakE9x/Bf7BzAab2T7AhcBjuS2WiIik0mIbt7vXmtm3gRmE7oB3u/vbOS+ZiIgkldYFOO7+FPBUjssiIiJp0JyTIiIxo+AWEYkZBbeISMzkZHRAM6sBkgyUmJY+wMctrlU4Kl9mVL7MqHyDCSDbAAAE6klEQVSZKebyDXL3tC6CyUlwZ8LM5qQ70EohqHyZUfkyo/JlptjLly41lYiIxIyCW0QkZooxuKcWugAtUPkyo/JlRuXLTLGXLy1F18YtIiLNK8Yat4iINEPBLSISMwULbjMba2ZLzGyZmU1K8npnM3soen2WmZXmsWyHmNkLZrbYzN42s6uTrHOimW0ys/nR7Uf5Kl+0/2ozWxjte48JPi24Izp+b5pZRR7LdkSj4zLfzDab2XebrJPX42dmd5vZWjN7q9GyXmb2nJktje6TzllnZpdG6yw1s0vzWL5bzeyd6Pt7xMx6pNi22b+FHJbvRjNb2eg7PC3Fts3+W89h+R5qVLZqM5ufYtucH7+sc/e83wijDP4NOBTYB1gADGmyzjeB30aPLwQeymP5DgYqosfdgHeTlO9E4IlCHL9o/9VAn2ZePw14mjARxnHArAJ+1x8RLi4o2PEDvgBUAG81WvYzYFL0eBJwS5LtegHvRfc9o8c981S+MUDH6PEtycqXzt9CDst3I3BNGt9/s//Wc1W+Jq/fBvyoUMcv27dC1bjr57F090+BxDyWjZ0N3Bc9/m/gZLNcTDy5J3df7e7zosdbgMWEKdzi5Gzgvzx4HehhZgcXoBwnA39z9729kjYr3P0lYH2TxY3/xu4D/jnJpl8CnnP39e6+AXgOGJuP8rn7s+5eGz19nTCJSUGkOH7pSOffesaaK1+UG18BpmV7v4VSqOBONo9l02CsXyf6490E9M5L6RqJmmiOAWYleXmkmS0ws6fNbGheCxamj3vWzOZG8302lc4xzocLSf0PppDHD+Agd18N4T9r4MAk6xTLcfwa4RdUMi39LeTSt6OmnLtTNDUVw/H7J2CNuy9N8Xohj99eKVRwpzOPZVpzXeaSmXUFpgPfdffNTV6eR/j5Xw78Cng0n2UDRrl7BXAq8C0z+0KT14vh+O0DnAX8McnLhT5+6SqG4zgZqAWqUqzS0t9CrtwJHAYMB1YTmiOaKvjxA75K87XtQh2/vVao4E5nHsv6dcysI3AAe/dTba+YWSdCaFe5+5+avu7um919a/T4KaCTmfXJV/ncfVV0vxZ4hPCTtLFimCv0VGCeu69p+kKhj19kTaL5KLpfm2Sdgh7H6GToGcBFHjXINpXG30JOuPsad69z913Af6bYb6GPX0fgHOChVOsU6vhlolDBnc48lo8BiTP45wEzU/3hZlvUJnYXsNjdf55inX6JNnczG0E4luvyVL79zaxb4jHhJNZbTVZ7DLgk6l1yHLAp0SyQRylrOoU8fo00/hu7FPifJOvMAMaYWc+oKWBMtCznzGwscB1wlrtvT7FOOn8LuSpf43MmX06x30LPWftF4B13X5HsxUIev4wU6qwoodfDu4QzzpOjZTcR/kgBuhB+Yi8DZgOH5rFsxxN+zr0JzI9upwETgYnROt8G3iacJX8d+Mc8lu/QaL8LojIkjl/j8hnwm+j4LgQq8/z97kcI4gMaLSvY8SP8B7Ia2EmoBV5BOGfyZ2BpdN8rWrcS+H2jbb8W/R0uAy7PY/mWEdqHE3+DiV5WnwGeau5vIU/l+0P0t/UmIYwPblq+6Pke/9bzUb5o+b2Jv7lG6+b9+GX7pkveRURiRldOiojEjIJbRCRmFNwiIjGj4BYRiRkFt4hIzCi4RURiRsEtIhIz/x8u1SKjN7JCHAAAAABJRU5ErkJggg==\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 5\n", "Creating Model\n", "Epoch 1/20\n", "376/376 [==============================] - 30s 80ms/step - loss: 4.7502 - acc: 0.0372\n", "Epoch 2/20\n", "376/376 [==============================] - 26s 69ms/step - loss: 4.2348 - acc: 0.0612\n", "Epoch 3/20\n", "376/376 [==============================] - 27s 71ms/step - loss: 4.0079 - acc: 0.0824\n", "Epoch 4/20\n", "376/376 [==============================] - 26s 68ms/step - loss: 3.7133 - acc: 0.1117\n", "Epoch 5/20\n", "376/376 [==============================] - 28s 73ms/step - loss: 3.4057 - acc: 0.1809\n", "Epoch 6/20\n", "376/376 [==============================] - 27s 72ms/step - loss: 3.1145 - acc: 0.2101\n", "Epoch 7/20\n", "376/376 [==============================] - 26s 68ms/step - loss: 2.8491 - acc: 0.3165\n", "Epoch 8/20\n", "376/376 [==============================] - 27s 71ms/step - loss: 2.4942 - acc: 0.3697\n", "Epoch 9/20\n", "376/376 [==============================] - 27s 72ms/step - loss: 2.0101 - acc: 0.4867\n", "Epoch 10/20\n", "376/376 [==============================] - 26s 70ms/step - loss: 1.6160 - acc: 0.6250\n", "Epoch 11/20\n", "376/376 [==============================] - 24s 65ms/step - loss: 1.3228 - acc: 0.6941\n", "Epoch 12/20\n", "376/376 [==============================] - 24s 63ms/step - loss: 0.9247 - acc: 0.8351\n", "Epoch 13/20\n", "376/376 [==============================] - 24s 65ms/step - loss: 0.6426 - acc: 0.8670\n", "Epoch 14/20\n", "376/376 [==============================] - 28s 75ms/step - loss: 0.4437 - acc: 0.9309\n", "Epoch 15/20\n", "376/376 [==============================] - 29s 76ms/step - loss: 0.3642 - acc: 0.9441\n", "Epoch 16/20\n", "376/376 [==============================] - 29s 78ms/step - loss: 0.3248 - acc: 0.9362\n", "Epoch 17/20\n", "376/376 [==============================] - 28s 75ms/step - loss: 0.2544 - acc: 0.9495\n", "Epoch 18/20\n", "376/376 [==============================] - 28s 74ms/step - loss: 0.1984 - acc: 0.9628\n", "Epoch 19/20\n", "376/376 [==============================] - 28s 76ms/step - loss: 0.2735 - acc: 0.9388\n", "Epoch 20/20\n", "376/376 [==============================] - 31s 82ms/step - loss: 0.2378 - acc: 0.9574\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.03723404255319149, 0.06117021292448044, 0.08244680858989979, 0.11170212765957446, 0.18085106351274124, 0.2101063831372464, 0.31648936138508166, 0.36968085169792175, 0.4867021270254825, 0.6250000012681839, 0.6941489374383967, 0.8351063842469073, 0.8670212778639286, 0.9308510650979712, 0.9441489374383967, 0.9361702114977735, 0.949468083838199, 0.9627659561786246, 0.9388297872340425, 0.9574468072424543], 'loss': [4.750196132254093, 4.2347583922934025, 4.007927965610586, 3.7133123367390732, 3.405741980735292, 3.114452159151118, 2.8491382751059025, 2.4941955170732864, 2.0101340070683906, 1.6160036629818855, 1.3228023331216041, 0.9246597924131028, 0.6425992719670559, 0.44368606171709424, 0.36423538783763315, 0.3247838901712539, 0.25440096221071606, 0.19839995877539857, 0.2734588150014269, 0.2377648562827009]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 6\n", "Creating Model\n", "Epoch 1/20\n", "452/452 [==============================] - 32s 71ms/step - loss: 4.7349 - acc: 0.0310\n", "Epoch 2/20\n", "452/452 [==============================] - 37s 82ms/step - loss: 4.1802 - acc: 0.1018\n", "Epoch 3/20\n", "452/452 [==============================] - 38s 84ms/step - loss: 3.9415 - acc: 0.1018\n", "Epoch 4/20\n", "452/452 [==============================] - 33s 74ms/step - loss: 3.7645 - acc: 0.1261\n", "Epoch 5/20\n", "452/452 [==============================] - 33s 73ms/step - loss: 3.4011 - acc: 0.1770\n", "Epoch 6/20\n", "452/452 [==============================] - 36s 79ms/step - loss: 3.1756 - acc: 0.2301\n", "Epoch 7/20\n", "452/452 [==============================] - 34s 75ms/step - loss: 2.9732 - acc: 0.2810\n", "Epoch 8/20\n", "452/452 [==============================] - 33s 73ms/step - loss: 2.5793 - acc: 0.3385\n", "Epoch 9/20\n", "452/452 [==============================] - 33s 74ms/step - loss: 2.2497 - acc: 0.4159\n", "Epoch 10/20\n", "452/452 [==============================] - 32s 70ms/step - loss: 1.8129 - acc: 0.5664\n", "Epoch 11/20\n", "452/452 [==============================] - 31s 69ms/step - loss: 1.5457 - acc: 0.6195\n", "Epoch 12/20\n", "452/452 [==============================] - 30s 67ms/step - loss: 1.0610 - acc: 0.7389\n", "Epoch 13/20\n", "452/452 [==============================] - 34s 75ms/step - loss: 0.8189 - acc: 0.8385\n", "Epoch 14/20\n", "452/452 [==============================] - 34s 75ms/step - loss: 0.5899 - acc: 0.8916\n", "Epoch 15/20\n", "452/452 [==============================] - 33s 74ms/step - loss: 0.4564 - acc: 0.9093\n", "Epoch 16/20\n", "452/452 [==============================] - 31s 68ms/step - loss: 0.4534 - acc: 0.9204\n", "Epoch 17/20\n", "452/452 [==============================] - 33s 73ms/step - loss: 0.2178 - acc: 0.9624\n", "Epoch 18/20\n", "452/452 [==============================] - 31s 69ms/step - loss: 0.2540 - acc: 0.9558\n", "Epoch 19/20\n", "452/452 [==============================] - 31s 68ms/step - loss: 0.2355 - acc: 0.9447\n", "Epoch 20/20\n", "452/452 [==============================] - 31s 69ms/step - loss: 0.3798 - acc: 0.9137\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.030973451327433628, 0.10176991150442478, 0.10176991150442478, 0.1261061946902655, 0.17699115044247787, 0.23008849557522124, 0.2809734513274336, 0.33849557522123896, 0.415929203539823, 0.5663716814159292, 0.6194690265486725, 0.7389380530973452, 0.838495575221239, 0.8915929203539823, 0.9092920353982301, 0.9203539823008849, 0.9623893805309734, 0.9557522123893806, 0.9446902654867256, 0.9137168141592921], 'loss': [4.734865551501249, 4.180207936109695, 3.9415339706218346, 3.764456010497777, 3.4010907401025823, 3.175603206178783, 2.9731988527078546, 2.5792592331371478, 2.249683000345146, 1.8128639027080704, 1.5456597942166623, 1.060966565281944, 0.8189177223011456, 0.5898554177410835, 0.45644559374952737, 0.4534411278709901, 0.21776356221695917, 0.254036185356368, 0.23553280387304526, 0.3798139284208285]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 7\n", "Creating Model\n", "Epoch 1/20\n", "528/528 [==============================] - 38s 72ms/step - loss: 4.6296 - acc: 0.0511\n", "Epoch 2/20\n", "528/528 [==============================] - 34s 64ms/step - loss: 4.1529 - acc: 0.0833\n", "Epoch 3/20\n", "528/528 [==============================] - 35s 66ms/step - loss: 3.9034 - acc: 0.1004\n", "Epoch 4/20\n", "528/528 [==============================] - 40s 75ms/step - loss: 3.6703 - acc: 0.1420\n", "Epoch 5/20\n", "528/528 [==============================] - 36s 67ms/step - loss: 3.4374 - acc: 0.1780\n", "Epoch 6/20\n", "528/528 [==============================] - 36s 69ms/step - loss: 3.1857 - acc: 0.2216\n", "Epoch 7/20\n", "528/528 [==============================] - 36s 69ms/step - loss: 2.8405 - acc: 0.2898\n", "Epoch 8/20\n", "528/528 [==============================] - 33s 63ms/step - loss: 2.5054 - acc: 0.3390\n", "Epoch 9/20\n", "528/528 [==============================] - 33s 62ms/step - loss: 2.0530 - acc: 0.4773\n", "Epoch 10/20\n", "528/528 [==============================] - 35s 66ms/step - loss: 1.6944 - acc: 0.5833\n", "Epoch 11/20\n", "528/528 [==============================] - 36s 68ms/step - loss: 1.3048 - acc: 0.6894\n", "Epoch 12/20\n", "528/528 [==============================] - 33s 63ms/step - loss: 0.8746 - acc: 0.8239\n", "Epoch 13/20\n", "528/528 [==============================] - 33s 63ms/step - loss: 0.6294 - acc: 0.8883\n", "Epoch 14/20\n", "528/528 [==============================] - 36s 69ms/step - loss: 0.4399 - acc: 0.9223\n", "Epoch 15/20\n", "528/528 [==============================] - 34s 65ms/step - loss: 0.3303 - acc: 0.9318\n", "Epoch 16/20\n", "528/528 [==============================] - 33s 62ms/step - loss: 0.2761 - acc: 0.9394\n", "Epoch 17/20\n", "528/528 [==============================] - 36s 68ms/step - loss: 0.2336 - acc: 0.9659\n", "Epoch 18/20\n", "528/528 [==============================] - 39s 74ms/step - loss: 0.1942 - acc: 0.9678\n", "Epoch 19/20\n", "528/528 [==============================] - 36s 68ms/step - loss: 0.1349 - acc: 0.9773\n", "Epoch 20/20\n", "528/528 [==============================] - 38s 72ms/step - loss: 0.1727 - acc: 0.9697\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.05113636363636364, 0.08333333333333333, 0.10037878787878787, 0.14204545454545456, 0.17803030303030304, 0.2215909090909091, 0.2897727272727273, 0.3390151515151515, 0.4772727272727273, 0.5833333333333334, 0.6893939393939394, 0.8238636363636364, 0.8882575757575758, 0.9223484848484849, 0.9318181818181818, 0.9393939393939394, 0.9659090909090909, 0.9678030303030303, 0.9772727272727273, 0.9696969696969697], 'loss': [4.629555731108694, 4.1528933987473, 3.9033913612365723, 3.6703255393288354, 3.437427766395338, 3.1856574578718706, 2.840506105711966, 2.505383693810665, 2.052970720059944, 1.694417209336252, 1.3048207254120798, 0.87464511755741, 0.6293881571654117, 0.4398622955336715, 0.3303227018226277, 0.2761244082992727, 0.23363817009058865, 0.19419398587761502, 0.13493488345182303, 0.17268287842020844]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 8\n", "Creating Model\n", "Epoch 1/20\n", "603/603 [==============================] - 43s 71ms/step - loss: 4.6045 - acc: 0.0448\n", "Epoch 2/20\n", "603/603 [==============================] - 40s 66ms/step - loss: 4.1237 - acc: 0.0697\n", "Epoch 3/20\n", "603/603 [==============================] - 42s 70ms/step - loss: 3.9144 - acc: 0.0879\n", "Epoch 4/20\n", "603/603 [==============================] - 42s 69ms/step - loss: 3.7059 - acc: 0.1343\n", "Epoch 5/20\n", "603/603 [==============================] - 41s 68ms/step - loss: 3.4883 - acc: 0.1675\n", "Epoch 6/20\n", "603/603 [==============================] - 43s 71ms/step - loss: 3.2081 - acc: 0.2338\n", "Epoch 7/20\n", "603/603 [==============================] - 43s 71ms/step - loss: 2.8525 - acc: 0.2819\n", "Epoch 8/20\n", "603/603 [==============================] - 41s 69ms/step - loss: 2.5183 - acc: 0.3665\n", "Epoch 9/20\n", "603/603 [==============================] - 45s 74ms/step - loss: 2.0650 - acc: 0.4643\n", "Epoch 10/20\n", "603/603 [==============================] - 42s 70ms/step - loss: 1.6286 - acc: 0.5871\n", "Epoch 11/20\n", "603/603 [==============================] - 42s 69ms/step - loss: 1.2159 - acc: 0.7297\n", "Epoch 12/20\n", "603/603 [==============================] - 44s 73ms/step - loss: 0.8327 - acc: 0.8375\n", "Epoch 13/20\n", "603/603 [==============================] - 38s 63ms/step - loss: 0.5930 - acc: 0.9038\n", "Epoch 14/20\n", "603/603 [==============================] - 40s 66ms/step - loss: 0.4400 - acc: 0.9071\n", "Epoch 15/20\n", "603/603 [==============================] - 45s 75ms/step - loss: 0.3864 - acc: 0.9221\n", "Epoch 16/20\n", "603/603 [==============================] - 40s 67ms/step - loss: 0.2167 - acc: 0.9619\n", "Epoch 17/20\n", "603/603 [==============================] - 41s 68ms/step - loss: 0.2516 - acc: 0.9420\n", "Epoch 18/20\n", "603/603 [==============================] - 43s 71ms/step - loss: 0.2185 - acc: 0.9619\n", "Epoch 19/20\n", "603/603 [==============================] - 41s 68ms/step - loss: 0.1302 - acc: 0.9768\n", "Epoch 20/20\n", "603/603 [==============================] - 42s 70ms/step - loss: 0.1733 - acc: 0.9685\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.044776119440052636, 0.06965174131824405, 0.08789386405033456, 0.13432835828309037, 0.16749585379118942, 0.2338308458205677, 0.28192371451241854, 0.36650082968163056, 0.4643449411661075, 0.5870646771111496, 0.7296849086905396, 0.8374792709081722, 0.9038142613312894, 0.9071310122017046, 0.9220563860279608, 0.9618573790758996, 0.9419568832438581, 0.9618573807562959, 0.9767827538906243, 0.9684908799271086], 'loss': [4.604499075938615, 4.123690900122546, 3.91436969897838, 3.705934292048364, 3.488275130589803, 3.2081445813376708, 2.852453622849624, 2.5183466062023867, 2.065009862233948, 1.6285543817390455, 1.2159198797361965, 0.8326763786486725, 0.5930271063673358, 0.4400432771118126, 0.38636066877031405, 0.21673515275936223, 0.2516340226379793, 0.21846123531485473, 0.1301788781610492, 0.1732571558226796]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 9\n", "Creating Model\n", "Epoch 1/20\n", "679/679 [==============================] - 52s 76ms/step - loss: 4.5677 - acc: 0.0353\n", "Epoch 2/20\n", "679/679 [==============================] - 44s 65ms/step - loss: 4.0835 - acc: 0.0751\n", "Epoch 3/20\n", "679/679 [==============================] - 49s 72ms/step - loss: 3.8820 - acc: 0.1119\n", "Epoch 4/20\n", "679/679 [==============================] - 47s 69ms/step - loss: 3.6481 - acc: 0.1517\n", "Epoch 5/20\n", "679/679 [==============================] - 48s 70ms/step - loss: 3.4386 - acc: 0.1767\n", "Epoch 6/20\n", "679/679 [==============================] - 48s 71ms/step - loss: 3.1435 - acc: 0.2209\n", "Epoch 7/20\n", "679/679 [==============================] - 46s 68ms/step - loss: 2.8589 - acc: 0.2784\n", "Epoch 8/20\n", "679/679 [==============================] - 51s 75ms/step - loss: 2.4877 - acc: 0.3638\n", "Epoch 9/20\n", "679/679 [==============================] - 46s 68ms/step - loss: 2.1346 - acc: 0.4639\n", "Epoch 10/20\n", "679/679 [==============================] - 47s 70ms/step - loss: 1.7418 - acc: 0.5493\n", "Epoch 11/20\n", "679/679 [==============================] - 47s 69ms/step - loss: 1.3584 - acc: 0.6745\n", "Epoch 12/20\n", "679/679 [==============================] - 43s 63ms/step - loss: 0.9600 - acc: 0.7732\n", "Epoch 13/20\n", "679/679 [==============================] - 48s 71ms/step - loss: 0.7250 - acc: 0.8365\n", "Epoch 14/20\n", "679/679 [==============================] - 49s 72ms/step - loss: 0.4958 - acc: 0.9072\n", "Epoch 15/20\n", "679/679 [==============================] - 61s 90ms/step - loss: 0.4065 - acc: 0.9205\n", "Epoch 16/20\n", "679/679 [==============================] - 48s 70ms/step - loss: 0.2716 - acc: 0.9543\n", "Epoch 17/20\n", "679/679 [==============================] - 49s 72ms/step - loss: 0.2962 - acc: 0.9558\n", "Epoch 18/20\n", "679/679 [==============================] - 51s 74ms/step - loss: 0.1789 - acc: 0.9676\n", "Epoch 19/20\n", "679/679 [==============================] - 45s 66ms/step - loss: 0.1927 - acc: 0.9661\n", "Epoch 20/20\n", "679/679 [==============================] - 50s 74ms/step - loss: 0.1045 - acc: 0.9867\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.035346097201767304, 0.07511045655375552, 0.11192930787143371, 0.15169366722342192, 0.17673048607467376, 0.2209131075768829, 0.27835051550780904, 0.363770250499863, 0.4639175258170874, 0.5493372608091414, 0.674521355197075, 0.7731958763764428, 0.8365243007051751, 0.9072164949331438, 0.9204712813838065, 0.9543446245355002, 0.9558173784977909, 0.96759941089838, 0.9661266569360893, 0.9867452135493373], 'loss': [4.567715251568666, 4.083519287418371, 3.8819729073936, 3.648139042425928, 3.438588172943497, 3.1434893084906688, 2.8588713918413435, 2.48766548749449, 2.134560451942972, 1.7417725835527693, 1.3583678848086822, 0.9600451338449177, 0.7249828846535381, 0.495802026521826, 0.40651958320558684, 0.27161019118790775, 0.2962282588362518, 0.17885387843592704, 0.19266988216338346, 0.1044931950511216]}\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAW4AAAEICAYAAAB/Dx7IAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDIuMi4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvIxREBQAAIABJREFUeJzt3XmcFNW99/HPbwAZUGRXlF2NRhwWx4kR4XFBRdSoUTQaRI0xQbKpcYlcNTeJz/VejE/iksWEG7cbkcV49Ro3xKhX0SiyDTsBDcZh32SRgA78nj9O9Wz0zPQwvdXM9/161auXquo6Xd3zndOnqs4xd0dEROKjINcFEBGRhlFwi4jEjIJbRCRmFNwiIjGj4BYRiRkFt4hIzCi4mzEza2FmO8ysVzqX3Y9y/JuZPZbu180GMyszs9NyXQ5pXlrmugCSOjPbUeVhW2A3sCd6fJ27T2zI67n7HuCgdC8rIpml4I4Rd68ITjNbCXzL3V+tbXkza+nu5dkom+Qfff5Nl5pKmpCoyWGKmU0ys+3AaDMbbGbvmtknZrbGzB40s1bR8i3NzM2sT/T4iWj+S2a23cz+amZ9G7psNP8cM/ubmW01s1+Z2dtm9o0U38dXzWxRVObXzOyYKvNuN7PVZrbNzJYmminM7CQzmxM9v87M7q3ltTub2YtmtsHMtpjZn82se5X5M8zsZ2b2TvS+XjazTlXmf8PMPjKzjWY2rp73cYGZzYte5x9m9uMa80+JPputZvaxmV0ZPd/WzO6L1tlqZm+aWWszOzP6h131NSqaahr6+Ufr9DezV81ss5mtNbMfmVl3M9tpZh2qLPflaL4qe3lAwd30XAQ8CbQHpgDlwA1AF2AIMAK4ro71RwE/BjoB/wD+b0OXNbNDgKnArdF2/w6cmErhzexY4AngB0BX4FXgz2bWysyOi8pe7O4HA+dE2wX4FXBv9PxRwJ9q2UQB8J9AL6A38DnwQJL3dTVwKHAgcFNUtv7Ar6P53YHDgW51vJ0dwGjCZ3E+cIOZfSV6rb7AC8Avgc7A8cCCaL37gAHAlwn79nZgbx3bqSrlz9/M2hPtX+Aw4GjgDXdfBcwALq3yuqOBSarB5wcFd9Mzw93/7O573f2f7v6+u7/n7uXu/iEwATi1jvX/5O6z3P1zYCIwaD+W/Qowz93/J5p3H7AxxfJfDjzn7q9F644HDiaEWDlQCBwXNQP8PXpPEAL4C2bW2d23u/t7yV7c3Te4+zPRvtkG/Dv77o+H3X25u+8Enqryvi4FnnX3t919NyFQrbY3Er2HhdFnUQpMrrKt0cDL7j41+mw2uvs8M2sBfAO43t3XuPsed58R7YtUNOTzvwD42N0fcPfd7r7N3WdG8x6PykhUy74M+GOKZZAMU3A3PR9XfWBmXzSzF6KfuduAuwi1r9qsrXJ/J3UfkKxt2cOrlsNDT2ZlKZQ9se5HVdbdG63b3d2XATcT3sP6qEkgUeO9BugHLDOzmWZ2brIXN7MDzewPUTPENuA19t0fqb6vHcDm2t5I1EzxRtQssxX4VpVt9QQ+SLLaocABtcxLRUM+/57Ailpe5xlgoIWziEYAG9x9zn6WSdJMwd301Ozu8ffAQuCoqBnhX6mjlpgma4AeiQdmZoSmhVSsJjRhJNYtiF5rFYC7P+HuQ4C+QAvgP6Lnl7n75cAhwC+Ap82sMMnr/yha98Rofwxr4PvqWaVsBxGaMmozGXga6Onu7YE/ULnvPwaOTLLOOuCzWuZ9SjibKLH9loRmlqoa8vnXVgaiXxtPA1cAV6Ladl5RcDd97YCtwKdR+3Fd7dvp8jxQbGbnR+FyA6G9OhVTgQvM7LToINqtwHbgPTM71sxON7PWwD+jaQ+AmV1pZl2iGvpWQoAlaxduR6hFbzGzzoQgS9VTwIVRTbo18G/sG5Q1t7XZ3XeZ2UmEZqCEJ4ARZjbSwoHfLmY2MDrt8jHgfjPrZuH8+SHRvlgKtDOzs6PHPwFaUbe6Pv/ngF5m9n0zO8DMDjazqsci/gv4JnBeVF7JEwrupu9mwoG27YTa15RMb9Dd1xHaRH8JbCLU6uYSzjuvb91FhPI+BGwg/Ey/IGrjbQ38nNBevhboCNwZrXousCQ6m+L/AZe5+2dJNvFLwoG7TcA7wEsNeF/zCf+EphJ+AaylerNKTd8B/iMq0+3ReonX+jvhgOVthOaWOUD/aPYPgSXA7GjevwPm7lsIB20fj7a/uZ7tQx2fv7tvBc4CRgLrgb9Rvb3/TcKvmvfcPdWmLskC00AKkmnRAbfVwCXu/lauyyOpM7M3gUfc/bFcl0UqqcYtGWFmI8ysfdSk8GPCGSEz61lN8kjUvFNEaCKSPKLglkwZCnxIaNYYAXw1OoVOYsDMJgIvAze4+6e5Lo9Up6YSEZGYUY1bRCRmMtLvQJcuXbxPnz6ZeGkRkSZp9uzZG909pdNmMxLcffr0YdasWZl4aRGRJsnMPqp/qUBNJSIiMaPgFhGJGQW3iEjMqFN0kRz6/PPPKSsrY9euXbkuimRJYWEhPXr0oFWr+rqZqZ2CWySHysrKaNeuHX369CF0oihNmbuzadMmysrK6Nu3b/0r1CJvmkomToQ+faCgINxObNCwtyLxtGvXLjp37qzQbibMjM6dOzf6F1Ze1LgnToQxY2DnzvD4o4/CY4ArrshduUSyQaHdvKTj886LGvcdd1SGdsLOneF5ERGpLi+C+x//aNjzIpIemzZtYtCgQQwaNIhu3brRvXv3iseffZasO/N9XXPNNSxbtqzOZX7zm98wMU3tn0OHDmXevHlpea24youmkl69QvNIsudFpNLEieGX6D/+Ef4+7r67cc2JnTt3rgjBn/70pxx00EHccsst1ZZxd9ydgoLk9bxHH3203u1873vf2/9Cyj7yosZ9993Qtm3159q2Dc+LSJA4FvTRR+BeeSwoEwfyV6xYQVFREWPHjqW4uJg1a9YwZswYSkpKOO6447jrrrsqlk3UgMvLy+nQoQPjxo1j4MCBDB48mPXr1wNw5513cv/991csP27cOE488USOOeYY3nnnHQA+/fRTRo4cycCBA/n6179OSUlJvTXrJ554gv79+1NUVMTtt98OQHl5OVdeeWXF8w8++CAA9913H/369WPgwIGMHj067fssm/IiuK+4AiZMgN69wSzcTpigA5MiVWX7WNDixYu59tprmTt3Lt27d2f8+PHMmjWL0tJSpk+fzuLFi/dZZ+vWrZx66qmUlpYyePBgHnnkkaSv7e7MnDmTe++9t+KfwK9+9Su6detGaWkp48aNY+7cuXWWr6ysjDvvvJPXX3+duXPn8vbbb/P8888ze/ZsNm7cyIIFC1i4cCFXXXUVAD//+c+ZN28epaWl/PrXv27k3smtvAhuCCG9ciXs3RtuFdoi1WX7WNCRRx7Jl770pYrHkyZNori4mOLiYpYsWZI0uNu0acM555wDwAknnMDKlSuTvvbFF1+8zzIzZszg8svDeMoDBw7kuOOOq7N87733HsOGDaNLly60atWKUaNG8eabb3LUUUexbNkybrjhBqZNm0b79u0BOO644xg9ejQTJ05s1MUv+SBvgltE6lbbMZ9MHQs68MADK+4vX76cBx54gNdee4358+czYsSIpOciH3DAARX3W7RoQXl5edLXbt269T7LNHRQl9qW79y5M/Pnz2fo0KE8+OCDXHddGNh+2rRpjB07lpkzZ1JSUsKePXsatL18ouAWiYlcHgvatm0b7dq14+CDD2bNmjVMmzYt7dsYOnQoU6dOBWDBggVJa/RVnXTSSbz++uts2rSJ8vJyJk+ezKmnnsqGDRtwdy699FJ+9rOfMWfOHPbs2UNZWRnDhg3j3nvvZcOGDeys2e4UI3lxVomI1C/RfJjOs0pSVVxcTL9+/SgqKuKII45gyJAhad/GD37wA6666ioGDBhAcXExRUVFFc0cyfTo0YO77rqL0047DXfn/PPP57zzzmPOnDlce+21uDtmxj333EN5eTmjRo1i+/bt7N27l9tuu4127dql/T1kS0bGnCwpKXENpCBSvyVLlnDsscfmuhh5oby8nPLycgoLC1m+fDnDhw9n+fLltGzZ9OqXyT53M5vt7iWprN/09oiIxNKOHTs444wzKC8vx935/e9/3yRDOx20V0QkL3To0IHZs2fnuhixoIOTIiIxo+AWEYkZBbeISMwouEVEYkbBLdKMxbFbV4B169bRsmVLHn744bS9ZpzoPG6RHMqn87j3t1vXXHjwwQd56qmnaN26Na+++mrGtlNeXp6RUxIbex53/nwSIpI38r1b10mTJnH//ffz4Ycfsnbt2ornX3jhBYqLixk4cCDDhw8HYPv27Vx99dX079+fAQMG8Oyzz1aUNWHy5Ml861vfAmD06NHcfPPNnH766dx+++28++67DB48mOOPP54hQ4awfPlyIIT6D3/4Q4qKihgwYAC//e1vmTZtGpdeemnF67700kt87Wtfa/TnUVOTOY873R3Mi2TdjTdCukd2GTQIosBsqMWLF/Poo4/yu9/9DoDx48fTqVMnysvLOf3007nkkkvo169ftXUS3bqOHz+em266iUceeYRx48bt89qJbl2fe+457rrrLl5++eWKbl2ffvppSktLKS4uTlqulStXsmXLFk444QQuueQSpk6dyvXXX8/atWv5zne+w1tvvUXv3r3ZvHkzEH5JdO3alQULFuDufPLJJ/W+9w8++IC//OUvFBQUsHXrVmbMmEGLFi14+eWXufPOO5kyZQoPPfQQq1evprS0lBYtWrB582Y6dOjA9ddfz6ZNm+jcuTOPPvoo11xzTUN3fb2aRI07mx3MizQX+dqt66RJk7jssssAuPzyy5k0aRIAf/3rXzn99NPp3bs3AJ06dQLg1VdfrRiBx8zo2LFjve/90ksvrWga+uSTT7j44ospKirilltuYdGiRRWvO3bsWFq0aFGxvYKCAkaNGsWTTz7J5s2bmT17dkXNP52aRI27rg7mVeuW2NjPmnGmJOvWdebMmXTo0IHRo0fnrFvXSZMmsWnTJh5//HEAVq9ezd///veKTqVqSvZ8QUFBte3VfC9V3/sdd9zB2WefzXe/+11WrFjBiBEjan1dgG9+85uMHDkSgMsuu6wi2NOpSdS4NdiwSGblS7euixcvZs+ePaxatYqVK1eycuVKbr31ViZPnsyQIUN47bXX+CgawDbRVDJ8+PCKEW/cnS1btlBQUEDHjh1Zvnw5e/fu5Zlnnqm1XFu3bqV79+4APPbYYxXPDx8+nIceeqiiX+/E9nr27EmXLl0YP3483/jGNxq3U2qRcnCbWQszm2tmz2ekJI2Q7Q7mRZqbqt26fvvb385Yt66rVq1iwIAB/OIXv0jareuTTz7JRRddVO25kSNH8uSTT3LooYfy0EMPceGFFzJw4ECuiH5u/+QnP2HdunUUFRUxaNAg3nrrLQDuueceRowYwRlnnEGPHj1qLddtt93Grbfeus97vu666+jWrRsDBgxg4MCBFf90AEaNGkXfvn05+uijG7VPapPy6YBmdhNQAhzs7l+pa9lsnw6YaOOu2lzStq3GrZT8l0+nA+ZaU+rWdezYsQwePJirr7466fysdOtqZj2A84C7gZtSWSebctnBvIikR1Pp1nXQoEF07NixYnT5TEh1r9wP/AiodcgIMxsDjAHolYM2iiuuUFCLxFlT6da1tnPP06neNm4z+wqw3t3r3KPuPsHdS9y9pGvXrmkroEhTl4mrlyV/pePzTuXg5BDgAjNbCUwGhpnZE43ecp6ZOBH69IGCgnCrc8AlGwoLC9m0aZPCu5lwdzZt2kRhYWGjXqfephJ3/xfgXwDM7DTgFncf3ait5pmaBzcTF/CAml8ks3r06EFZWRkbNmzIdVEkSwoLC+s8iyUV8Wv5zwBdwCO50qpVK/r27ZvrYkjMNCi43f0N4I2MlCSHdAGPiMRJk7hysrF0AY+IxImCm3DOd9u21Z9r2zY8LyKSbxTchHbsCROgd28wC7e66lJE8pUOTkZ0AY+IxIVq3CIiMaPgFhGJGQV3mujKSxHJFrVxp4GuvBSRbFKNOw3quvJSRCTdFNxpoCsvRSSbFNxpoCsvRSSbFNxpoCsvRSSbFNxpoCsvRSSbdFZJmujKSxHJFtW4RURiRsGdJ3QBj4ikSk0leUAX8IhIQ6jGnQd0AY+INISCOw/oAh4RaQgFdx7QBTwi0hAK7jygC3hEpCEU3HlAF/CISEPorJI8oQt4RCRVqnGLiMSMgltEJGYU3CIiMaPgFhGJGQW3iEjMKLibCHVSJdJ86HTAJkCdVIk0L6pxNwHqpEqkeVFwNwHqpEqkeVFwNwHqpEqkeVFwNwHqpEqkeak3uM2s0MxmmlmpmS0ys59lo2CSOnVSJdK8pHJWyW5gmLvvMLNWwAwze8nd381w2aQB1EmVSPNRb3C7uwM7ooetoskzWSgREaldSm3cZtbCzOYB64Hp7v5eZoslIiK1SSm43X2Puw8CegAnmllRzWXMbIyZzTKzWRs2bEh3OUVEJNKgs0rc/RPgDWBEknkT3L3E3Uu6du2apuKJiEhNqZxV0tXMOkT32wBnAkszXTAREUkulRr3YcDrZjYfeJ/Qxv18Zosl2aZOqkTiI5WzSuYDx2ehLJIj6qRKJF505aSokyqRmFFwizqpEokZBbeokyqRmFFwizqpEokZBbeokyqRmNHQZQKokyqROFGNW0QkZhTcIiIxo+AWEYkZBbeISMwouEVEYkbBLSISMwpuEZGYUXCLiMSMglvSQv15i2SPrpyURlN/3iLZpRq3NJr68xbJLgW3NJr68xbJLgW3NJr68xbJLgW3NJr68xbJLgW3NJr68xbJLp1VImmh/rxFskc1bhGRmFFwi4jEjIJbRCRmFNwiIjGj4BYRiRkFt4hIzCi4RURiRsEteUHdwoqkThfgSM6pW1iRhlGNW3JO3cKKNIyCW3JO3cKKNIyCW3JO3cKKNIyCW3JO3cKKNEy9wW1mPc3sdTNbYmaLzOyGbBRMmg91CyvSMKmcVVIO3Ozuc8ysHTDbzKa7++IMl02aEXULK5K6emvc7r7G3edE97cDS4DumS6YiIgk16A2bjPrAxwPvJdk3hgzm2VmszZs2JCe0omIyD5SDm4zOwh4GrjR3bfVnO/uE9y9xN1Lunbtms4yiohIFSkFt5m1IoT2RHf/78wWSURE6pLKWSUGPAwscfdfZr5IIiJSl1Rq3EOAK4FhZjYvms7NcLlERKQW9Z4O6O4zAMtCWUREJAW6clJEJGYU3CIiMaPgliZBAzFIc6KBFCT2NBCDNDeqcUvsaSAGaW4U3BJ7GohBmhsFt8SeBmKQ5kbBLbGngRikuVFwS+xpIAZpbnRWiTQJGohBmhPVuEVEYkbBLSISMwpuEZGYUXCLiMSMgltEJGYU3CIiMaPgFhGJGQW3iEjMKLhFRGJGwS2CBmKQeNEl79LsaSAGiRvVuKXZ00AMEjcKbmn2NBCDxI2CW5o9DcQgcaPglmZPAzFI3Ci4pdnTQAwSNzqrRAQNxCDxohq3iEjMKLhFRGJGwS0iEjMKbhGRmFFwi4jEjIJbRCRmFNwiIjGj4BYRiZl6g9vMHjGz9Wa2MBsFEhGRuqVS434MGJHhcoiISIrqDW53fxPYnIWyiMSWRtBpZsrLYfNmWLkS5s+Ht96CF16AP/85K5tPW18lZjYGGAPQS/1hSjOiEXTSyB0++wx27YJ//jPc1pzqer68PLyO2f5N5eWwbRts3Vr9tub9miNvJHTtCuvXZ3w3mbvXv5BZH+B5dy9K5UVLSkp81qxZjSuZSEz06RPCuqbevUOFrEnYuRPWrYO1aytvq95P3G7fDnv3hgDenykRvLlkBgcfHKb27VO/3759mI4+ej83a7PdvSSVZdU7oEgj5e0IOu7w+echdP/5z8qprseffJI8nLdvT76NLl2gWzc49FA4+eQQYAUF+1/jbdkS2rSBwsLqU6rPtWxZ+d73Z2rZEg48MLyHPKbgFmmkXr2S17gz3mK4ezcsWgRz51ZOZWXVg3jv3oa/bocOlWF8wgmV97t1q36/a1do1Sr970vqVW9wm9kk4DSgi5mVAT9x94czXTCRuLj77upt3JCBEXS2b4fS0uohvWhRqFEDtGsHgwbB6aeHjbdpUznVfFzbc23ahBpzYWEaCy6ZUG9wu/vXs1EQkbhKHIC8447QPNKrVwjt/T4wuWFD9YCeOxeWLw8/5SHUdIuLYcSIcHv88XDEEXn/817SJ6WDkw2lg5MiKdi9G5YuhYULw7RgQahVl5VVLtO7d2U4J6bDDw/twdKk6OCkSD7Zswc++KAynBNBvXx5mAehrfiLX4RTTgnhXFwcmj46dcpt2SUvKbhF0sU91JZrBvSSJeE8Ywg15SOPhKIiuOSScFtUBF/4AhxwQG7LL7Gh4BZpjFWr4NVXYfr0cLtuXeW87t1DKA8bVhnQ/fqFA4M1TJyYxjZyafIU3CINsX07/O//hqCePj3UpgEOOQTOPBOGDIH+/UNId+yY0kvqyktpKB2cFKlLeTm8/35lUL/7bniusDC0R591Vpj699/vszqaxZWXUi8dnBTZX+7hoGEiqF9/PfRNYRYOGN5ySwjqk09O2/nOeXvlpeQtBbfInj3w5pswdSq8+GJlYvbpA5ddFoJ62DDo3Dkjm8/ZlZcSWwpuaZ727oW//hWmTIGnngp9crRtC2efDePGhbA+8sisnC+dlSsvpUlRcEvz4Q6zZoWwnjoVPv4YWreGc8+Fyy+H884LHQxlWdqvvJQmTwcnpWlzDx3dT5kSpg8/DBe7DB8ewvqCC0L/HCI5poOTIosXV4b1smXQogWccUao1l50Ucqn6onkIwW3NA1794Zzqp99NoT1ggWhffrUU+HGG2HkyNA5k0gToOCWeNq1K7RXz5gRpnfegS1bwryTT4YHHgiXlB9+eG7LmSW68rJ5UXBLPGzcGMJ5xgx4++0Q2p99FuYdcwxcfHG4avGMM5rdeXS68rL50cFJyT/usGJFCOhEUC9dGua1agUlJTB0aAjqk09u9k0guvKyadDBSYkX9xDMr7wS+gF5++3KkbI7dgzhfPXVIahLSsJILVJBV142PwpuyY2NG0Nveq+8EqZVq8LzffuGi2CGDAm16mOP1cgu9UjHlZdqI48XBbdkx+7doY16+vQQ1HPmhJp2hw6hV73hw8PVin365LqksdPYKy/VRh4/auOWzKja/PHKK/DGGyEZWraEwYNDUA8fHkYRb9Ei16WNvcbUmNVGnh8a0sat4Jb02bAB/vKXylp1YuzEo4+urFGfdpquVMwzBQWV4xBXZRZOj5fs0MFJybxE96eJsz5mzIC//S3M69gxnJan5o9YUO+E8aPgltR89llol04E9dtvhxo2hO5OhwyBa68NVyqWlKj5I0byoXdCHRxtGAW3JLdlS+j2NBHUM2dWDnh71FGhJ73EmR/HHJOV7k8lM9LRO2FjglcHRxtObdwSQnrp0tDXx/vvh7BeuDDMa9kyjPySCOkhQ+DQQ3NbXskrNYMXQo19woTUglcHRwMdnJR97d0b/jqWLt13SlzsAuHA4cknVwb1iScmHZVcJKGxwauDo4EOTjZnO3eGg4Q1w3nZssqmDoBOncLFLeefD1/8YuXUt6/ap6VBGnvlZj4cHI1bG7uCO2527Ajfro8+qj4lnkucggehKtO3bwjkM8+sHtBduuTuPUiT0tjgTcfB0ebWxq6mknziHi4Fry2UP/oINm+uvk7LltCzZ/hd2rt3OHCYCOejjkrbSOQitWlsG3fiNdIVvA3dfr60sauNO9d27w4H/DZvDrdV79f33OefV3+tgw6qDOXE1KtX5f1u3dS0ITmXy6aGptLGrjbuTPn8c1izJjRHJKZVq6o/3rix+r/+ZDp0CBepdOoUbnv2DLcdO8Jhh1UP6Q4ddKqd5L0rrshds0I+tLFn+x+Xgjthx44QyjWDuOrjdev2/dfcpg306BGmU06BQw6pDORkt+3bq4Yskka5bmPPRRt5028q+fRTWL06hPLq1bXf375933U7doTu3SuDOdl91YhFcirXbezpaiNvHm3c5eWhBlyzZpwI5EQob9u277qFhWEswsR02GGVt4lQ7t4dDjwws+9BRNIil23s6WojT3sbt5mNAB4AWgB/cPfxqRdnP+zaFYI3WXNF4v6aNfvuldatK8O4f//QIX/NcD788NBcoVqySJORyzb2XJyHXm9wm1kL4DfAWUAZ8L6ZPefui9Nakr174UtfCv8yN27cd367dpXNFGedVXm/arNF584KZBHJqlx00pVKjftEYIW7fwhgZpOBC4H0BndBAfTrF8K7ZiB3764+nEUkL6Wjk66GSiW4uwMfV3lcBny55kJmNgYYA9Brf38j/PGP+7eeiEgOZbupJpVRWJO1PezTFO/uE9y9xN1Lunbt2viSiYhIUqkEdxnQs8rjHsDqzBRHRETqk0pwvw98wcz6mtkBwOXAc5ktloiI1KbeNm53Lzez7wPTCKcDPuLuizJeMhERSSql87jd/UXgxQyXRUREUpBKU4mIiOQRBbeISMwouEVEYiYjnUyZ2QYgydX7KekCJLnmPW+ofI2j8jWOytc4+Vy+3u6e0kUwGQnuxjCzWan2kJULKl/jqHyNo/I1Tr6XL1VqKhERiRkFt4hIzORjcE/IdQHqofI1jsrXOCpf4+R7+VKSd23cIiJSt3yscYuISB0U3CIiMZOz4DazEWa2zMxWmNm4JPNbm9mUaP57ZtYni2XraWavm9kSM1tkZjckWeY0M9tqZvOi6V+zVb5o+yvNbEG07X1GZrbgwWj/zTez4iyW7Zgq+2WemW0zsxtrLJPV/Wdmj5jZejNbWOW5TmY23cyWR7cda1n36miZ5WZ2dRbLd6+ZLY0+v2fMrEMt69b5Xchg+X5qZquqfIbn1rJunX/rGSzflCplW2lm82pZN+P7L+3cPesToZfBD4AjgAOAUqBfjWW+C/wuun85MCWL5TsMKI7utwP+lqR8pwHP52L/RdtfCXSpY/65wEuEgTBOAt7L4We9lnBxQc72H3AKUAwsrPLcz4Fx0f1xwD2Qe9GRAAADg0lEQVRJ1usEfBjddozud8xS+YYDLaP79yQrXyrfhQyW76fALSl8/nX+rWeqfDXm/wL411ztv3RPuapxV4xj6e6fAYlxLKu6EHg8uv8n4Ayz7IwE7O5r3H1OdH87sIQwhFucXAj8lwfvAh3M7LAclOMM4AN3398radPC3d8ENtd4uup37HHgq0lWPRuY7u6b3X0LMB0YkY3yufsr7l4ePXyXMIhJTtSy/1KRyt96o9VVvig3vgZMSvd2cyVXwZ1sHMuawVixTPTl3Qp0zkrpqoiaaI4H3ksye7CZlZrZS2Z2XFYLFoaPe8XMZkfjfdaUyj7Ohsup/Q8ml/sP4FB3XwPhnzVwSJJl8mU/fpPwCyqZ+r4LmfT9qCnnkVqamvJh//0fYJ27L69lfi73337JVXCnMo5lSmNdZpKZHQQ8Ddzo7ttqzJ5D+Pk/EPgV8Gw2ywYMcfdi4Bzge2Z2So35+bD/DgAuAJ5KMjvX+y9V+bAf7wDKgYm1LFLfdyFTHgKOBAYBawjNETXlfP8BX6fu2nau9t9+y1VwpzKOZcUyZtYSaM/+/VTbL2bWihDaE939v2vOd/dt7r4juv8i0MrMumSrfO6+OrpdDzxD+ElaVT6MFXoOMMfd19Wckev9F1mXaD6KbtcnWSan+zE6GPoV4AqPGmRrSuG7kBHuvs7d97j7XuA/a9lurvdfS+BiYEpty+Rq/zVGroI7lXEsnwMSR/AvAV6r7YubblGb2MPAEnf/ZS3LdEu0uZvZiYR9uSlL5TvQzNol7hMOYi2ssdhzwFXR2SUnAVsTzQJZVGtNJ5f7r4qq37Grgf9Jssw0YLiZdYyaAoZHz2WcmY0AbgMucPedtSyTynchU+Wreszkolq2m+sxa88Elrp7WbKZudx/jZKro6KEsx7+RjjifEf03F2ELylAIeEn9gpgJnBEFss2lPBzbj4wL5rOBcYCY6Nlvg8sIhwlfxc4OYvlOyLabmlUhsT+q1o+A34T7d8FQEmWP9+2hCBuX+W5nO0/wj+QNcDnhFrgtYRjJn8Blke3naJlS4A/VFn3m9H3cAVwTRbLt4LQPpz4DibOsjoceLGu70KWyvfH6Ls1nxDGh9UsX/R4n7/1bJQvev6xxHeuyrJZ33/pnnTJu4hIzOjKSRGRmFFwi4jEjIJbRCRmFNwiIjGj4BYRiRkFt4hIzCi4RURi5v8DcxjbuJx+Rz0AAAAASUVORK5CYII=\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Starting work on cross validation set 10\n", "Creating Model\n", "Epoch 1/20\n", "755/755 [==============================] - 49s 65ms/step - loss: 4.5609 - acc: 0.0503\n", "Epoch 2/20\n", "755/755 [==============================] - 52s 68ms/step - loss: 4.0584 - acc: 0.0861\n", "Epoch 3/20\n", "755/755 [==============================] - 53s 71ms/step - loss: 3.8712 - acc: 0.1046\n", "Epoch 4/20\n", "755/755 [==============================] - 51s 68ms/step - loss: 3.6618 - acc: 0.1391\n", "Epoch 5/20\n", "755/755 [==============================] - 57s 76ms/step - loss: 3.4205 - acc: 0.1642\n", "Epoch 6/20\n", "755/755 [==============================] - 57s 76ms/step - loss: 3.0951 - acc: 0.2305\n", "Epoch 7/20\n", "755/755 [==============================] - 54s 72ms/step - loss: 2.7594 - acc: 0.2967\n", "Epoch 8/20\n", "755/755 [==============================] - 51s 68ms/step - loss: 2.4296 - acc: 0.3748\n", "Epoch 9/20\n", "755/755 [==============================] - 52s 69ms/step - loss: 1.9622 - acc: 0.4940\n", "Epoch 10/20\n", "755/755 [==============================] - 49s 65ms/step - loss: 1.5892 - acc: 0.6066\n", "Epoch 11/20\n", "755/755 [==============================] - 50s 66ms/step - loss: 1.1769 - acc: 0.7311\n", "Epoch 12/20\n", "755/755 [==============================] - 51s 68ms/step - loss: 0.8298 - acc: 0.8318\n", "Epoch 13/20\n", "755/755 [==============================] - 50s 66ms/step - loss: 0.5730 - acc: 0.8834\n", "Epoch 14/20\n", "755/755 [==============================] - 53s 70ms/step - loss: 0.4527 - acc: 0.9152\n", "Epoch 15/20\n", "755/755 [==============================] - 49s 65ms/step - loss: 0.3366 - acc: 0.9417\n", "Epoch 16/20\n", "755/755 [==============================] - 53s 70ms/step - loss: 0.2220 - acc: 0.9642\n", "Epoch 17/20\n", "755/755 [==============================] - 61s 81ms/step - loss: 0.2519 - acc: 0.9523\n", "Epoch 18/20\n", "755/755 [==============================] - 61s 81ms/step - loss: 0.1940 - acc: 0.9682\n", "Epoch 19/20\n", "755/755 [==============================] - 51s 68ms/step - loss: 0.1261 - acc: 0.9801\n", "Epoch 20/20\n", "755/755 [==============================] - 53s 70ms/step - loss: 0.1733 - acc: 0.9669\n", "('Test accuracy: ', [0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0])\n", "{'acc': [0.050331125837682886, 0.08609271507389499, 0.10463576159927229, 0.13907284791895885, 0.16423841063549977, 0.2304635760010473, 0.2966887416034345, 0.3748344371650393, 0.49403973537565066, 0.6066225170299707, 0.7311258281303558, 0.8317880792333591, 0.8834437093197904, 0.9152317887899891, 0.941721853909903, 0.9642384102012937, 0.9523178813473279, 0.9682119205298013, 0.9801324503311258, 0.9668874172185431], 'loss': [4.560920313020415, 4.058387916767044, 3.871235451793039, 3.661826286568547, 3.42054346191962, 3.095070534194542, 2.759375188840146, 2.429589053652934, 1.9622009552077742, 1.5892039272169403, 1.1768863321140113, 0.8298144397356653, 0.5730399114406661, 0.4526760964204144, 0.33663744942241947, 0.2220429059685461, 0.2519148943045281, 0.1939519659474196, 0.12609291273039697, 0.17329386112508394]}\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "totalLength = len(all_data)\n", "splitLength = int(totalLength / (numCV + 1))\n", "\n", "for i in range(1, numCV + 1):\n", " # Split cross validation set\n", " print(\"Starting work on cross validation set {0}\".format(i))\n", " train_data = all_data[:i*splitLength-1]\n", " test_data = all_data[i*splitLength:(i+1)*splitLength-1]\n", " train_owner = all_owner[:i*splitLength-1]\n", " test_owner = all_owner[i*splitLength:(i+1)*splitLength-1]\n", " \n", " # Remove words outside the vocabulary\n", " updated_train_data = [] \n", " updated_train_data_length = [] \n", " updated_train_owner = []\n", " final_test_data = []\n", " final_test_owner = []\n", " for j, item in enumerate(train_data):\n", " current_train_filter = [word for word in item if word in vocabulary]\n", " if len(current_train_filter) >= min_sentence_length: \n", " updated_train_data.append(current_train_filter)\n", " updated_train_owner.append(train_owner[j]) \n", " \n", " for j, item in enumerate(test_data):\n", " current_test_filter = [word for word in item if word in vocabulary] \n", " if len(current_test_filter) >= min_sentence_length:\n", " final_test_data.append(current_test_filter) \n", " final_test_owner.append(test_owner[j]) \n", " \n", " # Remove data from test set that is not there in train set\n", " train_owner_unique = set(updated_train_owner)\n", " test_owner_unique = set(final_test_owner)\n", " unwanted_owner = list(test_owner_unique - train_owner_unique)\n", " updated_test_data = []\n", " updated_test_owner = []\n", " updated_test_data_length = []\n", " for j in range(len(final_test_owner)):\n", " if final_test_owner[j] not in unwanted_owner:\n", " updated_test_data.append(final_test_data[j])\n", " updated_test_owner.append(final_test_owner[j])\n", "\n", " unique_train_label = list(set(updated_train_owner))\n", " classes = np.array(unique_train_label)\n", " \n", " # Create train and test data for deep learning + softmax\n", " X_train = np.empty(shape=[len(updated_train_data), max_sentence_len, embed_size_word2vec], dtype='float32')\n", " Y_train = np.empty(shape=[len(updated_train_owner), 1], dtype='int32')\n", " # 1 - start of sentence, # 2 - end of sentence, # 0 - zero padding. Hence, word indices start with 3 \n", " for j, curr_row in enumerate(updated_train_data):\n", " sequence_cnt = 0 \n", " for item in curr_row:\n", " if item in vocabulary:\n", " X_train[j, sequence_cnt, :] = wordvec_model[item] \n", " sequence_cnt = sequence_cnt + 1 \n", " if sequence_cnt == max_sentence_len-1:\n", " break \n", " for k in range(sequence_cnt, max_sentence_len):\n", " X_train[j, k, :] = np.zeros((1, embed_size_word2vec)) \n", " Y_train[j, 0] = unique_train_label.index(updated_train_owner[j])\n", " \n", " X_test = np.empty(shape=[len(updated_test_data), max_sentence_len, embed_size_word2vec], dtype='float32')\n", " Y_test = np.empty(shape=[len(updated_test_owner),1], dtype='int32')\n", " # 1 - start of sentence, # 2 - end of sentence, # 0 - zero padding. Hence, word indices start with 3 \n", " for j, curr_row in enumerate(updated_test_data):\n", " sequence_cnt = 0 \n", " for item in curr_row:\n", " if item in vocabulary:\n", " X_test[j, sequence_cnt, :] = wordvec_model[item] \n", " sequence_cnt = sequence_cnt + 1 \n", " if sequence_cnt == max_sentence_len-1:\n", " break \n", " for k in range(sequence_cnt, max_sentence_len):\n", " X_test[j, k, :] = np.zeros((1, embed_size_word2vec)) \n", " Y_test[j, 0] = unique_train_label.index(updated_test_owner[j])\n", " \n", " y_train = np_utils.to_categorical(Y_train, len(unique_train_label))\n", " y_test = np_utils.to_categorical(Y_test, len(unique_train_label))\n", "\n", "\n", " # TODO: Add x_train and x_test\n", " \n", " # Construct the deep learning model\n", " print(\"Creating Model\")\n", " sequence = Input(shape=(max_sentence_len, embed_size_word2vec), dtype='float32')\n", " forwards_1 = LSTM(1024)(sequence)\n", " after_dp_forward_4 = Dropout(0.20)(forwards_1) \n", " backwards_1 = LSTM(1024, go_backwards=True)(sequence)\n", " after_dp_backward_4 = Dropout(0.20)(backwards_1) \n", " #merged = merge([after_dp_forward_4, after_dp_backward_4], mode='concat', concat_axis=-1)\n", " merged = layers.concatenate([after_dp_forward_4, after_dp_backward_4], axis=-1)\n", " after_dp = Dropout(0.5)(merged)\n", " output = Dense(len(unique_train_label), activation='softmax')(after_dp) \n", " model = Model(input=sequence, output=output) \n", " rms = RMSprop(lr=0.001, rho=0.9, epsilon=1e-08)\n", " model.compile(loss='categorical_crossentropy', optimizer=rms, metrics=['accuracy']) \n", " hist = model.fit(X_train, y_train, batch_size=batch_size, epochs=20) # Rename nb_epochs to epochs // Value original: 200\n", " \n", " predict = model.predict(X_test) \n", " accuracy = []\n", " sortedIndices = []\n", " pred_classes = []\n", " if len(predict) == 0:\n", " exit(1) # Avoid divide by zero\n", " for ll in predict:\n", " sortedIndices.append(sorted(range(len(ll)), key=lambda ii: ll[ii], reverse=True))\n", " for k in range(1, rankK + 1):\n", " id = 0\n", " trueNum = 0\n", " for sortedInd in sortedIndices:\n", " pred_classes.append(classes[sortedInd[:k]])\n", " if y_test[id] in classes[sortedInd[:k]]:\n", " trueNum += 1 \n", " id += 1\n", " accuracy.append((float(trueNum) / len(predict)) * 100)\n", " print(\"Test accuracy: \", accuracy) \n", " \n", " train_result = hist.history \n", " print(train_result)\n", " \n", " # Loss curves for validation and training\n", " test_loss = hist.history['loss']\n", " test_accuracy = hist.history['acc']\n", " #val_loss = hist.history['val_loss']\n", "\n", " epochs = range(len(test_loss))\n", "\n", " plt.figure()\n", "\n", " plt.plot(epochs, test_loss, 'bo', label='Training loss')\n", " plt.plot(epochs, test_accuracy, 'r', label='Training Accuracy')\n", " plt.title('Training loss and accuracy')\n", " plt.legend()\n", "\n", " plt.show()\n", " \n", " del model" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Split cross validation sets and perform baseline classifiers" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Starting cross validation 1\n", "(74, 7924)\n", "(31, 7924)\n", "====================\n", "1\n", "[22.58064516129032, 29.03225806451613, 32.25806451612903, 35.483870967741936, 38.70967741935484, 45.16129032258064, 45.16129032258064, 45.16129032258064, 45.16129032258064, 48.38709677419355]\n", "2\n", "[9.67741935483871, 9.67741935483871, 9.67741935483871, 9.67741935483871, 9.67741935483871, 16.129032258064516, 16.129032258064516, 16.129032258064516, 16.129032258064516, 16.129032258064516]\n", "3\n", "[3.225806451612903, 16.129032258064516, 25.806451612903224, 29.03225806451613, 29.03225806451613, 32.25806451612903, 35.483870967741936, 38.70967741935484, 41.935483870967744, 48.38709677419355]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 2\n", "(149, 7924)\n", "(54, 7924)\n", "====================\n", "1\n", "[9.25925925925926, 12.962962962962962, 24.074074074074073, 27.77777777777778, 31.48148148148148, 37.03703703703704, 38.88888888888889, 40.74074074074074, 42.592592592592595, 42.592592592592595]\n", "2\n", "[0.0, 5.555555555555555, 5.555555555555555, 5.555555555555555, 5.555555555555555, 7.4074074074074066, 7.4074074074074066, 7.4074074074074066, 7.4074074074074066, 7.4074074074074066]\n", "3\n", "[7.4074074074074066, 18.51851851851852, 20.37037037037037, 24.074074074074073, 25.925925925925924, 25.925925925925924, 27.77777777777778, 29.629629629629626, 29.629629629629626, 33.33333333333333]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 3\n", "(225, 7924)\n", "(47, 7924)\n", "====================\n", "1\n", "[19.148936170212767, 25.53191489361702, 25.53191489361702, 29.78723404255319, 36.17021276595745, 40.42553191489361, 42.5531914893617, 44.680851063829785, 44.680851063829785, 46.808510638297875]\n", "2\n", "[2.127659574468085, 2.127659574468085, 2.127659574468085, 2.127659574468085, 4.25531914893617, 10.638297872340425, 10.638297872340425, 10.638297872340425, 10.638297872340425, 10.638297872340425]\n", "3\n", "[8.51063829787234, 12.76595744680851, 14.893617021276595, 17.02127659574468, 17.02127659574468, 19.148936170212767, 23.404255319148938, 29.78723404255319, 31.914893617021278, 31.914893617021278]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 4\n", "(301, 7924)\n", "(61, 7924)\n", "====================\n", "1\n", "[3.278688524590164, 3.278688524590164, 3.278688524590164, 6.557377049180328, 9.836065573770492, 9.836065573770492, 13.114754098360656, 14.754098360655737, 18.0327868852459, 18.0327868852459]\n", "2\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 1.639344262295082, 1.639344262295082, 1.639344262295082, 1.639344262295082]\n", "3\n", "[6.557377049180328, 9.836065573770492, 9.836065573770492, 9.836065573770492, 14.754098360655737, 16.39344262295082, 19.672131147540984, 22.950819672131146, 22.950819672131146, 22.950819672131146]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 5\n", "(376, 7924)\n", "(74, 7924)\n", "====================\n", "1\n", "[4.054054054054054, 9.45945945945946, 17.56756756756757, 22.972972972972975, 25.675675675675674, 29.72972972972973, 31.08108108108108, 33.78378378378378, 33.78378378378378, 37.83783783783784]\n", "2\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 1.3513513513513513, 1.3513513513513513, 1.3513513513513513, 1.3513513513513513, 1.3513513513513513]\n", "3\n", "[5.405405405405405, 8.108108108108109, 13.513513513513514, 20.27027027027027, 21.62162162162162, 22.972972972972975, 22.972972972972975, 25.675675675675674, 25.675675675675674, 28.37837837837838]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 6\n", "(452, 7924)\n", "(75, 7924)\n", "====================\n", "1\n", "[9.333333333333334, 14.666666666666666, 16.0, 18.666666666666668, 22.666666666666664, 28.000000000000004, 32.0, 37.333333333333336, 41.333333333333336, 42.66666666666667]\n", "2\n", "[0.0, 1.3333333333333335, 2.666666666666667, 5.333333333333334, 5.333333333333334, 6.666666666666667, 6.666666666666667, 6.666666666666667, 6.666666666666667, 6.666666666666667]\n", "3\n", "[5.333333333333334, 8.0, 8.0, 13.333333333333334, 13.333333333333334, 13.333333333333334, 16.0, 18.666666666666668, 20.0, 21.333333333333336]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 7\n", "(528, 7924)\n", "(72, 7924)\n", "====================\n", "1\n", "[12.5, 22.22222222222222, 30.555555555555557, 38.88888888888889, 38.88888888888889, 41.66666666666667, 44.44444444444444, 47.22222222222222, 52.77777777777778, 55.55555555555556]\n", "2\n", "[1.3888888888888888, 2.7777777777777777, 2.7777777777777777, 4.166666666666666, 4.166666666666666, 4.166666666666666, 6.944444444444445, 6.944444444444445, 6.944444444444445, 6.944444444444445]\n", "3\n", "[8.333333333333332, 9.722222222222223, 16.666666666666664, 25.0, 25.0, 33.33333333333333, 37.5, 41.66666666666667, 44.44444444444444, 45.83333333333333]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 8\n", "(603, 7924)\n", "(73, 7924)\n", "====================\n", "1\n", "[12.32876712328767, 27.397260273972602, 34.24657534246575, 36.986301369863014, 39.726027397260275, 42.465753424657535, 47.94520547945205, 49.31506849315068, 50.68493150684932, 53.42465753424658]\n", "2\n", "[0.0, 4.10958904109589, 4.10958904109589, 6.8493150684931505, 6.8493150684931505, 6.8493150684931505, 15.068493150684931, 15.068493150684931, 15.068493150684931, 15.068493150684931]\n", "3\n", "[8.21917808219178, 12.32876712328767, 13.698630136986301, 19.17808219178082, 23.28767123287671, 26.027397260273972, 27.397260273972602, 30.136986301369863, 31.506849315068493, 34.24657534246575]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 9\n", "(679, 7924)\n", "(65, 7924)\n", "====================\n", "1\n", "[13.846153846153847, 29.230769230769234, 32.30769230769231, 36.92307692307693, 38.46153846153847, 41.53846153846154, 41.53846153846154, 49.23076923076923, 49.23076923076923, 52.307692307692314]\n", "2\n", "[0.0, 0.0, 0.0, 3.076923076923077, 4.615384615384616, 12.307692307692308, 13.846153846153847, 13.846153846153847, 13.846153846153847, 13.846153846153847]\n", "3\n", "[6.153846153846154, 10.76923076923077, 21.53846153846154, 23.076923076923077, 23.076923076923077, 26.153846153846157, 27.692307692307693, 30.76923076923077, 33.84615384615385, 36.92307692307693]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n", "Starting cross validation 10\n", "(755, 7924)\n", "(68, 7924)\n", "====================\n", "1\n", "[11.76470588235294, 11.76470588235294, 14.705882352941178, 17.647058823529413, 22.058823529411764, 23.52941176470588, 26.47058823529412, 26.47058823529412, 29.411764705882355, 29.411764705882355]\n", "2\n", "[0.0, 0.0, 0.0, 2.941176470588235, 4.411764705882353, 5.88235294117647, 7.352941176470589, 7.352941176470589, 7.352941176470589, 7.352941176470589]\n", "3\n", "[2.941176470588235, 4.411764705882353, 8.823529411764707, 8.823529411764707, 11.76470588235294, 14.705882352941178, 16.176470588235293, 16.176470588235293, 19.11764705882353, 19.11764705882353]\n", "4\n", "[0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0]\n" ] } ], "source": [ "totalLength = len(all_data)\n", "splitLength = totalLength / (numCV + 1)\n", "\n", "for i in range(1, numCV+1):\n", " # Split cross validation set\n", " print(\"Starting cross validation {0}\".format(i))\n", " train_data = all_data[:i*splitLength-1]\n", " test_data = all_data[i*splitLength:(i+1)*splitLength-1]\n", " train_owner = all_owner[:i*splitLength-1]\n", " test_owner = all_owner[i*splitLength:(i+1)*splitLength-1]\n", " \n", " # Remove words outside the vocabulary\n", " updated_train_data = [] \n", " updated_train_data_length = [] \n", " updated_train_owner = []\n", " final_test_data = []\n", " final_test_owner = []\n", " for j, item in enumerate(train_data):\n", " current_train_filter = [word for word in item if word in vocabulary]\n", " if len(current_train_filter)>=min_sentence_length: \n", " updated_train_data.append(current_train_filter)\n", " updated_train_owner.append(train_owner[j]) \n", " \n", " for j, item in enumerate(test_data):\n", " current_test_filter = [word for word in item if word in vocabulary] \n", " if len(current_test_filter)>=min_sentence_length:\n", " final_test_data.append(current_test_filter) \n", " final_test_owner.append(test_owner[j]) \n", " \n", " # Remove data from test set that is not there in train set\n", " train_owner_unique = set(updated_train_owner)\n", " test_owner_unique = set(final_test_owner)\n", " unwanted_owner = list(test_owner_unique - train_owner_unique)\n", " updated_test_data = []\n", " updated_test_owner = []\n", " updated_test_data_length = []\n", " for j in range(len(final_test_owner)):\n", " if final_test_owner[j] not in unwanted_owner:\n", " updated_test_data.append(final_test_data[j])\n", " updated_test_owner.append(final_test_owner[j]) \n", " \n", " train_data = []\n", " for item in updated_train_data:\n", " train_data.append(' '.join(item))\n", " \n", " test_data = []\n", " for item in updated_test_data:\n", " test_data.append(' '.join(item))\n", " \n", " vocab_data = []\n", " for item in vocabulary:\n", " vocab_data.append(item)\n", " \n", " # Extract tf based bag of words representation\n", " tfidf_transformer = TfidfTransformer(use_idf=False)\n", " count_vect = CountVectorizer(min_df=1, vocabulary= vocab_data,dtype=np.int32)\n", " \n", " train_counts = count_vect.fit_transform(train_data) \n", " train_feats = tfidf_transformer.fit_transform(train_counts)\n", " print(train_feats.shape)\n", " \n", " test_counts = count_vect.transform(test_data)\n", " test_feats = tfidf_transformer.transform(test_counts)\n", " print(test_feats.shape)\n", " print(\"=\" * 20)\n", " \n", " \n", " \n", " # perform classifification\n", " for classifier in range(1,5):\n", " #classifier = 3 # 1 - Niave Bayes, 2 - Softmax, 3 - cosine distance, 4 - SVM\n", " print classifier \n", " if classifier == 1: \n", " classifierModel = MultinomialNB(alpha=0.01) \n", " classifierModel = OneVsRestClassifier(classifierModel).fit(train_feats, updated_train_owner)\n", " predict = classifierModel.predict_proba(test_feats) \n", " classes = classifierModel.classes_ \n", " \n", " accuracy = []\n", " sortedIndices = []\n", " pred_classes = []\n", " for ll in predict:\n", " sortedIndices.append(sorted(range(len(ll)), key=lambda ii: ll[ii], reverse=True))\n", " for k in range(1, rankK+1):\n", " id = 0\n", " trueNum = 0\n", " for sortedInd in sortedIndices: \n", " if updated_test_owner[id] in classes[sortedInd[:k]]:\n", " trueNum += 1\n", " pred_classes.append(classes[sortedInd[:k]])\n", " id += 1\n", " accuracy.append((float(trueNum) / len(predict)) * 100)\n", " print accuracy \n", " elif classifier == 2: \n", " classifierModel = LogisticRegression(solver='lbfgs', penalty='l2', tol=0.01)\n", " classifierModel = OneVsRestClassifier(classifierModel).fit(train_feats, updated_train_owner)\n", " predict = classifierModel.predict(test_feats)\n", " classes = classifierModel.classes_ \n", " \n", " accuracy = []\n", " sortedIndices = []\n", " pred_classes = []\n", " for ll in predict:\n", " sortedIndices.append(sorted(range(len(ll)), key=lambda ii: ll[ii], reverse=True))\n", " for k in range(1, rankK+1):\n", " id = 0\n", " trueNum = 0\n", " for sortedInd in sortedIndices: \n", " if updated_test_owner[id] in classes[sortedInd[:k]]:\n", " trueNum += 1\n", " pred_classes.append(classes[sortedInd[:k]])\n", " id += 1\n", " accuracy.append((float(trueNum) / len(predict)) * 100)\n", " print accuracy \n", " elif classifier == 3: \n", " predict = cosine_similarity(test_feats, train_feats)\n", " classes = np.array(updated_train_owner)\n", " classifierModel = []\n", " \n", " accuracy = []\n", " sortedIndices = []\n", " pred_classes = []\n", " for ll in predict:\n", " sortedIndices.append(sorted(range(len(ll)), key=lambda ii: ll[ii], reverse=True))\n", " for k in range(1, rankK+1):\n", " id = 0\n", " trueNum = 0\n", " for sortedInd in sortedIndices: \n", " if updated_test_owner[id] in classes[sortedInd[:k]]:\n", " trueNum += 1\n", " pred_classes.append(classes[sortedInd[:k]])\n", " id += 1\n", " accuracy.append((float(trueNum) / len(predict)) * 100)\n", " print accuracy \n", " elif classifier == 4: \n", " classifierModel = svm.SVC(probability=True, verbose=False, decision_function_shape='ovr', random_state=42)\n", " classifierModel.fit(train_feats, updated_train_owner)\n", " predict = classifierModel.predict(test_feats)\n", " classes = classifierModel.classes_ \n", " \n", " accuracy = []\n", " sortedIndices = []\n", " pred_classes = []\n", " for ll in predict:\n", " sortedIndices.append(sorted(range(len(ll)), key=lambda ii: ll[ii], reverse=True))\n", " for k in range(1, rankK+1):\n", " id = 0\n", " trueNum = 0\n", " for sortedInd in sortedIndices: \n", " if updated_test_owner[id] in classes[sortedInd[:k]]:\n", " trueNum += 1\n", " pred_classes.append(classes[sortedInd[:k]])\n", " id += 1\n", " accuracy.append((float(trueNum) / len(predict)) * 100)\n", " print accuracy \n", " " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## issues and Remedies\n", "\n", "#### Issue: # Hack to increase size due to Error: field larger than field limit (131072)\n", "\n", "#### Remedy:\n", "\n", "```python\n", "maxInt = sys.maxsize\n", "decrement = True\n", "\n", "while decrement:\n", " # decrease the maxInt value by factor 10\n", " # as long as the OverflowError occurs.\n", "\n", " decrement = False\n", " try:\n", " csv.field_size_limit(maxInt)\n", " except OverflowError:\n", " maxInt = int(maxInt / 10)\n", " decrement = True\n", "```\n", "\n", "#### Issue: \n", "\n", "```python\n", "AttributeError Traceback (most recent call last)\n", "<ipython-input-4-a44dbf7d73b5> in <module>()\n", " 34 # Learn the word2vec model and extract vocabulary\n", " 35 wordvec_model = Word2Vec(all_data, min_count=min_word_frequency_word2vec, size=embed_size_word2vec, window=context_window_word2vec)\n", "---> 36 vocabulary = wordvec_model.vocab\n", " 37 vocab_size = len(vocabulary)\n", "\n", "AttributeError: 'Word2Vec' object has no attribute 'vocab'\n", "```\n", "\n", "#### Remedy:\n", "\n", "vocabulary = wordvec_model.wv.vocab\n", "\n", "\n", "#### Issue: \n", "\n", "```python\n", "TypeError Traceback (most recent call last)\n", "<ipython-input-2-665fcb716c54> in <module>()\n", " 225 backwards_1 = LSTM(1024, go_backwards=True)(sequence)\n", " 226 after_dp_backward_4 = Dropout(0.20)(backwards_1)\n", "--> 227 merged = merge([after_dp_forward_4, after_dp_backward_4], mode='concat', concat_axis=-1)\n", " 228 after_dp = Dropout(0.5)(merged)\n", " 229 output = Dense(len(unique_train_label), activation='softmax')(after_dp)\n", "\n", "TypeError: 'module' object is not callable\n", "```\n", "#### Remedy:\n", "```python\n", "merged = layers.concatenate([after_dp_forward_4, after_dp_backward_4], axis=-1)\n", "\n", "```\n", "```python\n", "---------------------------------------------------------------------------\n", "ImportError Traceback (most recent call last)\n", "<ipython-input-1-56f416da9cda> in <module>()\n", " 12 from keras.preprocessing import sequence\n", " 13 from keras.models import Model\n", "---> 14 from keras.layers import Dense, Dropout, Embedding, LSTM, Input, Merge\n", " 15 from keras.optimizers import RMSprop\n", " 16 from keras.utils import np_utils\n", "\n", "ImportError: cannot import name Merge\n", "```\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.15" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
liufuyang/deep_learning_tutorial
course-deeplearning.ai/course1-nn-and-deeplearning/Logistic+Regression+with+a+Neural+Network+mindset+v3.ipynb
1
350759
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Logistic Regression with a Neural Network mindset\n", "\n", "Welcome to your first (required) programming assignment! You will build a logistic regression classifier to recognize cats. This assignment will step you through how to do this with a Neural Network mindset, and so will also hone your intuitions about deep learning.\n", "\n", "**Instructions:**\n", "- Do not use loops (for/while) in your code, unless the instructions explicitly ask you to do so.\n", "\n", "**You will learn to:**\n", "- Build the general architecture of a learning algorithm, including:\n", " - Initializing parameters\n", " - Calculating the cost function and its gradient\n", " - Using an optimization algorithm (gradient descent) \n", "- Gather all three functions above into a main model function, in the right order." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1 - Packages ##\n", "\n", "First, let's run the cell below to import all the packages that you will need during this assignment. \n", "- [numpy](www.numpy.org) is the fundamental package for scientific computing with Python.\n", "- [h5py](http://www.h5py.org) is a common package to interact with a dataset that is stored on an H5 file.\n", "- [matplotlib](http://matplotlib.org) is a famous library to plot graphs in Python.\n", "- [PIL](http://www.pythonware.com/products/pil/) and [scipy](https://www.scipy.org/) are used here to test your model with your own picture at the end." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/opt/conda/lib/python3.5/site-packages/matplotlib/font_manager.py:273: UserWarning: Matplotlib is building the font cache using fc-list. This may take a moment.\n", " warnings.warn('Matplotlib is building the font cache using fc-list. This may take a moment.')\n", "/opt/conda/lib/python3.5/site-packages/matplotlib/font_manager.py:273: UserWarning: Matplotlib is building the font cache using fc-list. This may take a moment.\n", " warnings.warn('Matplotlib is building the font cache using fc-list. This may take a moment.')\n" ] } ], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import h5py\n", "import scipy\n", "from PIL import Image\n", "from scipy import ndimage\n", "from lr_utils import load_dataset\n", "\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## 2 - Overview of the Problem set ##\n", "\n", "**Problem Statement**: You are given a dataset (\"data.h5\") containing:\n", " - a training set of m_train images labeled as cat (y=1) or non-cat (y=0)\n", " - a test set of m_test images labeled as cat or non-cat\n", " - each image is of shape (num_px, num_px, 3) where 3 is for the 3 channels (RGB). Thus, each image is square (height = num_px) and (width = num_px).\n", "\n", "You will build a simple image-recognition algorithm that can correctly classify pictures as cat or non-cat.\n", "\n", "Let's get more familiar with the dataset. Load the data by running the following code." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Loading the data (cat/non-cat)\n", "train_set_x_orig, train_set_y, test_set_x_orig, test_set_y, classes = load_dataset()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We added \"_orig\" at the end of image datasets (train and test) because we are going to preprocess them. After preprocessing, we will end up with train_set_x and test_set_x (the labels train_set_y and test_set_y don't need any preprocessing).\n", "\n", "Each line of your train_set_x_orig and test_set_x_orig is an array representing an image. You can visualize an example by running the following code. Feel free also to change the `index` value and re-run to see other images. " ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "y = [1], it's a 'cat' picture.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWEAAAFiCAYAAAAna2l5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fa8c43cac50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Example of a picture\n", "index = 25\n", "plt.imshow(train_set_x_orig[index])\n", "print (\"y = \" + str(train_set_y[:, index]) + \", it's a '\" + classes[np.squeeze(train_set_y[:, index])].decode(\"utf-8\") + \"' picture.\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Many software bugs in deep learning come from having matrix/vector dimensions that don't fit. If you can keep your matrix/vector dimensions straight you will go a long way toward eliminating many bugs. \n", "\n", "**Exercise:** Find the values for:\n", " - m_train (number of training examples)\n", " - m_test (number of test examples)\n", " - num_px (= height = width of a training image)\n", "Remember that `train_set_x_orig` is a numpy-array of shape (m_train, num_px, num_px, 3). For instance, you can access `m_train` by writing `train_set_x_orig.shape[0]`." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(1, 209)" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "train_set_y.shape" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Number of training examples: m_train = 209\n", "Number of testing examples: m_test = 50\n", "Height/Width of each image: num_px = 64\n", "Each image is of size: (64, 64, 3)\n", "train_set_x shape: (209, 64, 64, 3)\n", "train_set_y shape: (1, 209)\n", "test_set_x shape: (50, 64, 64, 3)\n", "test_set_y shape: (1, 50)\n" ] } ], "source": [ "### START CODE HERE ### (≈ 3 lines of code)\n", "m_train = train_set_y.shape[1]\n", "m_test = test_set_y.shape[1]\n", "num_px = train_set_x_orig.shape[1]\n", "### END CODE HERE ###\n", "\n", "print (\"Number of training examples: m_train = \" + str(m_train))\n", "print (\"Number of testing examples: m_test = \" + str(m_test))\n", "print (\"Height/Width of each image: num_px = \" + str(num_px))\n", "print (\"Each image is of size: (\" + str(num_px) + \", \" + str(num_px) + \", 3)\")\n", "print (\"train_set_x shape: \" + str(train_set_x_orig.shape))\n", "print (\"train_set_y shape: \" + str(train_set_y.shape))\n", "print (\"test_set_x shape: \" + str(test_set_x_orig.shape))\n", "print (\"test_set_y shape: \" + str(test_set_y.shape))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output for m_train, m_test and num_px**: \n", "<table style=\"width:15%\">\n", " <tr>\n", " <td>**m_train**</td>\n", " <td> 209 </td> \n", " </tr>\n", " \n", " <tr>\n", " <td>**m_test**</td>\n", " <td> 50 </td> \n", " </tr>\n", " \n", " <tr>\n", " <td>**num_px**</td>\n", " <td> 64 </td> \n", " </tr>\n", " \n", "</table>\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For convenience, you should now reshape images of shape (num_px, num_px, 3) in a numpy-array of shape (num_px $*$ num_px $*$ 3, 1). After this, our training (and test) dataset is a numpy-array where each column represents a flattened image. There should be m_train (respectively m_test) columns.\n", "\n", "**Exercise:** Reshape the training and test data sets so that images of size (num_px, num_px, 3) are flattened into single vectors of shape (num\\_px $*$ num\\_px $*$ 3, 1).\n", "\n", "A trick when you want to flatten a matrix X of shape (a,b,c,d) to a matrix X_flatten of shape (b$*$c$*$d, a) is to use: \n", "```python\n", "X_flatten = X.reshape(X.shape[0], -1).T # X.T is the transpose of X\n", "```" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "train_set_x_flatten shape: (12288, 209)\n", "train_set_y shape: (1, 209)\n", "test_set_x_flatten shape: (12288, 50)\n", "test_set_y shape: (1, 50)\n", "sanity check after reshaping: [17 31 56 22 33]\n" ] } ], "source": [ "# Reshape the training and test examples\n", "\n", "### START CODE HERE ### (≈ 2 lines of code)\n", "train_set_x_flatten = train_set_x_orig.reshape(train_set_x_orig.shape[0], -1).T\n", "test_set_x_flatten = test_set_x_orig.reshape(test_set_x_orig.shape[0], -1).T\n", "### END CODE HERE ###\n", "\n", "print (\"train_set_x_flatten shape: \" + str(train_set_x_flatten.shape))\n", "print (\"train_set_y shape: \" + str(train_set_y.shape))\n", "print (\"test_set_x_flatten shape: \" + str(test_set_x_flatten.shape))\n", "print (\"test_set_y shape: \" + str(test_set_y.shape))\n", "print (\"sanity check after reshaping: \" + str(train_set_x_flatten[0:5,0]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**: \n", "\n", "<table style=\"width:35%\">\n", " <tr>\n", " <td>**train_set_x_flatten shape**</td>\n", " <td> (12288, 209)</td> \n", " </tr>\n", " <tr>\n", " <td>**train_set_y shape**</td>\n", " <td>(1, 209)</td> \n", " </tr>\n", " <tr>\n", " <td>**test_set_x_flatten shape**</td>\n", " <td>(12288, 50)</td> \n", " </tr>\n", " <tr>\n", " <td>**test_set_y shape**</td>\n", " <td>(1, 50)</td> \n", " </tr>\n", " <tr>\n", " <td>**sanity check after reshaping**</td>\n", " <td>[17 31 56 22 33]</td> \n", " </tr>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To represent color images, the red, green and blue channels (RGB) must be specified for each pixel, and so the pixel value is actually a vector of three numbers ranging from 0 to 255.\n", "\n", "One common preprocessing step in machine learning is to center and standardize your dataset, meaning that you substract the mean of the whole numpy array from each example, and then divide each example by the standard deviation of the whole numpy array. But for picture datasets, it is simpler and more convenient and works almost as well to just divide every row of the dataset by 255 (the maximum value of a pixel channel).\n", "\n", "<!-- During the training of your model, you're going to multiply weights and add biases to some initial inputs in order to observe neuron activations. Then you backpropogate with the gradients to train the model. But, it is extremely important for each feature to have a similar range such that our gradients don't explode. You will see that more in detail later in the lectures. !--> \n", "\n", "Let's standardize our dataset." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "train_set_x = train_set_x_flatten/255.\n", "test_set_x = test_set_x_flatten/255." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<font color='blue'>\n", "**What you need to remember:**\n", "\n", "Common steps for pre-processing a new dataset are:\n", "- Figure out the dimensions and shapes of the problem (m_train, m_test, num_px, ...)\n", "- Reshape the datasets such that each example is now a vector of size (num_px \\* num_px \\* 3, 1)\n", "- \"Standardize\" the data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3 - General Architecture of the learning algorithm ##\n", "\n", "It's time to design a simple algorithm to distinguish cat images from non-cat images.\n", "\n", "You will build a Logistic Regression, using a Neural Network mindset. The following Figure explains why **Logistic Regression is actually a very simple Neural Network!**\n", "\n", "<img src=\"images/LogReg_kiank.png\" style=\"width:650px;height:400px;\">\n", "\n", "**Mathematical expression of the algorithm**:\n", "\n", "For one example $x^{(i)}$:\n", "$$z^{(i)} = w^T x^{(i)} + b \\tag{1}$$\n", "$$\\hat{y}^{(i)} = a^{(i)} = sigmoid(z^{(i)})\\tag{2}$$ \n", "$$ \\mathcal{L}(a^{(i)}, y^{(i)}) = - y^{(i)} \\log(a^{(i)}) - (1-y^{(i)} ) \\log(1-a^{(i)})\\tag{3}$$\n", "\n", "The cost is then computed by summing over all training examples:\n", "$$ J = \\frac{1}{m} \\sum_{i=1}^m \\mathcal{L}(a^{(i)}, y^{(i)})\\tag{6}$$\n", "\n", "**Key steps**:\n", "In this exercise, you will carry out the following steps: \n", " - Initialize the parameters of the model\n", " - Learn the parameters for the model by minimizing the cost \n", " - Use the learned parameters to make predictions (on the test set)\n", " - Analyse the results and conclude" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4 - Building the parts of our algorithm ## \n", "\n", "The main steps for building a Neural Network are:\n", "1. Define the model structure (such as number of input features) \n", "2. Initialize the model's parameters\n", "3. Loop:\n", " - Calculate current loss (forward propagation)\n", " - Calculate current gradient (backward propagation)\n", " - Update parameters (gradient descent)\n", "\n", "You often build 1-3 separately and integrate them into one function we call `model()`.\n", "\n", "### 4.1 - Helper functions\n", "\n", "**Exercise**: Using your code from \"Python Basics\", implement `sigmoid()`. As you've seen in the figure above, you need to compute $sigmoid( w^T x + b) = \\frac{1}{1 + e^{-(w^T x + b)}}$ to make predictions. Use np.exp()." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# GRADED FUNCTION: sigmoid\n", "\n", "def sigmoid(z):\n", " \"\"\"\n", " Compute the sigmoid of z\n", "\n", " Arguments:\n", " z -- A scalar or numpy array of any size.\n", "\n", " Return:\n", " s -- sigmoid(z)\n", " \"\"\"\n", "\n", " ### START CODE HERE ### (≈ 1 line of code)\n", " s = 1.0 / (1.0 + np.exp(-z))\n", " ### END CODE HERE ###\n", " \n", " return s" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sigmoid([0, 2]) = [ 0.5 0.88079708]\n" ] } ], "source": [ "print (\"sigmoid([0, 2]) = \" + str(sigmoid(np.array([0,2]))))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**: \n", "\n", "<table>\n", " <tr>\n", " <td>**sigmoid([0, 2])**</td>\n", " <td> [ 0.5 0.88079708]</td> \n", " </tr>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 4.2 - Initializing parameters\n", "\n", "**Exercise:** Implement parameter initialization in the cell below. You have to initialize w as a vector of zeros. If you don't know what numpy function to use, look up np.zeros() in the Numpy library's documentation." ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# GRADED FUNCTION: initialize_with_zeros\n", "\n", "def initialize_with_zeros(dim):\n", " \"\"\"\n", " This function creates a vector of zeros of shape (dim, 1) for w and initializes b to 0.\n", " \n", " Argument:\n", " dim -- size of the w vector we want (or number of parameters in this case)\n", " \n", " Returns:\n", " w -- initialized vector of shape (dim, 1)\n", " b -- initialized scalar (corresponds to the bias)\n", " \"\"\"\n", " \n", " ### START CODE HERE ### (≈ 1 line of code)\n", " w = np.zeros((dim, 1))\n", " b = 0\n", " ### END CODE HERE ###\n", "\n", " assert(w.shape == (dim, 1))\n", " assert(isinstance(b, float) or isinstance(b, int))\n", " \n", " return w, b" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "w = [[ 0.]\n", " [ 0.]]\n", "b = 0\n" ] } ], "source": [ "dim = 2\n", "w, b = initialize_with_zeros(dim)\n", "print (\"w = \" + str(w))\n", "print (\"b = \" + str(b))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**: \n", "\n", "\n", "<table style=\"width:15%\">\n", " <tr>\n", " <td> ** w ** </td>\n", " <td> [[ 0.]\n", " [ 0.]] </td>\n", " </tr>\n", " <tr>\n", " <td> ** b ** </td>\n", " <td> 0 </td>\n", " </tr>\n", "</table>\n", "\n", "For image inputs, w will be of shape (num_px $\\times$ num_px $\\times$ 3, 1)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 4.3 - Forward and Backward propagation\n", "\n", "Now that your parameters are initialized, you can do the \"forward\" and \"backward\" propagation steps for learning the parameters.\n", "\n", "**Exercise:** Implement a function `propagate()` that computes the cost function and its gradient.\n", "\n", "**Hints**:\n", "\n", "Forward Propagation:\n", "- You get X\n", "- You compute $A = \\sigma(w^T X + b) = (a^{(0)}, a^{(1)}, ..., a^{(m-1)}, a^{(m)})$\n", "- You calculate the cost function: $J = -\\frac{1}{m}\\sum_{i=1}^{m}y^{(i)}\\log(a^{(i)})+(1-y^{(i)})\\log(1-a^{(i)})$\n", "\n", "Here are the two formulas you will be using: \n", "\n", "$$ \\frac{\\partial J}{\\partial w} = \\frac{1}{m}X(A-Y)^T\\tag{7}$$\n", "$$ \\frac{\\partial J}{\\partial b} = \\frac{1}{m} \\sum_{i=1}^m (a^{(i)}-y^{(i)})\\tag{8}$$" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# GRADED FUNCTION: propagate\n", "\n", "def propagate(w, b, X, Y):\n", " \"\"\"\n", " Implement the cost function and its gradient for the propagation explained above\n", "\n", " Arguments:\n", " w -- weights, a numpy array of size (num_px * num_px * 3, 1)\n", " b -- bias, a scalar\n", " X -- data of size (num_px * num_px * 3, number of examples)\n", " Y -- true \"label\" vector (containing 0 if non-cat, 1 if cat) of size (1, number of examples)\n", "\n", " Return:\n", " cost -- negative log-likelihood cost for logistic regression\n", " dw -- gradient of the loss with respect to w, thus same shape as w\n", " db -- gradient of the loss with respect to b, thus same shape as b\n", " \n", " Tips:\n", " - Write your code step by step for the propagation. np.log(), np.dot()\n", " \"\"\"\n", " \n", " m = X.shape[1]\n", " \n", " # FORWARD PROPAGATION (FROM X TO COST)\n", " ### START CODE HERE ### (≈ 2 lines of code)\n", " A = sigmoid(np.dot(w.T, X) + b) # compute activation\n", " cost = - 1.0 / m * np.sum(Y * np.log(A) + (1.0 - Y) * np.log(1-A)) # compute cost\n", " ### END CODE HERE ###\n", " \n", " # BACKWARD PROPAGATION (TO FIND GRAD)\n", " ### START CODE HERE ### (≈ 2 lines of code)\n", " dw = 1.0 / m * np.dot(X, (A - Y).T)\n", " db = 1.0 / m * np.sum(A - Y)\n", " ### END CODE HERE ###\n", "\n", " assert(dw.shape == w.shape)\n", " assert(db.dtype == float)\n", " cost = np.squeeze(cost)\n", " assert(cost.shape == ())\n", " \n", " grads = {\"dw\": dw,\n", " \"db\": db}\n", " \n", " return grads, cost" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "dw = [[ 0.99993216]\n", " [ 1.99980262]]\n", "db = 0.499935230625\n", "cost = 6.00006477319\n" ] } ], "source": [ "w, b, X, Y = np.array([[1],[2]]), 2, np.array([[1,2],[3,4]]), np.array([[1,0]])\n", "grads, cost = propagate(w, b, X, Y)\n", "print (\"dw = \" + str(grads[\"dw\"]))\n", "print (\"db = \" + str(grads[\"db\"]))\n", "print (\"cost = \" + str(cost))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**:\n", "\n", "<table style=\"width:50%\">\n", " <tr>\n", " <td> ** dw ** </td>\n", " <td> [[ 0.99993216]\n", " [ 1.99980262]]</td>\n", " </tr>\n", " <tr>\n", " <td> ** db ** </td>\n", " <td> 0.499935230625 </td>\n", " </tr>\n", " <tr>\n", " <td> ** cost ** </td>\n", " <td> 6.000064773192205</td>\n", " </tr>\n", "\n", "</table>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### d) Optimization\n", "- You have initialized your parameters.\n", "- You are also able to compute a cost function and its gradient.\n", "- Now, you want to update the parameters using gradient descent.\n", "\n", "**Exercise:** Write down the optimization function. The goal is to learn $w$ and $b$ by minimizing the cost function $J$. For a parameter $\\theta$, the update rule is $ \\theta = \\theta - \\alpha \\text{ } d\\theta$, where $\\alpha$ is the learning rate." ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# GRADED FUNCTION: optimize\n", "\n", "def optimize(w, b, X, Y, num_iterations, learning_rate, print_cost = False):\n", " \"\"\"\n", " This function optimizes w and b by running a gradient descent algorithm\n", " \n", " Arguments:\n", " w -- weights, a numpy array of size (num_px * num_px * 3, 1)\n", " b -- bias, a scalar\n", " X -- data of shape (num_px * num_px * 3, number of examples)\n", " Y -- true \"label\" vector (containing 0 if non-cat, 1 if cat), of shape (1, number of examples)\n", " num_iterations -- number of iterations of the optimization loop\n", " learning_rate -- learning rate of the gradient descent update rule\n", " print_cost -- True to print the loss every 100 steps\n", " \n", " Returns:\n", " params -- dictionary containing the weights w and bias b\n", " grads -- dictionary containing the gradients of the weights and bias with respect to the cost function\n", " costs -- list of all the costs computed during the optimization, this will be used to plot the learning curve.\n", " \n", " Tips:\n", " You basically need to write down two steps and iterate through them:\n", " 1) Calculate the cost and the gradient for the current parameters. Use propagate().\n", " 2) Update the parameters using gradient descent rule for w and b.\n", " \"\"\"\n", " \n", " costs = []\n", " \n", " for i in range(num_iterations):\n", " \n", " \n", " # Cost and gradient calculation (≈ 1-4 lines of code)\n", " ### START CODE HERE ### \n", " grads, cost = propagate(w, b, X, Y)\n", " ### END CODE HERE ###\n", " \n", " # Retrieve derivatives from grads\n", " dw = grads[\"dw\"]\n", " db = grads[\"db\"]\n", " \n", " # update rule (≈ 2 lines of code)\n", " ### START CODE HERE ###\n", " w = w - learning_rate * dw\n", " b = b - learning_rate * db\n", " ### END CODE HERE ###\n", " \n", " # Record the costs\n", " if i % 100 == 0:\n", " costs.append(cost)\n", " \n", " # Print the cost every 100 training examples\n", " if print_cost and i % 100 == 0:\n", " print (\"Cost after iteration %i: %f\" %(i, cost))\n", " \n", " params = {\"w\": w,\n", " \"b\": b}\n", " \n", " grads = {\"dw\": dw,\n", " \"db\": db}\n", " \n", " return params, grads, costs" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "w = [[ 0.1124579 ]\n", " [ 0.23106775]]\n", "b = 1.55930492484\n", "dw = [[ 0.90158428]\n", " [ 1.76250842]]\n", "db = 0.430462071679\n" ] } ], "source": [ "params, grads, costs = optimize(w, b, X, Y, num_iterations= 100, learning_rate = 0.009, print_cost = False)\n", "\n", "print (\"w = \" + str(params[\"w\"]))\n", "print (\"b = \" + str(params[\"b\"]))\n", "print (\"dw = \" + str(grads[\"dw\"]))\n", "print (\"db = \" + str(grads[\"db\"]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**: \n", "\n", "<table style=\"width:40%\">\n", " <tr>\n", " <td> **w** </td>\n", " <td>[[ 0.1124579 ]\n", " [ 0.23106775]] </td>\n", " </tr>\n", " \n", " <tr>\n", " <td> **b** </td>\n", " <td> 1.55930492484 </td>\n", " </tr>\n", " <tr>\n", " <td> **dw** </td>\n", " <td> [[ 0.90158428]\n", " [ 1.76250842]] </td>\n", " </tr>\n", " <tr>\n", " <td> **db** </td>\n", " <td> 0.430462071679 </td>\n", " </tr>\n", "\n", "</table>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Exercise:** The previous function will output the learned w and b. We are able to use w and b to predict the labels for a dataset X. Implement the `predict()` function. There is two steps to computing predictions:\n", "\n", "1. Calculate $\\hat{Y} = A = \\sigma(w^T X + b)$\n", "\n", "2. Convert the entries of a into 0 (if activation <= 0.5) or 1 (if activation > 0.5), stores the predictions in a vector `Y_prediction`. If you wish, you can use an `if`/`else` statement in a `for` loop (though there is also a way to vectorize this). " ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# GRADED FUNCTION: predict\n", "\n", "def predict(w, b, X):\n", " '''\n", " Predict whether the label is 0 or 1 using learned logistic regression parameters (w, b)\n", " \n", " Arguments:\n", " w -- weights, a numpy array of size (num_px * num_px * 3, 1)\n", " b -- bias, a scalar\n", " X -- data of size (num_px * num_px * 3, number of examples)\n", " \n", " Returns:\n", " Y_prediction -- a numpy array (vector) containing all predictions (0/1) for the examples in X\n", " '''\n", " \n", " m = X.shape[1]\n", " Y_prediction = np.zeros((1,m))\n", " w = w.reshape(X.shape[0], 1)\n", " \n", " # Compute vector \"A\" predicting the probabilities of a cat being present in the picture\n", " ### START CODE HERE ### (≈ 1 line of code)\n", " A = sigmoid(np.dot(w.T, X) + b)\n", " ### END CODE HERE ###\n", " \n", " for i in range(A.shape[1]):\n", " \n", " # Convert probabilities A[0,i] to actual predictions p[0,i]\n", " ### START CODE HERE ### (≈ 4 lines of code)\n", " Y_prediction[0, i] = A[0,i] > 0.5\n", " ### END CODE HERE ###\n", " \n", " assert(Y_prediction.shape == (1, m))\n", " \n", " return Y_prediction" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "predictions = [[ 1. 1.]]\n" ] } ], "source": [ "print (\"predictions = \" + str(predict(w, b, X)))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**: \n", "\n", "<table style=\"width:30%\">\n", " <tr>\n", " <td>\n", " **predictions**\n", " </td>\n", " <td>\n", " [[ 1. 1.]]\n", " </td> \n", " </tr>\n", "\n", "</table>\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "<font color='blue'>\n", "**What to remember:**\n", "You've implemented several functions that:\n", "- Initialize (w,b)\n", "- Optimize the loss iteratively to learn parameters (w,b):\n", " - computing the cost and its gradient \n", " - updating the parameters using gradient descent\n", "- Use the learned (w,b) to predict the labels for a given set of examples" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 5 - Merge all functions into a model ##\n", "\n", "You will now see how the overall model is structured by putting together all the building blocks (functions implemented in the previous parts) together, in the right order.\n", "\n", "**Exercise:** Implement the model function. Use the following notation:\n", " - Y_prediction for your predictions on the test set\n", " - Y_prediction_train for your predictions on the train set\n", " - w, costs, grads for the outputs of optimize()" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# GRADED FUNCTION: model\n", "\n", "def model(X_train, Y_train, X_test, Y_test, num_iterations = 2000, learning_rate = 0.5, print_cost = False):\n", " \"\"\"\n", " Builds the logistic regression model by calling the function you've implemented previously\n", " \n", " Arguments:\n", " X_train -- training set represented by a numpy array of shape (num_px * num_px * 3, m_train)\n", " Y_train -- training labels represented by a numpy array (vector) of shape (1, m_train)\n", " X_test -- test set represented by a numpy array of shape (num_px * num_px * 3, m_test)\n", " Y_test -- test labels represented by a numpy array (vector) of shape (1, m_test)\n", " num_iterations -- hyperparameter representing the number of iterations to optimize the parameters\n", " learning_rate -- hyperparameter representing the learning rate used in the update rule of optimize()\n", " print_cost -- Set to true to print the cost every 100 iterations\n", " \n", " Returns:\n", " d -- dictionary containing information about the model.\n", " \"\"\"\n", " \n", " ### START CODE HERE ###\n", " \n", " # initialize parameters with zeros (≈ 1 line of code)\n", " w, b = initialize_with_zeros(X_train.shape[0])\n", "\n", " # Gradient descent (≈ 1 line of code)\n", " parameters, grads, costs = optimize(w, b, X_train, Y_train, num_iterations, learning_rate, print_cost = print_cost)\n", " \n", " # Retrieve parameters w and b from dictionary \"parameters\"\n", " w = parameters[\"w\"]\n", " b = parameters[\"b\"]\n", " \n", " # Predict test/train set examples (≈ 2 lines of code)\n", " Y_prediction_test = predict(w, b, X_test)\n", " Y_prediction_train = predict(w, b, X_train)\n", "\n", " ### END CODE HERE ###\n", "\n", " # Print train/test Errors\n", " print(\"train accuracy: {} %\".format(100 - np.mean(np.abs(Y_prediction_train - Y_train)) * 100))\n", " print(\"test accuracy: {} %\".format(100 - np.mean(np.abs(Y_prediction_test - Y_test)) * 100))\n", "\n", " \n", " d = {\"costs\": costs,\n", " \"Y_prediction_test\": Y_prediction_test, \n", " \"Y_prediction_train\" : Y_prediction_train, \n", " \"w\" : w, \n", " \"b\" : b,\n", " \"learning_rate\" : learning_rate,\n", " \"num_iterations\": num_iterations}\n", " \n", " return d" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run the following cell to train your model." ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Cost after iteration 0: 0.693147\n", "Cost after iteration 100: 0.584508\n", "Cost after iteration 200: 0.466949\n", "Cost after iteration 300: 0.376007\n", "Cost after iteration 400: 0.331463\n", "Cost after iteration 500: 0.303273\n", "Cost after iteration 600: 0.279880\n", "Cost after iteration 700: 0.260042\n", "Cost after iteration 800: 0.242941\n", "Cost after iteration 900: 0.228004\n", "Cost after iteration 1000: 0.214820\n", "Cost after iteration 1100: 0.203078\n", "Cost after iteration 1200: 0.192544\n", "Cost after iteration 1300: 0.183033\n", "Cost after iteration 1400: 0.174399\n", "Cost after iteration 1500: 0.166521\n", "Cost after iteration 1600: 0.159305\n", "Cost after iteration 1700: 0.152667\n", "Cost after iteration 1800: 0.146542\n", "Cost after iteration 1900: 0.140872\n", "train accuracy: 99.04306220095694 %\n", "test accuracy: 70.0 %\n" ] } ], "source": [ "d = model(train_set_x, train_set_y, test_set_x, test_set_y, num_iterations = 2000, learning_rate = 0.005, print_cost = True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Expected Output**: \n", "\n", "<table style=\"width:40%\"> \n", " \n", " <tr>\n", " <td> **Train Accuracy** </td> \n", " <td> 99.04306220095694 % </td>\n", " </tr>\n", "\n", " <tr>\n", " <td>**Test Accuracy** </td> \n", " <td> 70.0 % </td>\n", " </tr>\n", "</table> \n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "**Comment**: Training accuracy is close to 100%. This is a good sanity check: your model is working and has high enough capacity to fit the training data. Test error is 68%. It is actually not bad for this simple model, given the small dataset we used and that logistic regression is a linear classifier. But no worries, you'll build an even better classifier next week!\n", "\n", "Also, you see that the model is clearly overfitting the training data. Later in this specialization you will learn how to reduce overfitting, for example by using regularization. Using the code below (and changing the `index` variable) you can look at predictions on pictures of the test set." ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/opt/conda/lib/python3.5/site-packages/ipykernel/__main__.py:4: DeprecationWarning: using a non-integer number instead of an integer will result in an error in the future\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "y = 1, you predicted that it is a \"cat\" picture.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWEAAAFiCAYAAAAna2l5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n8FnOcpaz3KGcQfgsZznLWe5Q/hVcokhRgy2SdQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fa8c4305320>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Example of a picture that was wrongly classified.\n", "index = 1\n", "plt.imshow(test_set_x[:,index].reshape((num_px, num_px, 3)))\n", "print (\"y = \" + str(test_set_y[0,index]) + \", you predicted that it is a \\\"\" + classes[d[\"Y_prediction_test\"][0,index]].decode(\"utf-8\") + \"\\\" picture.\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's also plot the cost function and the gradients." ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAh4AAAGHCAYAAAD/QltcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fa8c43050f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Plot learning curve (with costs)\n", "costs = np.squeeze(d['costs'])\n", "plt.plot(costs)\n", "plt.ylabel('cost')\n", "plt.xlabel('iterations (per hundreds)')\n", "plt.title(\"Learning rate =\" + str(d[\"learning_rate\"]))\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "**Interpretation**:\n", "You can see the cost decreasing. It shows that the parameters are being learned. However, you see that you could train the model even more on the training set. Try to increase the number of iterations in the cell above and rerun the cells. You might see that the training set accuracy goes up, but the test set accuracy goes down. This is called overfitting. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 6 - Further analysis (optional/ungraded exercise) ##\n", "\n", "Congratulations on building your first image classification model. Let's analyze it further, and examine possible choices for the learning rate $\\alpha$. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Choice of learning rate ####\n", "\n", "**Reminder**:\n", "In order for Gradient Descent to work you must choose the learning rate wisely. The learning rate $\\alpha$ determines how rapidly we update the parameters. If the learning rate is too large we may \"overshoot\" the optimal value. Similarly, if it is too small we will need too many iterations to converge to the best values. That's why it is crucial to use a well-tuned learning rate.\n", "\n", "Let's compare the learning curve of our model with several choices of learning rates. Run the cell below. This should take about 1 minute. Feel free also to try different values than the three we have initialized the `learning_rates` variable to contain, and see what happens. " ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "learning rate is: 0.01\n", "train accuracy: 99.52153110047847 %\n", "test accuracy: 68.0 %\n", "\n", "-------------------------------------------------------\n", "\n", "learning rate is: 0.001\n", "train accuracy: 88.99521531100478 %\n", "test accuracy: 64.0 %\n", "\n", "-------------------------------------------------------\n", "\n", "learning rate is: 0.0001\n", "train accuracy: 68.42105263157895 %\n", "test accuracy: 36.0 %\n", "\n", "-------------------------------------------------------\n", "\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAh4AAAF5CAYAAADQ2iM1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngfJVTDdDAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fa8c4264940>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "learning_rates = [0.01, 0.001, 0.0001]\n", "models = {}\n", "for i in learning_rates:\n", " print (\"learning rate is: \" + str(i))\n", " models[str(i)] = model(train_set_x, train_set_y, test_set_x, test_set_y, num_iterations = 1500, learning_rate = i, print_cost = False)\n", " print ('\\n' + \"-------------------------------------------------------\" + '\\n')\n", "\n", "for i in learning_rates:\n", " plt.plot(np.squeeze(models[str(i)][\"costs\"]), label= str(models[str(i)][\"learning_rate\"]))\n", "\n", "plt.ylabel('cost')\n", "plt.xlabel('iterations')\n", "\n", "legend = plt.legend(loc='upper center', shadow=True)\n", "frame = legend.get_frame()\n", "frame.set_facecolor('0.90')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Interpretation**: \n", "- Different learning rates give different costs and thus different predictions results.\n", "- If the learning rate is too large (0.01), the cost may oscillate up and down. It may even diverge (though in this example, using 0.01 still eventually ends up at a good value for the cost). \n", "- A lower cost doesn't mean a better model. You have to check if there is possibly overfitting. It happens when the training accuracy is a lot higher than the test accuracy.\n", "- In deep learning, we usually recommend that you: \n", " - Choose the learning rate that better minimizes the cost function.\n", " - If your model overfits, use other techniques to reduce overfitting. (We'll talk about this in later videos.) \n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## 7 - Test with your own image (optional/ungraded exercise) ##\n", "\n", "Congratulations on finishing this assignment. You can use your own image and see the output of your model. To do that:\n", " 1. Click on \"File\" in the upper bar of this notebook, then click \"Open\" to go on your Coursera Hub.\n", " 2. Add your image to this Jupyter Notebook's directory, in the \"images\" folder\n", " 3. Change your image's name in the following code\n", " 4. Run the code and check if the algorithm is right (1 = cat, 0 = non-cat)!" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "## START CODE HERE ## (PUT YOUR IMAGE NAME) \n", "my_image = \"my_image.jpg\" # change this to the name of your image file \n", "## END CODE HERE ##\n", "\n", "# We preprocess the image to fit your algorithm.\n", "fname = \"images/\" + my_image\n", "image = np.array(ndimage.imread(fname, flatten=False))\n", "my_image = scipy.misc.imresize(image, size=(num_px,num_px)).reshape((1, num_px*num_px*3)).T\n", "my_predicted_image = predict(d[\"w\"], d[\"b\"], my_image)\n", "\n", "plt.imshow(image)\n", "print(\"y = \" + str(np.squeeze(my_predicted_image)) + \", your algorithm predicts a \\\"\" + classes[int(np.squeeze(my_predicted_image)),].decode(\"utf-8\") + \"\\\" picture.\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<font color='blue'>\n", "**What to remember from this assignment:**\n", "1. Preprocessing the dataset is important.\n", "2. You implemented each function separately: initialize(), propagate(), optimize(). Then you built a model().\n", "3. Tuning the learning rate (which is an example of a \"hyperparameter\") can make a big difference to the algorithm. You will see more examples of this later in this course!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finally, if you'd like, we invite you to try different things on this Notebook. Make sure you submit before trying anything. Once you submit, things you can play with include:\n", " - Play with the learning rate and the number of iterations\n", " - Try different initialization methods and compare the results\n", " - Test other preprocessings (center the data, or divide each row by its standard deviation)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Bibliography:\n", "- http://www.wildml.com/2015/09/implementing-a-neural-network-from-scratch/\n", "- https://stats.stackexchange.com/questions/211436/why-do-we-normalize-images-by-subtracting-the-datasets-image-mean-and-not-the-c" ] } ], "metadata": { "coursera": { "course_slug": "neural-networks-deep-learning", "graded_item_id": "XaIWT", "launcher_item_id": "zAgPl" }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
abigailStev/whizzy_scripts
fft_comparison.ipynb
1
10406
{ "metadata": { "name": "", "signature": "sha256:8acd9453058711bb14707e6024beda39fdf928b2f04f2e874bbfe1534f73c6c4" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "FFT_comparison.ipynb" ] }, { "cell_type": "heading", "level": 4, "metadata": {}, "source": [ "by Abigail Stevens, A [dot] L (dot) Stevens {at} uva |dot| nl" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "This code is designed to compare different FFT functions in Python: SciPy, NumPy, and pyFFTW. It checks that the results are the same to numerical accuracy and times how long each takes to run. Note that for the 2D arrays, we are still taking a 1D FFT down one of the axes." ] }, { "cell_type": "code", "collapsed": false, "input": [ "import pyfftw\n", "import scipy.fftpack as fftpack\n", "import numpy as np\n", "import numpy.fft as npfft\n", "import timeit" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "nseg = 100\n", "n_bins = 8192\n", "rate1D = np.random.random_integers(0,10000,n_bins)\n", "rate2D = np.random.random_integers(0,10000,(n_bins, nseg))\n", "print \"Shape of 1D array:\", np.shape(rate1D)\n", "print \"Shape of 2D array:\", np.shape(rate2D)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Shape of 1D array: (8192,)\n", "Shape of 2D array: (8192, 100)\n" ] } ], "prompt_number": 2 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Taking the 1D and 2D FFTs" ] }, { "cell_type": "code", "collapsed": false, "input": [ "scipy_1Dfft = fftpack.fft(rate1D)\n", "## Still only taking a FFT in one dimension, but over a 2D array\n", "scipy_2Dfft = fftpack.fft(rate2D, axis=0)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "numpy_1Dfft = npfft.fft(rate1D)\n", "numpy_2Dfft = npfft.fft(rate2D, axis=0)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "in1D_array = pyfftw.n_byte_align_empty(n_bins, 16, 'complex128')\n", "out1D_array = pyfftw.n_byte_align_empty(n_bins, 16, 'complex128')\n", "fft1D_object = pyfftw.FFTW(in1D_array, out1D_array, flags=('FFTW_MEASURE',))\n", "ifft1D_object = pyfftw.FFTW(in1D_array, out1D_array, direction='FFTW_BACKWARD', flags=('FFTW_MEASURE',), normalise_idft=False)\n", "\n", "in2D_array = pyfftw.n_byte_align_empty((n_bins,nseg), 16, 'complex128')\n", "out2D_array = pyfftw.n_byte_align_empty((n_bins,nseg), 16, 'complex128')\n", "fft2D_object = pyfftw.FFTW(in2D_array, out2D_array, flags=('FFTW_MEASURE',), threads=50, axes=(0,))\n", "ifft2D_object = pyfftw.FFTW(in2D_array, out2D_array, direction='FFTW_BACKWARD', flags=('FFTW_MEASURE',), threads=50, axes=(0,), normalise_idft=False)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "in1D_array[:] = rate1D + 0j\n", "pyfftw_1Dfft = fft1D_object(in1D_array)\n", "\n", "in2D_array[:] = rate2D + 0j\n", "pyfftw_2Dfft = fft2D_object(in2D_array)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Checking that the results are the same, to numerical accuracy" ] }, { "cell_type": "code", "collapsed": false, "input": [ "print np.allclose(scipy_1Dfft, pyfftw_1Dfft)\n", "print np.allclose(numpy_1Dfft, pyfftw_1Dfft)\n", "print np.allclose(scipy_1Dfft, numpy_1Dfft)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "True\n", "True\n", "True\n" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "print np.allclose(scipy_2Dfft, pyfftw_2Dfft)\n", "print np.allclose(numpy_2Dfft, pyfftw_2Dfft)\n", "print np.allclose(scipy_2Dfft, numpy_2Dfft)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "True\n", "True\n", "True" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 8 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Timing the functions" ] }, { "cell_type": "code", "collapsed": false, "input": [ "print \"SciPy, FFT, 1D:\"\n", "%timeit fftpack.fft(rate1D)\n", "print \"pyFFTW, FFT, 1D:\"\n", "%timeit fft1D_object(in1D_array)\n", "print \"NumPy, FFT, 1D:\"\n", "%timeit npfft.fft(rate1D)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "SciPy, FFT, 1D:\n", "10000 loops, best of 3: 113 \u00b5s per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "pyFFTW, FFT, 1D:\n", "1000 loops, best of 3: 260 \u00b5s per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "NumPy, FFT, 1D:\n", "1000 loops, best of 3: 210 \u00b5s per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "print \"SciPy, FFT, 2D:\"\n", "%timeit fftpack.fft(rate2D, axis=0)\n", "print \"pyFFTW, FFT, 2D:\"\n", "%timeit fft2D_object(in2D_array)\n", "print \"NumPy, FFT, 2D:\"\n", "%timeit npfft.fft(rate2D, axis=0)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "SciPy, FFT, 2D:\n", "10 loops, best of 3: 17.2 ms per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "pyFFTW, FFT, 2D:\n", "10 loops, best of 3: 26.5 ms per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "NumPy, FFT, 2D:\n", "10 loops, best of 3: 33.4 ms per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 10 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "And now, checking iFFT" ] }, { "cell_type": "code", "collapsed": false, "input": [ "print \"SciPy, iFFT, 1D:\"\n", "%timeit fftpack.ifft(scipy_1Dfft)\n", "print \"pyFFTW, iFFT, 1D:\"\n", "%timeit ifft1D_object(pyfftw_1Dfft)\n", "print \"NumPy, iFFT, 1D:\"\n", "%timeit npfft.ifft(numpy_1Dfft)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "SciPy, iFFT, 1D:\n", "1000 loops, best of 3: 200 \u00b5s per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "pyFFTW, iFFT, 1D:\n", "1000 loops, best of 3: 290 \u00b5s per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "NumPy, iFFT, 1D:\n", "1000 loops, best of 3: 279 \u00b5s per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "print \"SciPy, iFFT, 2D:\"\n", "%timeit fftpack.ifft(scipy_2Dfft, axis=0)\n", "print \"pyFFTW, iFFT, 2D:\"\n", "%timeit ifft2D_object(pyfftw_2Dfft)\n", "print \"NumPy, iFFT, 2D:\"\n", "%timeit npfft.ifft(numpy_2Dfft, axis=0)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "SciPy, iFFT, 2D:\n", "10 loops, best of 3: 25.2 ms per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "pyFFTW, iFFT, 2D:\n", "10 loops, best of 3: 21.9 ms per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "NumPy, iFFT, 2D:\n", "10 loops, best of 3: 38.7 ms per loop" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 12 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Done!" ] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 } ], "metadata": {} } ] }
mit
jjonte/udacity-deeplearning-nd
py3/project-4/dlnd_language_translation.ipynb
1
491791
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true, "deletable": true, "editable": true }, "source": [ "# Language Translation\n", "In this project, you’re going to take a peek into the realm of neural network machine translation. You’ll be training a sequence to sequence model on a dataset of English and French sentences that can translate new sentences from English to French.\n", "## Get the Data\n", "Since translating the whole language of English to French will take lots of time to train, we have provided you with a small portion of the English corpus." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "import helper\n", "import problem_unittests as tests\n", "\n", "source_path = 'data/small_vocab_en'\n", "target_path = 'data/small_vocab_fr'\n", "source_text = helper.load_data(source_path)\n", "target_text = helper.load_data(target_path)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Explore the Data\n", "Play around with view_sentence_range to view different parts of the data." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Dataset Stats\n", "Roughly the number of unique words: 227\n", "Number of sentences: 137861\n", "Average number of words in a sentence: 13.225277634719028\n", "\n", "English sentences 0 to 10:\n", "new jersey is sometimes quiet during autumn , and it is snowy in april .\n", "the united states is usually chilly during july , and it is usually freezing in november .\n", "california is usually quiet during march , and it is usually hot in june .\n", "the united states is sometimes mild during june , and it is cold in september .\n", "your least liked fruit is the grape , but my least liked is the apple .\n", "his favorite fruit is the orange , but my favorite is the grape .\n", "paris is relaxing during december , but it is usually chilly in july .\n", "new jersey is busy during spring , and it is never hot in march .\n", "our least liked fruit is the lemon , but my least liked is the grape .\n", "the united states is sometimes busy during january , and it is sometimes warm in november .\n", "\n", "French sentences 0 to 10:\n", "new jersey est parfois calme pendant l' automne , et il est neigeux en avril .\n", "les états-unis est généralement froid en juillet , et il gèle habituellement en novembre .\n", "california est généralement calme en mars , et il est généralement chaud en juin .\n", "les états-unis est parfois légère en juin , et il fait froid en septembre .\n", "votre moins aimé fruit est le raisin , mais mon moins aimé est la pomme .\n", "son fruit préféré est l'orange , mais mon préféré est le raisin .\n", "paris est relaxant en décembre , mais il est généralement froid en juillet .\n", "new jersey est occupé au printemps , et il est jamais chaude en mars .\n", "notre fruit est moins aimé le citron , mais mon moins aimé est le raisin .\n", "les états-unis est parfois occupé en janvier , et il est parfois chaud en novembre .\n" ] } ], "source": [ "view_sentence_range = (0, 10)\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "import numpy as np\n", "\n", "print('Dataset Stats')\n", "print('Roughly the number of unique words: {}'.format(len({word: None for word in source_text.split()})))\n", "\n", "sentences = source_text.split('\\n')\n", "word_counts = [len(sentence.split()) for sentence in sentences]\n", "print('Number of sentences: {}'.format(len(sentences)))\n", "print('Average number of words in a sentence: {}'.format(np.average(word_counts)))\n", "\n", "print()\n", "print('English sentences {} to {}:'.format(*view_sentence_range))\n", "print('\\n'.join(source_text.split('\\n')[view_sentence_range[0]:view_sentence_range[1]]))\n", "print()\n", "print('French sentences {} to {}:'.format(*view_sentence_range))\n", "print('\\n'.join(target_text.split('\\n')[view_sentence_range[0]:view_sentence_range[1]]))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Implement Preprocessing Function\n", "### Text to Word Ids\n", "As you did with other RNNs, you must turn the text into a number so the computer can understand it. In the function `text_to_ids()`, you'll turn `source_text` and `target_text` from words to ids. However, you need to add the `<EOS>` word id at the end of each sentence from `target_text`. This will help the neural network predict when the sentence should end.\n", "\n", "You can get the `<EOS>` word id by doing:\n", "```python\n", "target_vocab_to_int['<EOS>']\n", "```\n", "You can get other word ids using `source_vocab_to_int` and `target_vocab_to_int`." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def text_to_ids(source_text, target_text, source_vocab_to_int, target_vocab_to_int):\n", " \"\"\"\n", " Convert source and target text to proper word ids\n", " :param source_text: String that contains all the source text.\n", " :param target_text: String that contains all the target text.\n", " :param source_vocab_to_int: Dictionary to go from the source words to an id\n", " :param target_vocab_to_int: Dictionary to go from the target words to an id\n", " :return: A tuple of lists (source_id_text, target_id_text)\n", " \"\"\"\n", " \n", " source_id_text = [[source_vocab_to_int[y] for y in x] for x in \n", " [sentence.split() for sentence in source_text.split('\\n')]]\n", " \n", " target_id_text = [[target_vocab_to_int[y] for y in x] for x in \n", " [sentence.split() for sentence in target_text.split('\\n')]]\n", " \n", " for l in target_id_text:\n", " l.append(target_vocab_to_int['<EOS>'])\n", " \n", " return source_id_text, target_id_text\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_text_to_ids(text_to_ids)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Preprocess all the data and save it\n", "Running the code cell below will preprocess all the data and save it to file." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "helper.preprocess_and_save_data(source_path, target_path, text_to_ids)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# Check Point\n", "This is your first checkpoint. If you ever decide to come back to this notebook or have to restart the notebook, you can start from here. The preprocessed data has been saved to disk." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "import numpy as np\n", "import helper\n", "\n", "(source_int_text, target_int_text), (source_vocab_to_int, target_vocab_to_int), _ = helper.load_preprocess()" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Check the Version of TensorFlow and Access to GPU\n", "This will check to make sure you have the correct version of TensorFlow and access to a GPU" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "TensorFlow Version: 1.0.1\n", "Default GPU Device: /gpu:0\n" ] } ], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "from distutils.version import LooseVersion\n", "import warnings\n", "import tensorflow as tf\n", "\n", "# Check TensorFlow Version\n", "assert LooseVersion(tf.__version__) in [LooseVersion('1.0.0'), LooseVersion('1.0.1')], 'This project requires TensorFlow version 1.0 You are using {}'.format(tf.__version__)\n", "print('TensorFlow Version: {}'.format(tf.__version__))\n", "\n", "# Check for a GPU\n", "if not tf.test.gpu_device_name():\n", " warnings.warn('No GPU found. Please use a GPU to train your neural network.')\n", "else:\n", " print('Default GPU Device: {}'.format(tf.test.gpu_device_name()))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Build the Neural Network\n", "You'll build the components necessary to build a Sequence-to-Sequence model by implementing the following functions below:\n", "- `model_inputs`\n", "- `process_decoding_input`\n", "- `encoding_layer`\n", "- `decoding_layer_train`\n", "- `decoding_layer_infer`\n", "- `decoding_layer`\n", "- `seq2seq_model`\n", "\n", "### Input\n", "Implement the `model_inputs()` function to create TF Placeholders for the Neural Network. It should create the following placeholders:\n", "\n", "- Input text placeholder named \"input\" using the TF Placeholder name parameter with rank 2.\n", "- Targets placeholder with rank 2.\n", "- Learning rate placeholder with rank 0.\n", "- Keep probability placeholder named \"keep_prob\" using the TF Placeholder name parameter with rank 0.\n", "\n", "Return the placeholders in the following the tuple (Input, Targets, Learing Rate, Keep Probability)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def model_inputs():\n", " \"\"\"\n", " Create TF Placeholders for input, targets, and learning rate.\n", " :return: Tuple (input, targets, learning rate, keep probability)\n", " \"\"\"\n", " inputs = tf.placeholder(tf.int32, [None, None], name='input')\n", " targets = tf.placeholder(tf.int32, [None, None], name='targets')\n", " learning_rate = tf.placeholder(tf.float32, name='learning_rate')\n", " keep_prob = tf.placeholder(tf.float32, name='keep_prob')\n", " \n", " return inputs, targets, learning_rate, keep_prob\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_model_inputs(model_inputs)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Process Decoding Input\n", "Implement `process_decoding_input` using TensorFlow to remove the last word id from each batch in `target_data` and concat the GO ID to the begining of each batch." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def process_decoding_input(target_data, target_vocab_to_int, batch_size):\n", " \"\"\"\n", " Preprocess target data for dencoding\n", " :param target_data: Target Placehoder\n", " :param target_vocab_to_int: Dictionary to go from the target words to an id\n", " :param batch_size: Batch Size\n", " :return: Preprocessed target data\n", " \"\"\"\n", " ending = tf.strided_slice(target_data, [0, 0], [batch_size, -1], [1, 1])\n", " decoding_input = tf.concat([tf.fill([batch_size, 1], target_vocab_to_int['<GO>']), ending], 1)\n", " \n", " return decoding_input\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_process_decoding_input(process_decoding_input)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Encoding\n", "Implement `encoding_layer()` to create a Encoder RNN layer using [`tf.nn.dynamic_rnn()`](https://www.tensorflow.org/api_docs/python/tf/nn/dynamic_rnn)." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def encoding_layer(rnn_inputs, rnn_size, num_layers, keep_prob):\n", " \"\"\"\n", " Create encoding layer\n", " :param rnn_inputs: Inputs for the RNN\n", " :param rnn_size: RNN Size\n", " :param num_layers: Number of layers\n", " :param keep_prob: Dropout keep probability\n", " :return: RNN state\n", " \"\"\"\n", " enc_cell = tf.contrib.rnn.MultiRNNCell([tf.contrib.rnn.BasicLSTMCell(rnn_size)] * num_layers)\n", " enc_cell = tf.contrib.rnn.DropoutWrapper(enc_cell, output_keep_prob=keep_prob)\n", " _, enc_state = tf.nn.dynamic_rnn(enc_cell, rnn_inputs, dtype=tf.float32)\n", " \n", " return enc_state\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_encoding_layer(encoding_layer)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Decoding - Training\n", "Create training logits using [`tf.contrib.seq2seq.simple_decoder_fn_train()`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/simple_decoder_fn_train) and [`tf.contrib.seq2seq.dynamic_rnn_decoder()`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/dynamic_rnn_decoder). Apply the `output_fn` to the [`tf.contrib.seq2seq.dynamic_rnn_decoder()`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/dynamic_rnn_decoder) outputs." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def decoding_layer_train(encoder_state, dec_cell, dec_embed_input, sequence_length, decoding_scope,\n", " output_fn, keep_prob):\n", " \"\"\"\n", " Create a decoding layer for training\n", " :param encoder_state: Encoder State\n", " :param dec_cell: Decoder RNN Cell\n", " :param dec_embed_input: Decoder embedded input\n", " :param sequence_length: Sequence Length\n", " :param decoding_scope: TenorFlow Variable Scope for decoding\n", " :param output_fn: Function to apply the output layer\n", " :param keep_prob: Dropout keep probability\n", " :return: Train Logits\n", " \"\"\"\n", " decoder = tf.contrib.seq2seq.simple_decoder_fn_train(encoder_state)\n", " prediction, _, _ = tf.contrib.seq2seq.dynamic_rnn_decoder(dec_cell, decoder, dec_embed_input, \n", " sequence_length, scope=decoding_scope)\n", " logits = output_fn(prediction)\n", " return logits\n", "\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_decoding_layer_train(decoding_layer_train)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Decoding - Inference\n", "Create inference logits using [`tf.contrib.seq2seq.simple_decoder_fn_inference()`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/simple_decoder_fn_inference) and [`tf.contrib.seq2seq.dynamic_rnn_decoder()`](https://www.tensorflow.org/api_docs/python/tf/contrib/seq2seq/dynamic_rnn_decoder). " ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def decoding_layer_infer(encoder_state, dec_cell, dec_embeddings, start_of_sequence_id, end_of_sequence_id,\n", " maximum_length, vocab_size, decoding_scope, output_fn, keep_prob):\n", " \"\"\"\n", " Create a decoding layer for inference\n", " :param encoder_state: Encoder state\n", " :param dec_cell: Decoder RNN Cell\n", " :param dec_embeddings: Decoder embeddings\n", " :param start_of_sequence_id: GO ID\n", " :param end_of_sequence_id: EOS Id\n", " :param maximum_length: The maximum allowed time steps to decode\n", " :param vocab_size: Size of vocabulary\n", " :param decoding_scope: TensorFlow Variable Scope for decoding\n", " :param output_fn: Function to apply the output layer\n", " :param keep_prob: Dropout keep probability\n", " :return: Inference Logits\n", " \"\"\"\n", " decoder = tf.contrib.seq2seq.simple_decoder_fn_inference(output_fn, encoder_state, dec_embeddings, \n", " start_of_sequence_id, end_of_sequence_id, \n", " maximum_length, vocab_size)\n", " logits, _, _ = tf.contrib.seq2seq.dynamic_rnn_decoder(dec_cell, decoder, scope=decoding_scope)\n", " \n", " return logits\n", "\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_decoding_layer_infer(decoding_layer_infer)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Build the Decoding Layer\n", "Implement `decoding_layer()` to create a Decoder RNN layer.\n", "\n", "- Create RNN cell for decoding using `rnn_size` and `num_layers`.\n", "- Create the output fuction using [`lambda`](https://docs.python.org/3/tutorial/controlflow.html#lambda-expressions) to transform it's input, logits, to class logits.\n", "- Use the your `decoding_layer_train(encoder_state, dec_cell, dec_embed_input, sequence_length, decoding_scope, output_fn, keep_prob)` function to get the training logits.\n", "- Use your `decoding_layer_infer(encoder_state, dec_cell, dec_embeddings, start_of_sequence_id, end_of_sequence_id, maximum_length, vocab_size, decoding_scope, output_fn, keep_prob)` function to get the inference logits.\n", "\n", "Note: You'll need to use [tf.variable_scope](https://www.tensorflow.org/api_docs/python/tf/variable_scope) to share variables between training and inference." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def decoding_layer(dec_embed_input, dec_embeddings, encoder_state, vocab_size, sequence_length, rnn_size,\n", " num_layers, target_vocab_to_int, keep_prob):\n", " \"\"\"\n", " Create decoding layer\n", " :param dec_embed_input: Decoder embedded input\n", " :param dec_embeddings: Decoder embeddings\n", " :param encoder_state: The encoded state\n", " :param vocab_size: Size of vocabulary\n", " :param sequence_length: Sequence Length\n", " :param rnn_size: RNN Size\n", " :param num_layers: Number of layers\n", " :param target_vocab_to_int: Dictionary to go from the target words to an id\n", " :param keep_prob: Dropout keep probability\n", " :return: Tuple of (Training Logits, Inference Logits)\n", " \"\"\"\n", " with tf.variable_scope(\"decoding\") as decoding_scope:\n", " dec_cell = tf.contrib.rnn.BasicLSTMCell(rnn_size)\n", " dec_cell = tf.contrib.rnn.DropoutWrapper(dec_cell, output_keep_prob=keep_prob)\n", " dec_cell = tf.contrib.rnn.MultiRNNCell([dec_cell] * num_layers)\n", " \n", " _, dec_state = tf.nn.dynamic_rnn(dec_cell, dec_embed_input, dtype=tf.float32)\n", " \n", " output_fn = lambda x: tf.contrib.layers.fully_connected(x, vocab_size, None, scope=decoding_scope)\n", " \n", " t_logits = decoding_layer_train(encoder_state, dec_cell, dec_embed_input, sequence_length, decoding_scope,\n", " output_fn, keep_prob)\n", " \n", " with tf.variable_scope(\"decoding\", reuse=True) as decoding_scope:\n", " i_logits = decoding_layer_infer(encoder_state, dec_cell, dec_embeddings, target_vocab_to_int['<GO>'], \n", " target_vocab_to_int['<EOS>'], sequence_length, vocab_size, \n", " decoding_scope, output_fn, keep_prob) \n", " \n", " return t_logits, i_logits\n", "\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_decoding_layer(decoding_layer)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Build the Neural Network\n", "Apply the functions you implemented above to:\n", "\n", "- Apply embedding to the input data for the encoder.\n", "- Encode the input using your `encoding_layer(rnn_inputs, rnn_size, num_layers, keep_prob)`.\n", "- Process target data using your `process_decoding_input(target_data, target_vocab_to_int, batch_size)` function.\n", "- Apply embedding to the target data for the decoder.\n", "- Decode the encoded input using your `decoding_layer(dec_embed_input, dec_embeddings, encoder_state, vocab_size, sequence_length, rnn_size, num_layers, target_vocab_to_int, keep_prob)`." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def seq2seq_model(input_data, target_data, keep_prob, batch_size, sequence_length, source_vocab_size, target_vocab_size,\n", " enc_embedding_size, dec_embedding_size, rnn_size, num_layers, target_vocab_to_int):\n", " \"\"\"\n", " Build the Sequence-to-Sequence part of the neural network\n", " :param input_data: Input placeholder\n", " :param target_data: Target placeholder\n", " :param keep_prob: Dropout keep probability placeholder\n", " :param batch_size: Batch Size\n", " :param sequence_length: Sequence Length\n", " :param source_vocab_size: Source vocabulary size\n", " :param target_vocab_size: Target vocabulary size\n", " :param enc_embedding_size: Decoder embedding size\n", " :param dec_embedding_size: Encoder embedding size\n", " :param rnn_size: RNN Size\n", " :param num_layers: Number of layers\n", " :param target_vocab_to_int: Dictionary to go from the target words to an id\n", " :return: Tuple of (Training Logits, Inference Logits)\n", " \"\"\"\n", " rnn_inputs = tf.contrib.layers.embed_sequence(input_data, vocab_size=source_vocab_size, \n", " embed_dim=enc_embedding_size)\n", " encoder_state = encoding_layer(rnn_inputs, rnn_size, num_layers, keep_prob)\n", " dec_input = process_decoding_input(target_data, target_vocab_to_int, batch_size)\n", " dec_embeddings = tf.Variable(tf.random_uniform([target_vocab_size, dec_embedding_size]))\n", " dec_embed_input = tf.nn.embedding_lookup(dec_embeddings, dec_input)\n", " \n", " t_logits, i_logits = decoding_layer(dec_embed_input, dec_embeddings, encoder_state, target_vocab_size,\n", " sequence_length, rnn_size, num_layers, target_vocab_to_int, keep_prob)\n", "\n", " return t_logits, i_logits\n", "\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_seq2seq_model(seq2seq_model)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Neural Network Training\n", "### Hyperparameters\n", "Tune the following parameters:\n", "\n", "- Set `epochs` to the number of epochs.\n", "- Set `batch_size` to the batch size.\n", "- Set `rnn_size` to the size of the RNNs.\n", "- Set `num_layers` to the number of layers.\n", "- Set `encoding_embedding_size` to the size of the embedding for the encoder.\n", "- Set `decoding_embedding_size` to the size of the embedding for the decoder.\n", "- Set `learning_rate` to the learning rate.\n", "- Set `keep_probability` to the Dropout keep probability" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# Number of Epochs\n", "epochs = 4\n", "# Batch Size\n", "batch_size = 128\n", "# RNN Size\n", "rnn_size = 384\n", "# Number of Layers\n", "num_layers = 2\n", "# Embedding Size\n", "encoding_embedding_size = 128\n", "decoding_embedding_size = 128\n", "# Learning Rate\n", "learning_rate = 0.001\n", "# Dropout Keep Probability\n", "keep_probability = 0.6" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Build the Graph\n", "Build the graph using the neural network you implemented." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "save_path = 'checkpoints/dev'\n", "(source_int_text, target_int_text), (source_vocab_to_int, target_vocab_to_int), _ = helper.load_preprocess()\n", "max_source_sentence_length = max([len(sentence) for sentence in source_int_text])\n", "\n", "train_graph = tf.Graph()\n", "with train_graph.as_default():\n", " input_data, targets, lr, keep_prob = model_inputs()\n", " sequence_length = tf.placeholder_with_default(max_source_sentence_length, None, name='sequence_length')\n", " input_shape = tf.shape(input_data)\n", " \n", " train_logits, inference_logits = seq2seq_model(\n", " tf.reverse(input_data, [-1]), targets, keep_prob, batch_size, sequence_length, len(source_vocab_to_int), len(target_vocab_to_int),\n", " encoding_embedding_size, decoding_embedding_size, rnn_size, num_layers, target_vocab_to_int)\n", "\n", " tf.identity(inference_logits, 'logits')\n", " with tf.name_scope(\"optimization\"):\n", " # Loss function\n", " cost = tf.contrib.seq2seq.sequence_loss(\n", " train_logits,\n", " targets,\n", " tf.ones([input_shape[0], sequence_length]))\n", "\n", " # Optimizer\n", " optimizer = tf.train.AdamOptimizer(lr)\n", "\n", " # Gradient Clipping\n", " gradients = optimizer.compute_gradients(cost)\n", " capped_gradients = [(tf.clip_by_value(grad, -1., 1.), var) for grad, var in gradients if grad is not None]\n", " train_op = optimizer.apply_gradients(capped_gradients)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Train\n", "Train the neural network on the preprocessed data. If you have a hard time getting a good loss, check the forms to see if anyone is having the same problem." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch 0 Batch 0/1077 - Train Accuracy: 0.294, Validation Accuracy: 0.305, Loss: 5.919\n", "Epoch 0 Batch 1/1077 - Train Accuracy: 0.221, Validation Accuracy: 0.305, Loss: 5.433\n", "Epoch 0 Batch 2/1077 - Train Accuracy: 0.206, Validation Accuracy: 0.305, Loss: 4.940\n", "Epoch 0 Batch 3/1077 - Train Accuracy: 0.250, Validation Accuracy: 0.318, Loss: 4.781\n", "Epoch 0 Batch 4/1077 - Train Accuracy: 0.261, Validation Accuracy: 0.336, Loss: 4.499\n", "Epoch 0 Batch 5/1077 - Train Accuracy: 0.296, Validation Accuracy: 0.337, Loss: 4.132\n", "Epoch 0 Batch 6/1077 - Train Accuracy: 0.279, Validation Accuracy: 0.337, Loss: 4.076\n", "Epoch 0 Batch 7/1077 - Train Accuracy: 0.263, Validation Accuracy: 0.337, Loss: 3.959\n", "Epoch 0 Batch 8/1077 - Train Accuracy: 0.269, Validation Accuracy: 0.337, Loss: 3.777\n", "Epoch 0 Batch 9/1077 - Train Accuracy: 0.304, Validation Accuracy: 0.362, Loss: 3.627\n", "Epoch 0 Batch 10/1077 - Train Accuracy: 0.278, Validation Accuracy: 0.374, Loss: 3.701\n", "Epoch 0 Batch 11/1077 - Train Accuracy: 0.330, Validation Accuracy: 0.373, Loss: 3.417\n", "Epoch 0 Batch 12/1077 - Train Accuracy: 0.310, Validation Accuracy: 0.376, Loss: 3.454\n", "Epoch 0 Batch 13/1077 - Train Accuracy: 0.356, Validation Accuracy: 0.376, Loss: 3.211\n", "Epoch 0 Batch 14/1077 - Train Accuracy: 0.339, Validation Accuracy: 0.380, Loss: 3.181\n", "Epoch 0 Batch 15/1077 - Train Accuracy: 0.320, Validation Accuracy: 0.381, Loss: 3.253\n", "Epoch 0 Batch 16/1077 - Train Accuracy: 0.338, Validation Accuracy: 0.383, Loss: 3.183\n", "Epoch 0 Batch 17/1077 - Train Accuracy: 0.341, Validation Accuracy: 0.385, Loss: 3.112\n", "Epoch 0 Batch 18/1077 - Train Accuracy: 0.330, Validation Accuracy: 0.398, Loss: 3.114\n", "Epoch 0 Batch 19/1077 - Train Accuracy: 0.360, Validation Accuracy: 0.405, Loss: 3.016\n", "Epoch 0 Batch 20/1077 - Train Accuracy: 0.361, Validation Accuracy: 0.414, Loss: 3.087\n", "Epoch 0 Batch 21/1077 - Train Accuracy: 0.299, Validation Accuracy: 0.379, Loss: 3.082\n", "Epoch 0 Batch 22/1077 - Train Accuracy: 0.370, Validation Accuracy: 0.432, Loss: 3.166\n", "Epoch 0 Batch 23/1077 - Train Accuracy: 0.348, Validation Accuracy: 0.411, Loss: 2.990\n", "Epoch 0 Batch 24/1077 - Train Accuracy: 0.360, Validation Accuracy: 0.415, Loss: 2.973\n", "Epoch 0 Batch 25/1077 - Train Accuracy: 0.366, Validation Accuracy: 0.433, Loss: 3.010\n", "Epoch 0 Batch 26/1077 - Train Accuracy: 0.354, Validation Accuracy: 0.420, Loss: 2.952\n", "Epoch 0 Batch 27/1077 - Train Accuracy: 0.417, Validation Accuracy: 0.436, Loss: 2.781\n", "Epoch 0 Batch 28/1077 - Train Accuracy: 0.389, Validation Accuracy: 0.430, Loss: 2.810\n", "Epoch 0 Batch 29/1077 - Train Accuracy: 0.389, Validation Accuracy: 0.433, Loss: 2.808\n", "Epoch 0 Batch 30/1077 - Train Accuracy: 0.381, Validation Accuracy: 0.444, Loss: 2.850\n", "Epoch 0 Batch 31/1077 - Train Accuracy: 0.374, Validation Accuracy: 0.430, Loss: 2.812\n", "Epoch 0 Batch 32/1077 - Train Accuracy: 0.420, Validation Accuracy: 0.438, Loss: 2.649\n", "Epoch 0 Batch 33/1077 - Train Accuracy: 0.422, Validation Accuracy: 0.462, Loss: 2.665\n", "Epoch 0 Batch 34/1077 - Train Accuracy: 0.383, Validation Accuracy: 0.440, Loss: 2.703\n", "Epoch 0 Batch 35/1077 - Train Accuracy: 0.389, Validation Accuracy: 0.444, Loss: 2.728\n", "Epoch 0 Batch 36/1077 - Train Accuracy: 0.412, Validation Accuracy: 0.466, Loss: 2.661\n", "Epoch 0 Batch 37/1077 - Train Accuracy: 0.402, Validation Accuracy: 0.455, Loss: 2.667\n", "Epoch 0 Batch 38/1077 - Train Accuracy: 0.363, Validation Accuracy: 0.463, Loss: 2.891\n", "Epoch 0 Batch 39/1077 - Train Accuracy: 0.396, Validation Accuracy: 0.466, Loss: 2.714\n", "Epoch 0 Batch 40/1077 - Train Accuracy: 0.411, Validation Accuracy: 0.470, Loss: 2.657\n", "Epoch 0 Batch 41/1077 - Train Accuracy: 0.438, Validation Accuracy: 0.475, Loss: 2.547\n", "Epoch 0 Batch 42/1077 - Train Accuracy: 0.414, Validation Accuracy: 0.474, Loss: 2.575\n", "Epoch 0 Batch 43/1077 - Train Accuracy: 0.408, Validation Accuracy: 0.472, Loss: 2.621\n", "Epoch 0 Batch 44/1077 - Train Accuracy: 0.378, Validation Accuracy: 0.477, Loss: 2.733\n", "Epoch 0 Batch 45/1077 - Train Accuracy: 0.399, Validation Accuracy: 0.465, Loss: 2.597\n", "Epoch 0 Batch 46/1077 - Train Accuracy: 0.398, Validation Accuracy: 0.463, Loss: 2.606\n", "Epoch 0 Batch 47/1077 - Train Accuracy: 0.425, Validation Accuracy: 0.475, Loss: 2.504\n", "Epoch 0 Batch 48/1077 - Train Accuracy: 0.424, Validation Accuracy: 0.482, Loss: 2.531\n", "Epoch 0 Batch 49/1077 - Train Accuracy: 0.427, Validation Accuracy: 0.485, Loss: 2.529\n", "Epoch 0 Batch 50/1077 - Train Accuracy: 0.406, Validation Accuracy: 0.468, Loss: 2.592\n", "Epoch 0 Batch 51/1077 - Train Accuracy: 0.432, Validation Accuracy: 0.464, Loss: 2.418\n", "Epoch 0 Batch 52/1077 - Train Accuracy: 0.416, Validation Accuracy: 0.478, Loss: 2.523\n", "Epoch 0 Batch 53/1077 - Train Accuracy: 0.428, Validation Accuracy: 0.487, Loss: 2.440\n", "Epoch 0 Batch 54/1077 - Train Accuracy: 0.395, Validation Accuracy: 0.485, Loss: 2.624\n", "Epoch 0 Batch 55/1077 - Train Accuracy: 0.456, Validation Accuracy: 0.492, Loss: 2.371\n", "Epoch 0 Batch 56/1077 - Train Accuracy: 0.428, Validation Accuracy: 0.480, Loss: 2.409\n", "Epoch 0 Batch 57/1077 - Train Accuracy: 0.485, Validation Accuracy: 0.475, Loss: 2.159\n", "Epoch 0 Batch 58/1077 - Train Accuracy: 0.435, Validation Accuracy: 0.489, Loss: 2.405\n", "Epoch 0 Batch 59/1077 - Train Accuracy: 0.391, Validation Accuracy: 0.493, Loss: 2.526\n", "Epoch 0 Batch 60/1077 - Train Accuracy: 0.432, Validation Accuracy: 0.472, Loss: 2.349\n", "Epoch 0 Batch 61/1077 - Train Accuracy: 0.423, Validation Accuracy: 0.488, Loss: 2.364\n", "Epoch 0 Batch 62/1077 - Train Accuracy: 0.407, Validation Accuracy: 0.496, Loss: 2.471\n", "Epoch 0 Batch 63/1077 - Train Accuracy: 0.457, Validation Accuracy: 0.481, Loss: 2.236\n", "Epoch 0 Batch 64/1077 - Train Accuracy: 0.396, Validation Accuracy: 0.466, Loss: 2.336\n", "Epoch 0 Batch 65/1077 - Train Accuracy: 0.372, Validation Accuracy: 0.478, Loss: 2.521\n", "Epoch 0 Batch 66/1077 - Train Accuracy: 0.409, Validation Accuracy: 0.469, Loss: 2.368\n", "Epoch 0 Batch 67/1077 - Train Accuracy: 0.465, Validation Accuracy: 0.490, Loss: 2.246\n", "Epoch 0 Batch 68/1077 - Train Accuracy: 0.403, Validation Accuracy: 0.488, Loss: 2.330\n", "Epoch 0 Batch 69/1077 - Train Accuracy: 0.455, Validation Accuracy: 0.498, Loss: 2.316\n", "Epoch 0 Batch 70/1077 - Train Accuracy: 0.395, Validation Accuracy: 0.496, Loss: 2.349\n", "Epoch 0 Batch 71/1077 - Train Accuracy: 0.412, Validation Accuracy: 0.488, Loss: 2.237\n", "Epoch 0 Batch 72/1077 - Train Accuracy: 0.430, Validation Accuracy: 0.483, Loss: 2.227\n", "Epoch 0 Batch 73/1077 - Train Accuracy: 0.399, Validation Accuracy: 0.466, Loss: 2.268\n", "Epoch 0 Batch 74/1077 - Train Accuracy: 0.438, Validation Accuracy: 0.480, Loss: 2.147\n", "Epoch 0 Batch 75/1077 - Train Accuracy: 0.462, Validation Accuracy: 0.492, Loss: 2.116\n", "Epoch 0 Batch 76/1077 - Train Accuracy: 0.427, Validation Accuracy: 0.491, Loss: 2.184\n", "Epoch 0 Batch 77/1077 - Train Accuracy: 0.420, Validation Accuracy: 0.497, Loss: 2.226\n", "Epoch 0 Batch 78/1077 - Train Accuracy: 0.358, Validation Accuracy: 0.455, Loss: 2.333\n", "Epoch 0 Batch 79/1077 - Train Accuracy: 0.400, Validation Accuracy: 0.467, Loss: 2.325\n", "Epoch 0 Batch 80/1077 - Train Accuracy: 0.434, Validation Accuracy: 0.475, Loss: 2.173\n", "Epoch 0 Batch 81/1077 - Train Accuracy: 0.411, Validation Accuracy: 0.459, Loss: 2.218\n", "Epoch 0 Batch 82/1077 - Train Accuracy: 0.445, Validation Accuracy: 0.457, Loss: 2.023\n", "Epoch 0 Batch 83/1077 - Train Accuracy: 0.424, Validation Accuracy: 0.495, Loss: 2.279\n", "Epoch 0 Batch 84/1077 - Train Accuracy: 0.428, Validation Accuracy: 0.490, Loss: 2.216\n", "Epoch 0 Batch 85/1077 - Train Accuracy: 0.415, Validation Accuracy: 0.463, Loss: 1.998\n", "Epoch 0 Batch 86/1077 - Train Accuracy: 0.412, Validation Accuracy: 0.479, Loss: 2.180\n", "Epoch 0 Batch 87/1077 - Train Accuracy: 0.427, Validation Accuracy: 0.480, Loss: 2.176\n", "Epoch 0 Batch 88/1077 - Train Accuracy: 0.443, Validation Accuracy: 0.495, Loss: 2.115\n", "Epoch 0 Batch 89/1077 - Train Accuracy: 0.398, Validation Accuracy: 0.460, Loss: 2.080\n", "Epoch 0 Batch 90/1077 - Train Accuracy: 0.385, Validation Accuracy: 0.462, Loss: 2.183\n", "Epoch 0 Batch 91/1077 - Train Accuracy: 0.485, Validation Accuracy: 0.489, Loss: 1.891\n", "Epoch 0 Batch 92/1077 - Train Accuracy: 0.427, Validation Accuracy: 0.483, Loss: 2.077\n", "Epoch 0 Batch 93/1077 - Train Accuracy: 0.404, Validation Accuracy: 0.468, Loss: 2.089\n", "Epoch 0 Batch 94/1077 - Train Accuracy: 0.416, Validation Accuracy: 0.472, Loss: 2.048\n", "Epoch 0 Batch 95/1077 - Train Accuracy: 0.471, Validation Accuracy: 0.492, Loss: 1.990\n", "Epoch 0 Batch 96/1077 - Train Accuracy: 0.448, Validation Accuracy: 0.504, Loss: 2.011\n", "Epoch 0 Batch 97/1077 - Train Accuracy: 0.438, Validation Accuracy: 0.485, Loss: 2.043\n", "Epoch 0 Batch 98/1077 - Train Accuracy: 0.468, Validation Accuracy: 0.474, Loss: 1.928\n", "Epoch 0 Batch 99/1077 - Train Accuracy: 0.394, Validation Accuracy: 0.471, Loss: 2.066\n", "Epoch 0 Batch 100/1077 - Train Accuracy: 0.415, Validation Accuracy: 0.469, Loss: 2.007\n", "Epoch 0 Batch 101/1077 - Train Accuracy: 0.398, Validation Accuracy: 0.468, Loss: 1.963\n", "Epoch 0 Batch 102/1077 - Train Accuracy: 0.443, Validation Accuracy: 0.485, Loss: 1.889\n", "Epoch 0 Batch 103/1077 - Train Accuracy: 0.384, Validation Accuracy: 0.488, Loss: 2.082\n", "Epoch 0 Batch 104/1077 - Train Accuracy: 0.344, Validation Accuracy: 0.471, Loss: 2.073\n", "Epoch 0 Batch 105/1077 - Train Accuracy: 0.443, Validation Accuracy: 0.477, Loss: 1.882\n", "Epoch 0 Batch 106/1077 - Train Accuracy: 0.409, Validation Accuracy: 0.471, Loss: 2.027\n", "Epoch 0 Batch 107/1077 - Train Accuracy: 0.441, Validation Accuracy: 0.473, Loss: 1.815\n", "Epoch 0 Batch 108/1077 - Train Accuracy: 0.464, Validation Accuracy: 0.471, Loss: 1.747\n", "Epoch 0 Batch 109/1077 - Train Accuracy: 0.425, Validation Accuracy: 0.464, Loss: 1.885\n", "Epoch 0 Batch 110/1077 - Train Accuracy: 0.445, Validation Accuracy: 0.472, Loss: 1.866\n", "Epoch 0 Batch 111/1077 - Train Accuracy: 0.419, Validation Accuracy: 0.477, Loss: 1.917\n", "Epoch 0 Batch 112/1077 - Train Accuracy: 0.400, Validation Accuracy: 0.466, Loss: 1.904\n", "Epoch 0 Batch 113/1077 - Train Accuracy: 0.434, Validation Accuracy: 0.490, Loss: 1.933\n", "Epoch 0 Batch 114/1077 - Train Accuracy: 0.427, Validation Accuracy: 0.471, Loss: 1.834\n", "Epoch 0 Batch 115/1077 - Train Accuracy: 0.412, Validation Accuracy: 0.484, Loss: 1.923\n", "Epoch 0 Batch 116/1077 - Train Accuracy: 0.410, Validation Accuracy: 0.487, Loss: 1.889\n", "Epoch 0 Batch 117/1077 - Train Accuracy: 0.381, Validation Accuracy: 0.468, Loss: 1.951\n", "Epoch 0 Batch 118/1077 - Train Accuracy: 0.403, Validation Accuracy: 0.493, Loss: 1.944\n", "Epoch 0 Batch 119/1077 - Train Accuracy: 0.433, Validation Accuracy: 0.493, Loss: 1.824\n", "Epoch 0 Batch 120/1077 - Train Accuracy: 0.416, Validation Accuracy: 0.477, Loss: 1.864\n", "Epoch 0 Batch 121/1077 - Train Accuracy: 0.430, Validation Accuracy: 0.480, Loss: 1.821\n", "Epoch 0 Batch 122/1077 - Train Accuracy: 0.435, Validation Accuracy: 0.488, Loss: 1.814\n", "Epoch 0 Batch 123/1077 - Train Accuracy: 0.462, Validation Accuracy: 0.488, Loss: 1.737\n", "Epoch 0 Batch 124/1077 - Train Accuracy: 0.386, Validation Accuracy: 0.464, Loss: 1.772\n", "Epoch 0 Batch 125/1077 - Train Accuracy: 0.426, Validation Accuracy: 0.470, Loss: 1.791\n", "Epoch 0 Batch 126/1077 - Train Accuracy: 0.454, Validation Accuracy: 0.495, Loss: 1.694\n", "Epoch 0 Batch 127/1077 - Train Accuracy: 0.447, Validation Accuracy: 0.484, Loss: 1.770\n", "Epoch 0 Batch 128/1077 - Train Accuracy: 0.462, Validation Accuracy: 0.480, Loss: 1.664\n", "Epoch 0 Batch 129/1077 - Train Accuracy: 0.446, Validation Accuracy: 0.479, Loss: 1.785\n", "Epoch 0 Batch 130/1077 - Train Accuracy: 0.466, Validation Accuracy: 0.497, Loss: 1.636\n", "Epoch 0 Batch 131/1077 - Train Accuracy: 0.433, Validation Accuracy: 0.486, Loss: 1.742\n", "Epoch 0 Batch 132/1077 - Train Accuracy: 0.403, Validation Accuracy: 0.473, Loss: 1.755\n", "Epoch 0 Batch 133/1077 - Train Accuracy: 0.418, Validation Accuracy: 0.486, Loss: 1.724\n", "Epoch 0 Batch 134/1077 - Train Accuracy: 0.437, Validation Accuracy: 0.491, Loss: 1.631\n", "Epoch 0 Batch 135/1077 - Train Accuracy: 0.403, Validation Accuracy: 0.473, Loss: 1.766\n", "Epoch 0 Batch 136/1077 - Train Accuracy: 0.418, Validation Accuracy: 0.472, Loss: 1.664\n", "Epoch 0 Batch 137/1077 - Train Accuracy: 0.457, Validation Accuracy: 0.473, Loss: 1.522\n", "Epoch 0 Batch 138/1077 - Train Accuracy: 0.408, Validation Accuracy: 0.473, Loss: 1.594\n", "Epoch 0 Batch 139/1077 - Train Accuracy: 0.411, Validation Accuracy: 0.461, Loss: 1.623\n", "Epoch 0 Batch 140/1077 - Train Accuracy: 0.358, Validation Accuracy: 0.458, Loss: 1.737\n", "Epoch 0 Batch 141/1077 - Train Accuracy: 0.407, Validation Accuracy: 0.472, Loss: 1.685\n", "Epoch 0 Batch 142/1077 - Train Accuracy: 0.456, Validation Accuracy: 0.470, Loss: 1.501\n", "Epoch 0 Batch 143/1077 - Train Accuracy: 0.426, Validation Accuracy: 0.460, Loss: 1.616\n", "Epoch 0 Batch 144/1077 - Train Accuracy: 0.373, Validation Accuracy: 0.461, Loss: 1.664\n", "Epoch 0 Batch 145/1077 - Train Accuracy: 0.446, Validation Accuracy: 0.472, Loss: 1.537\n", "Epoch 0 Batch 146/1077 - Train Accuracy: 0.431, Validation Accuracy: 0.452, Loss: 1.564\n", "Epoch 0 Batch 147/1077 - Train Accuracy: 0.386, Validation Accuracy: 0.463, Loss: 1.589\n", "Epoch 0 Batch 148/1077 - Train Accuracy: 0.441, Validation Accuracy: 0.474, Loss: 1.560\n", "Epoch 0 Batch 149/1077 - Train Accuracy: 0.390, Validation Accuracy: 0.464, Loss: 1.591\n", "Epoch 0 Batch 150/1077 - Train Accuracy: 0.430, Validation Accuracy: 0.466, Loss: 1.466\n", "Epoch 0 Batch 151/1077 - Train Accuracy: 0.454, Validation Accuracy: 0.481, Loss: 1.498\n", "Epoch 0 Batch 152/1077 - Train Accuracy: 0.436, Validation Accuracy: 0.489, Loss: 1.546\n", "Epoch 0 Batch 153/1077 - Train Accuracy: 0.405, Validation Accuracy: 0.475, Loss: 1.584\n", "Epoch 0 Batch 154/1077 - Train Accuracy: 0.384, Validation Accuracy: 0.473, Loss: 1.598\n", "Epoch 0 Batch 155/1077 - Train Accuracy: 0.423, Validation Accuracy: 0.478, Loss: 1.510\n", "Epoch 0 Batch 156/1077 - Train Accuracy: 0.431, Validation Accuracy: 0.478, Loss: 1.510\n", "Epoch 0 Batch 157/1077 - Train Accuracy: 0.405, Validation Accuracy: 0.466, Loss: 1.518\n", "Epoch 0 Batch 158/1077 - Train Accuracy: 0.461, Validation Accuracy: 0.478, Loss: 1.510\n", "Epoch 0 Batch 159/1077 - Train Accuracy: 0.418, Validation Accuracy: 0.441, Loss: 1.451\n", "Epoch 0 Batch 160/1077 - Train Accuracy: 0.388, Validation Accuracy: 0.434, Loss: 1.459\n", "Epoch 0 Batch 161/1077 - Train Accuracy: 0.426, Validation Accuracy: 0.466, Loss: 1.533\n", "Epoch 0 Batch 162/1077 - Train Accuracy: 0.384, Validation Accuracy: 0.448, Loss: 1.520\n", "Epoch 0 Batch 163/1077 - Train Accuracy: 0.314, Validation Accuracy: 0.414, Loss: 1.527\n", "Epoch 0 Batch 164/1077 - Train Accuracy: 0.381, Validation Accuracy: 0.451, Loss: 1.520\n", "Epoch 0 Batch 165/1077 - Train Accuracy: 0.367, Validation Accuracy: 0.460, Loss: 1.475\n", "Epoch 0 Batch 166/1077 - Train Accuracy: 0.394, Validation Accuracy: 0.414, Loss: 1.408\n", "Epoch 0 Batch 167/1077 - Train Accuracy: 0.389, Validation Accuracy: 0.461, Loss: 1.534\n", "Epoch 0 Batch 168/1077 - Train Accuracy: 0.398, Validation Accuracy: 0.470, Loss: 1.515\n", "Epoch 0 Batch 169/1077 - Train Accuracy: 0.458, Validation Accuracy: 0.461, Loss: 1.397\n", "Epoch 0 Batch 170/1077 - Train Accuracy: 0.373, Validation Accuracy: 0.448, Loss: 1.468\n", "Epoch 0 Batch 171/1077 - Train Accuracy: 0.438, Validation Accuracy: 0.446, Loss: 1.357\n", "Epoch 0 Batch 172/1077 - Train Accuracy: 0.427, Validation Accuracy: 0.460, Loss: 1.327\n", "Epoch 0 Batch 173/1077 - Train Accuracy: 0.367, Validation Accuracy: 0.462, Loss: 1.537\n", "Epoch 0 Batch 174/1077 - Train Accuracy: 0.412, Validation Accuracy: 0.436, Loss: 1.388\n", "Epoch 0 Batch 175/1077 - Train Accuracy: 0.414, Validation Accuracy: 0.449, Loss: 1.395\n", "Epoch 0 Batch 176/1077 - Train Accuracy: 0.390, Validation Accuracy: 0.468, Loss: 1.380\n", "Epoch 0 Batch 177/1077 - Train Accuracy: 0.380, Validation Accuracy: 0.476, Loss: 1.487\n", "Epoch 0 Batch 178/1077 - Train Accuracy: 0.415, Validation Accuracy: 0.438, Loss: 1.374\n", "Epoch 0 Batch 179/1077 - Train Accuracy: 0.368, Validation Accuracy: 0.441, Loss: 1.446\n", "Epoch 0 Batch 180/1077 - Train Accuracy: 0.413, Validation Accuracy: 0.477, Loss: 1.385\n", "Epoch 0 Batch 181/1077 - Train Accuracy: 0.432, Validation Accuracy: 0.476, Loss: 1.398\n", "Epoch 0 Batch 182/1077 - Train Accuracy: 0.404, Validation Accuracy: 0.455, Loss: 1.351\n", "Epoch 0 Batch 183/1077 - Train Accuracy: 0.339, Validation Accuracy: 0.422, Loss: 1.417\n", "Epoch 0 Batch 184/1077 - Train Accuracy: 0.391, Validation Accuracy: 0.440, Loss: 1.339\n", "Epoch 0 Batch 185/1077 - Train Accuracy: 0.404, Validation Accuracy: 0.479, Loss: 1.356\n", "Epoch 0 Batch 186/1077 - Train Accuracy: 0.376, Validation Accuracy: 0.434, Loss: 1.433\n", "Epoch 0 Batch 187/1077 - Train Accuracy: 0.407, Validation Accuracy: 0.456, Loss: 1.331\n", "Epoch 0 Batch 188/1077 - Train Accuracy: 0.430, Validation Accuracy: 0.481, Loss: 1.359\n", "Epoch 0 Batch 189/1077 - Train Accuracy: 0.419, Validation Accuracy: 0.485, Loss: 1.375\n", "Epoch 0 Batch 190/1077 - Train Accuracy: 0.464, Validation Accuracy: 0.495, Loss: 1.343\n", "Epoch 0 Batch 191/1077 - Train Accuracy: 0.476, Validation Accuracy: 0.472, Loss: 1.218\n", "Epoch 0 Batch 192/1077 - Train Accuracy: 0.437, Validation Accuracy: 0.468, Loss: 1.345\n", "Epoch 0 Batch 193/1077 - Train Accuracy: 0.454, Validation Accuracy: 0.479, Loss: 1.292\n", "Epoch 0 Batch 194/1077 - Train Accuracy: 0.467, Validation Accuracy: 0.488, Loss: 1.233\n", "Epoch 0 Batch 195/1077 - Train Accuracy: 0.411, Validation Accuracy: 0.471, Loss: 1.317\n", "Epoch 0 Batch 196/1077 - Train Accuracy: 0.452, Validation Accuracy: 0.477, Loss: 1.286\n", "Epoch 0 Batch 197/1077 - Train Accuracy: 0.429, Validation Accuracy: 0.480, Loss: 1.294\n", "Epoch 0 Batch 198/1077 - Train Accuracy: 0.445, Validation Accuracy: 0.486, Loss: 1.196\n", "Epoch 0 Batch 199/1077 - Train Accuracy: 0.420, Validation Accuracy: 0.440, Loss: 1.312\n", "Epoch 0 Batch 200/1077 - Train Accuracy: 0.372, Validation Accuracy: 0.447, Loss: 1.313\n", "Epoch 0 Batch 201/1077 - Train Accuracy: 0.439, Validation Accuracy: 0.486, Loss: 1.220\n", "Epoch 0 Batch 202/1077 - Train Accuracy: 0.471, Validation Accuracy: 0.500, Loss: 1.287\n", "Epoch 0 Batch 203/1077 - Train Accuracy: 0.447, Validation Accuracy: 0.476, Loss: 1.260\n", "Epoch 0 Batch 204/1077 - Train Accuracy: 0.400, Validation Accuracy: 0.468, Loss: 1.263\n", "Epoch 0 Batch 205/1077 - Train Accuracy: 0.421, Validation Accuracy: 0.465, Loss: 1.305\n", "Epoch 0 Batch 206/1077 - Train Accuracy: 0.411, Validation Accuracy: 0.491, Loss: 1.302\n", "Epoch 0 Batch 207/1077 - Train Accuracy: 0.396, Validation Accuracy: 0.486, Loss: 1.297\n", "Epoch 0 Batch 208/1077 - Train Accuracy: 0.432, Validation Accuracy: 0.463, Loss: 1.262\n", "Epoch 0 Batch 209/1077 - Train Accuracy: 0.432, Validation Accuracy: 0.467, Loss: 1.171\n", "Epoch 0 Batch 210/1077 - Train Accuracy: 0.463, Validation Accuracy: 0.483, Loss: 1.283\n", "Epoch 0 Batch 211/1077 - Train Accuracy: 0.420, Validation Accuracy: 0.485, Loss: 1.240\n", "Epoch 0 Batch 212/1077 - Train Accuracy: 0.466, Validation Accuracy: 0.486, Loss: 1.174\n", "Epoch 0 Batch 213/1077 - Train Accuracy: 0.460, Validation Accuracy: 0.502, Loss: 1.178\n", "Epoch 0 Batch 214/1077 - Train Accuracy: 0.442, Validation Accuracy: 0.509, Loss: 1.240\n", "Epoch 0 Batch 215/1077 - Train Accuracy: 0.449, Validation Accuracy: 0.514, Loss: 1.242\n", "Epoch 0 Batch 216/1077 - Train Accuracy: 0.438, Validation Accuracy: 0.508, Loss: 1.254\n", "Epoch 0 Batch 217/1077 - Train Accuracy: 0.502, Validation Accuracy: 0.518, Loss: 1.181\n", "Epoch 0 Batch 218/1077 - Train Accuracy: 0.430, Validation Accuracy: 0.524, Loss: 1.317\n", "Epoch 0 Batch 219/1077 - Train Accuracy: 0.451, Validation Accuracy: 0.514, Loss: 1.190\n", "Epoch 0 Batch 220/1077 - Train Accuracy: 0.456, Validation Accuracy: 0.521, Loss: 1.229\n", "Epoch 0 Batch 221/1077 - Train Accuracy: 0.477, Validation Accuracy: 0.532, Loss: 1.232\n", "Epoch 0 Batch 222/1077 - Train Accuracy: 0.436, Validation Accuracy: 0.515, Loss: 1.277\n", "Epoch 0 Batch 223/1077 - Train Accuracy: 0.510, Validation Accuracy: 0.524, Loss: 1.131\n", "Epoch 0 Batch 224/1077 - Train Accuracy: 0.482, Validation Accuracy: 0.526, Loss: 1.217\n", "Epoch 0 Batch 225/1077 - Train Accuracy: 0.462, Validation Accuracy: 0.530, Loss: 1.226\n", "Epoch 0 Batch 226/1077 - Train Accuracy: 0.478, Validation Accuracy: 0.527, Loss: 1.166\n", "Epoch 0 Batch 227/1077 - Train Accuracy: 0.450, Validation Accuracy: 0.531, Loss: 1.242\n", "Epoch 0 Batch 228/1077 - Train Accuracy: 0.517, Validation Accuracy: 0.525, Loss: 1.161\n", "Epoch 0 Batch 229/1077 - Train Accuracy: 0.500, Validation Accuracy: 0.504, Loss: 1.172\n", "Epoch 0 Batch 230/1077 - Train Accuracy: 0.481, Validation Accuracy: 0.505, Loss: 1.106\n", "Epoch 0 Batch 231/1077 - Train Accuracy: 0.468, Validation Accuracy: 0.510, Loss: 1.164\n", "Epoch 0 Batch 232/1077 - Train Accuracy: 0.450, Validation Accuracy: 0.534, Loss: 1.181\n", "Epoch 0 Batch 233/1077 - Train Accuracy: 0.471, Validation Accuracy: 0.521, Loss: 1.234\n", "Epoch 0 Batch 234/1077 - Train Accuracy: 0.507, Validation Accuracy: 0.527, Loss: 1.160\n", "Epoch 0 Batch 235/1077 - Train Accuracy: 0.515, Validation Accuracy: 0.531, Loss: 1.076\n", "Epoch 0 Batch 236/1077 - Train Accuracy: 0.487, Validation Accuracy: 0.530, Loss: 1.195\n", "Epoch 0 Batch 237/1077 - Train Accuracy: 0.507, Validation Accuracy: 0.532, Loss: 1.068\n", "Epoch 0 Batch 238/1077 - Train Accuracy: 0.512, Validation Accuracy: 0.538, Loss: 1.171\n", "Epoch 0 Batch 239/1077 - Train Accuracy: 0.502, Validation Accuracy: 0.546, Loss: 1.060\n", "Epoch 0 Batch 240/1077 - Train Accuracy: 0.491, Validation Accuracy: 0.530, Loss: 1.143\n", "Epoch 0 Batch 241/1077 - Train Accuracy: 0.501, Validation Accuracy: 0.524, Loss: 1.090\n", "Epoch 0 Batch 242/1077 - Train Accuracy: 0.472, Validation Accuracy: 0.536, Loss: 1.138\n", "Epoch 0 Batch 243/1077 - Train Accuracy: 0.432, Validation Accuracy: 0.536, Loss: 1.152\n", "Epoch 0 Batch 244/1077 - Train Accuracy: 0.540, Validation Accuracy: 0.539, Loss: 1.089\n", "Epoch 0 Batch 245/1077 - Train Accuracy: 0.508, Validation Accuracy: 0.543, Loss: 1.091\n", "Epoch 0 Batch 246/1077 - Train Accuracy: 0.483, Validation Accuracy: 0.537, Loss: 1.119\n", "Epoch 0 Batch 247/1077 - Train Accuracy: 0.530, Validation Accuracy: 0.525, Loss: 1.048\n", "Epoch 0 Batch 248/1077 - Train Accuracy: 0.496, Validation Accuracy: 0.533, Loss: 1.096\n", "Epoch 0 Batch 249/1077 - Train Accuracy: 0.495, Validation Accuracy: 0.534, Loss: 1.100\n", "Epoch 0 Batch 250/1077 - Train Accuracy: 0.479, Validation Accuracy: 0.529, Loss: 1.043\n", "Epoch 0 Batch 251/1077 - Train Accuracy: 0.522, Validation Accuracy: 0.540, Loss: 1.090\n", "Epoch 0 Batch 252/1077 - Train Accuracy: 0.513, Validation Accuracy: 0.543, Loss: 1.097\n", "Epoch 0 Batch 253/1077 - Train Accuracy: 0.531, Validation Accuracy: 0.544, Loss: 1.063\n", "Epoch 0 Batch 254/1077 - Train Accuracy: 0.479, Validation Accuracy: 0.531, Loss: 1.121\n", "Epoch 0 Batch 255/1077 - Train Accuracy: 0.499, Validation Accuracy: 0.541, Loss: 1.129\n", "Epoch 0 Batch 256/1077 - Train Accuracy: 0.483, Validation Accuracy: 0.542, Loss: 1.130\n", "Epoch 0 Batch 257/1077 - Train Accuracy: 0.529, Validation Accuracy: 0.530, Loss: 1.057\n", "Epoch 0 Batch 258/1077 - Train Accuracy: 0.504, Validation Accuracy: 0.537, Loss: 1.056\n", "Epoch 0 Batch 259/1077 - Train Accuracy: 0.490, Validation Accuracy: 0.550, Loss: 1.087\n", "Epoch 0 Batch 260/1077 - Train Accuracy: 0.504, Validation Accuracy: 0.550, Loss: 1.026\n", "Epoch 0 Batch 261/1077 - Train Accuracy: 0.496, Validation Accuracy: 0.519, Loss: 1.043\n", "Epoch 0 Batch 262/1077 - Train Accuracy: 0.497, Validation Accuracy: 0.548, Loss: 1.074\n", "Epoch 0 Batch 263/1077 - Train Accuracy: 0.491, Validation Accuracy: 0.534, Loss: 1.036\n", "Epoch 0 Batch 264/1077 - Train Accuracy: 0.448, Validation Accuracy: 0.543, Loss: 1.089\n", "Epoch 0 Batch 265/1077 - Train Accuracy: 0.478, Validation Accuracy: 0.517, Loss: 1.050\n", "Epoch 0 Batch 266/1077 - Train Accuracy: 0.513, Validation Accuracy: 0.548, Loss: 1.020\n", "Epoch 0 Batch 267/1077 - Train Accuracy: 0.515, Validation Accuracy: 0.542, Loss: 0.995\n", "Epoch 0 Batch 268/1077 - Train Accuracy: 0.490, Validation Accuracy: 0.537, Loss: 1.057\n", "Epoch 0 Batch 269/1077 - Train Accuracy: 0.456, Validation Accuracy: 0.542, Loss: 1.121\n", "Epoch 0 Batch 270/1077 - Train Accuracy: 0.468, Validation Accuracy: 0.543, Loss: 1.119\n", "Epoch 0 Batch 271/1077 - Train Accuracy: 0.507, Validation Accuracy: 0.543, Loss: 1.076\n", "Epoch 0 Batch 272/1077 - Train Accuracy: 0.499, Validation Accuracy: 0.544, Loss: 1.051\n", "Epoch 0 Batch 273/1077 - Train Accuracy: 0.512, Validation Accuracy: 0.555, Loss: 1.032\n", "Epoch 0 Batch 274/1077 - Train Accuracy: 0.509, Validation Accuracy: 0.547, Loss: 1.021\n", "Epoch 0 Batch 275/1077 - Train Accuracy: 0.510, Validation Accuracy: 0.532, Loss: 1.000\n", "Epoch 0 Batch 276/1077 - Train Accuracy: 0.477, Validation Accuracy: 0.545, Loss: 1.090\n", "Epoch 0 Batch 277/1077 - Train Accuracy: 0.510, Validation Accuracy: 0.541, Loss: 0.998\n", "Epoch 0 Batch 278/1077 - Train Accuracy: 0.477, Validation Accuracy: 0.532, Loss: 1.090\n", "Epoch 0 Batch 279/1077 - Train Accuracy: 0.485, Validation Accuracy: 0.550, Loss: 1.119\n", "Epoch 0 Batch 280/1077 - Train Accuracy: 0.524, Validation Accuracy: 0.554, Loss: 1.052\n", "Epoch 0 Batch 281/1077 - Train Accuracy: 0.502, Validation Accuracy: 0.550, Loss: 1.078\n", "Epoch 0 Batch 282/1077 - Train Accuracy: 0.480, Validation Accuracy: 0.553, Loss: 1.090\n", "Epoch 0 Batch 283/1077 - Train Accuracy: 0.520, Validation Accuracy: 0.558, Loss: 1.051\n", "Epoch 0 Batch 284/1077 - Train Accuracy: 0.502, Validation Accuracy: 0.553, Loss: 1.059\n", "Epoch 0 Batch 285/1077 - Train Accuracy: 0.543, Validation Accuracy: 0.554, Loss: 0.987\n", "Epoch 0 Batch 286/1077 - Train Accuracy: 0.527, Validation Accuracy: 0.559, Loss: 0.949\n", "Epoch 0 Batch 287/1077 - Train Accuracy: 0.511, Validation Accuracy: 0.556, Loss: 0.962\n", "Epoch 0 Batch 288/1077 - Train Accuracy: 0.483, Validation Accuracy: 0.551, Loss: 1.042\n", "Epoch 0 Batch 289/1077 - Train Accuracy: 0.519, Validation Accuracy: 0.550, Loss: 0.993\n", "Epoch 0 Batch 290/1077 - Train Accuracy: 0.490, Validation Accuracy: 0.556, Loss: 1.024\n", "Epoch 0 Batch 291/1077 - Train Accuracy: 0.495, Validation Accuracy: 0.544, Loss: 1.016\n", "Epoch 0 Batch 292/1077 - Train Accuracy: 0.519, Validation Accuracy: 0.544, Loss: 0.967\n", "Epoch 0 Batch 293/1077 - Train Accuracy: 0.467, Validation Accuracy: 0.551, Loss: 1.036\n", "Epoch 0 Batch 294/1077 - Train Accuracy: 0.542, Validation Accuracy: 0.555, Loss: 0.912\n", "Epoch 0 Batch 295/1077 - Train Accuracy: 0.504, Validation Accuracy: 0.562, Loss: 1.070\n", "Epoch 0 Batch 296/1077 - Train Accuracy: 0.513, Validation Accuracy: 0.556, Loss: 0.925\n", "Epoch 0 Batch 297/1077 - Train Accuracy: 0.483, Validation Accuracy: 0.555, Loss: 1.033\n", "Epoch 0 Batch 298/1077 - Train Accuracy: 0.516, Validation Accuracy: 0.560, Loss: 1.049\n", "Epoch 0 Batch 299/1077 - Train Accuracy: 0.503, Validation Accuracy: 0.555, Loss: 0.936\n", "Epoch 0 Batch 300/1077 - Train Accuracy: 0.473, Validation Accuracy: 0.553, Loss: 1.010\n", "Epoch 0 Batch 301/1077 - Train Accuracy: 0.521, Validation Accuracy: 0.567, Loss: 0.965\n", "Epoch 0 Batch 302/1077 - Train Accuracy: 0.552, Validation Accuracy: 0.564, Loss: 0.961\n", "Epoch 0 Batch 303/1077 - Train Accuracy: 0.498, Validation Accuracy: 0.561, Loss: 0.989\n", "Epoch 0 Batch 304/1077 - Train Accuracy: 0.517, Validation Accuracy: 0.559, Loss: 0.906\n", "Epoch 0 Batch 305/1077 - Train Accuracy: 0.529, Validation Accuracy: 0.554, Loss: 0.952\n", "Epoch 0 Batch 306/1077 - Train Accuracy: 0.514, Validation Accuracy: 0.557, Loss: 0.935\n", "Epoch 0 Batch 307/1077 - Train Accuracy: 0.528, Validation Accuracy: 0.564, Loss: 0.957\n", "Epoch 0 Batch 308/1077 - Train Accuracy: 0.491, Validation Accuracy: 0.558, Loss: 1.030\n", "Epoch 0 Batch 309/1077 - Train Accuracy: 0.520, Validation Accuracy: 0.555, Loss: 0.907\n", "Epoch 0 Batch 310/1077 - Train Accuracy: 0.484, Validation Accuracy: 0.560, Loss: 0.958\n", "Epoch 0 Batch 311/1077 - Train Accuracy: 0.565, Validation Accuracy: 0.558, Loss: 0.894\n", "Epoch 0 Batch 312/1077 - Train Accuracy: 0.539, Validation Accuracy: 0.562, Loss: 0.983\n", "Epoch 0 Batch 313/1077 - Train Accuracy: 0.509, Validation Accuracy: 0.562, Loss: 0.956\n", "Epoch 0 Batch 314/1077 - Train Accuracy: 0.527, Validation Accuracy: 0.561, Loss: 0.919\n", "Epoch 0 Batch 315/1077 - Train Accuracy: 0.510, Validation Accuracy: 0.555, Loss: 0.898\n", "Epoch 0 Batch 316/1077 - Train Accuracy: 0.538, Validation Accuracy: 0.558, Loss: 0.890\n", "Epoch 0 Batch 317/1077 - Train Accuracy: 0.530, Validation Accuracy: 0.561, Loss: 1.001\n", "Epoch 0 Batch 318/1077 - Train Accuracy: 0.498, Validation Accuracy: 0.567, Loss: 0.940\n", "Epoch 0 Batch 319/1077 - Train Accuracy: 0.495, Validation Accuracy: 0.565, Loss: 0.933\n", "Epoch 0 Batch 320/1077 - Train Accuracy: 0.529, Validation Accuracy: 0.569, Loss: 0.944\n", "Epoch 0 Batch 321/1077 - Train Accuracy: 0.521, Validation Accuracy: 0.571, Loss: 0.930\n", "Epoch 0 Batch 322/1077 - Train Accuracy: 0.498, Validation Accuracy: 0.539, Loss: 0.900\n", "Epoch 0 Batch 323/1077 - Train Accuracy: 0.531, Validation Accuracy: 0.564, Loss: 0.944\n", "Epoch 0 Batch 324/1077 - Train Accuracy: 0.495, Validation Accuracy: 0.561, Loss: 0.914\n", "Epoch 0 Batch 325/1077 - Train Accuracy: 0.541, Validation Accuracy: 0.554, Loss: 0.896\n", "Epoch 0 Batch 326/1077 - Train Accuracy: 0.547, Validation Accuracy: 0.547, Loss: 0.880\n", "Epoch 0 Batch 327/1077 - Train Accuracy: 0.491, Validation Accuracy: 0.556, Loss: 0.941\n", "Epoch 0 Batch 328/1077 - Train Accuracy: 0.533, Validation Accuracy: 0.558, Loss: 0.934\n", "Epoch 0 Batch 329/1077 - Train Accuracy: 0.496, Validation Accuracy: 0.561, Loss: 0.950\n", "Epoch 0 Batch 330/1077 - Train Accuracy: 0.534, Validation Accuracy: 0.561, Loss: 0.932\n", "Epoch 0 Batch 331/1077 - Train Accuracy: 0.487, Validation Accuracy: 0.558, Loss: 0.935\n", "Epoch 0 Batch 332/1077 - Train Accuracy: 0.512, Validation Accuracy: 0.555, Loss: 0.829\n", "Epoch 0 Batch 333/1077 - Train Accuracy: 0.512, Validation Accuracy: 0.562, Loss: 0.919\n", "Epoch 0 Batch 334/1077 - Train Accuracy: 0.514, Validation Accuracy: 0.563, Loss: 0.922\n", "Epoch 0 Batch 335/1077 - Train Accuracy: 0.565, Validation Accuracy: 0.563, Loss: 0.846\n", "Epoch 0 Batch 336/1077 - Train Accuracy: 0.536, Validation Accuracy: 0.571, Loss: 0.924\n", "Epoch 0 Batch 337/1077 - Train Accuracy: 0.475, Validation Accuracy: 0.563, Loss: 0.946\n", "Epoch 0 Batch 338/1077 - Train Accuracy: 0.535, Validation Accuracy: 0.560, Loss: 0.927\n", "Epoch 0 Batch 339/1077 - Train Accuracy: 0.520, Validation Accuracy: 0.562, Loss: 0.869\n", "Epoch 0 Batch 340/1077 - Train Accuracy: 0.484, Validation Accuracy: 0.563, Loss: 0.911\n", "Epoch 0 Batch 341/1077 - Train Accuracy: 0.531, Validation Accuracy: 0.558, Loss: 0.906\n", "Epoch 0 Batch 342/1077 - Train Accuracy: 0.507, Validation Accuracy: 0.554, Loss: 0.848\n", "Epoch 0 Batch 343/1077 - Train Accuracy: 0.497, Validation Accuracy: 0.551, Loss: 0.901\n", "Epoch 0 Batch 344/1077 - Train Accuracy: 0.491, Validation Accuracy: 0.550, Loss: 0.890\n", "Epoch 0 Batch 345/1077 - Train Accuracy: 0.533, Validation Accuracy: 0.550, Loss: 0.848\n", "Epoch 0 Batch 346/1077 - Train Accuracy: 0.509, Validation Accuracy: 0.560, Loss: 0.887\n", "Epoch 0 Batch 347/1077 - Train Accuracy: 0.534, Validation Accuracy: 0.572, Loss: 0.837\n", "Epoch 0 Batch 348/1077 - Train Accuracy: 0.531, Validation Accuracy: 0.570, Loss: 0.843\n", "Epoch 0 Batch 349/1077 - Train Accuracy: 0.486, Validation Accuracy: 0.547, Loss: 0.874\n", "Epoch 0 Batch 350/1077 - Train Accuracy: 0.459, Validation Accuracy: 0.550, Loss: 0.920\n", "Epoch 0 Batch 351/1077 - Train Accuracy: 0.453, Validation Accuracy: 0.551, Loss: 0.929\n", "Epoch 0 Batch 352/1077 - Train Accuracy: 0.457, Validation Accuracy: 0.551, Loss: 0.886\n", "Epoch 0 Batch 353/1077 - Train Accuracy: 0.498, Validation Accuracy: 0.560, Loss: 0.940\n", "Epoch 0 Batch 354/1077 - Train Accuracy: 0.519, Validation Accuracy: 0.565, Loss: 0.884\n", "Epoch 0 Batch 355/1077 - Train Accuracy: 0.513, Validation Accuracy: 0.559, Loss: 0.857\n", "Epoch 0 Batch 356/1077 - Train Accuracy: 0.524, Validation Accuracy: 0.563, Loss: 0.869\n", "Epoch 0 Batch 357/1077 - Train Accuracy: 0.557, Validation Accuracy: 0.558, Loss: 0.806\n", "Epoch 0 Batch 358/1077 - Train Accuracy: 0.515, Validation Accuracy: 0.567, Loss: 0.906\n", "Epoch 0 Batch 359/1077 - Train Accuracy: 0.536, Validation Accuracy: 0.563, Loss: 0.866\n", "Epoch 0 Batch 360/1077 - Train Accuracy: 0.533, Validation Accuracy: 0.557, Loss: 0.856\n", "Epoch 0 Batch 361/1077 - Train Accuracy: 0.537, Validation Accuracy: 0.559, Loss: 0.874\n", "Epoch 0 Batch 362/1077 - Train Accuracy: 0.532, Validation Accuracy: 0.556, Loss: 0.858\n", "Epoch 0 Batch 363/1077 - Train Accuracy: 0.479, Validation Accuracy: 0.558, Loss: 0.885\n", "Epoch 0 Batch 364/1077 - Train Accuracy: 0.509, Validation Accuracy: 0.560, Loss: 0.874\n", "Epoch 0 Batch 365/1077 - Train Accuracy: 0.500, Validation Accuracy: 0.551, Loss: 0.838\n", "Epoch 0 Batch 366/1077 - Train Accuracy: 0.513, Validation Accuracy: 0.548, Loss: 0.871\n", "Epoch 0 Batch 367/1077 - Train Accuracy: 0.552, Validation Accuracy: 0.554, Loss: 0.772\n", "Epoch 0 Batch 368/1077 - Train Accuracy: 0.522, Validation Accuracy: 0.554, Loss: 0.844\n", "Epoch 0 Batch 369/1077 - Train Accuracy: 0.528, Validation Accuracy: 0.554, Loss: 0.847\n", "Epoch 0 Batch 370/1077 - Train Accuracy: 0.529, Validation Accuracy: 0.548, Loss: 0.797\n", "Epoch 0 Batch 371/1077 - Train Accuracy: 0.537, Validation Accuracy: 0.536, Loss: 0.841\n", "Epoch 0 Batch 372/1077 - Train Accuracy: 0.533, Validation Accuracy: 0.534, Loss: 0.828\n", "Epoch 0 Batch 373/1077 - Train Accuracy: 0.574, Validation Accuracy: 0.546, Loss: 0.782\n", "Epoch 0 Batch 374/1077 - Train Accuracy: 0.452, Validation Accuracy: 0.558, Loss: 0.895\n", "Epoch 0 Batch 375/1077 - Train Accuracy: 0.561, Validation Accuracy: 0.556, Loss: 0.763\n", "Epoch 0 Batch 376/1077 - Train Accuracy: 0.569, Validation Accuracy: 0.564, Loss: 0.804\n", "Epoch 0 Batch 377/1077 - Train Accuracy: 0.519, Validation Accuracy: 0.566, Loss: 0.829\n", "Epoch 0 Batch 378/1077 - Train Accuracy: 0.503, Validation Accuracy: 0.559, Loss: 0.796\n", "Epoch 0 Batch 379/1077 - Train Accuracy: 0.542, Validation Accuracy: 0.572, Loss: 0.864\n", "Epoch 0 Batch 380/1077 - Train Accuracy: 0.521, Validation Accuracy: 0.573, Loss: 0.807\n", "Epoch 0 Batch 381/1077 - Train Accuracy: 0.517, Validation Accuracy: 0.568, Loss: 0.860\n", "Epoch 0 Batch 382/1077 - Train Accuracy: 0.515, Validation Accuracy: 0.547, Loss: 0.860\n", "Epoch 0 Batch 383/1077 - Train Accuracy: 0.561, Validation Accuracy: 0.543, Loss: 0.797\n", "Epoch 0 Batch 384/1077 - Train Accuracy: 0.521, Validation Accuracy: 0.554, Loss: 0.826\n", "Epoch 0 Batch 385/1077 - Train Accuracy: 0.531, Validation Accuracy: 0.566, Loss: 0.834\n", "Epoch 0 Batch 386/1077 - Train Accuracy: 0.520, Validation Accuracy: 0.568, Loss: 0.822\n", "Epoch 0 Batch 387/1077 - Train Accuracy: 0.551, Validation Accuracy: 0.576, Loss: 0.789\n", "Epoch 0 Batch 388/1077 - Train Accuracy: 0.533, Validation Accuracy: 0.572, Loss: 0.795\n", "Epoch 0 Batch 389/1077 - Train Accuracy: 0.536, Validation Accuracy: 0.570, Loss: 0.811\n", "Epoch 0 Batch 390/1077 - Train Accuracy: 0.498, Validation Accuracy: 0.571, Loss: 0.853\n", "Epoch 0 Batch 391/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.572, Loss: 0.780\n", "Epoch 0 Batch 392/1077 - Train Accuracy: 0.477, Validation Accuracy: 0.571, Loss: 0.813\n", "Epoch 0 Batch 393/1077 - Train Accuracy: 0.570, Validation Accuracy: 0.575, Loss: 0.778\n", "Epoch 0 Batch 394/1077 - Train Accuracy: 0.497, Validation Accuracy: 0.566, Loss: 0.843\n", "Epoch 0 Batch 395/1077 - Train Accuracy: 0.535, Validation Accuracy: 0.565, Loss: 0.775\n", "Epoch 0 Batch 396/1077 - Train Accuracy: 0.534, Validation Accuracy: 0.565, Loss: 0.827\n", "Epoch 0 Batch 397/1077 - Train Accuracy: 0.554, Validation Accuracy: 0.563, Loss: 0.793\n", "Epoch 0 Batch 398/1077 - Train Accuracy: 0.527, Validation Accuracy: 0.574, Loss: 0.849\n", "Epoch 0 Batch 399/1077 - Train Accuracy: 0.458, Validation Accuracy: 0.557, Loss: 0.877\n", "Epoch 0 Batch 400/1077 - Train Accuracy: 0.508, Validation Accuracy: 0.532, Loss: 0.811\n", "Epoch 0 Batch 401/1077 - Train Accuracy: 0.515, Validation Accuracy: 0.547, Loss: 0.820\n", "Epoch 0 Batch 402/1077 - Train Accuracy: 0.583, Validation Accuracy: 0.570, Loss: 0.746\n", "Epoch 0 Batch 403/1077 - Train Accuracy: 0.517, Validation Accuracy: 0.574, Loss: 0.820\n", "Epoch 0 Batch 404/1077 - Train Accuracy: 0.562, Validation Accuracy: 0.579, Loss: 0.756\n", "Epoch 0 Batch 405/1077 - Train Accuracy: 0.470, Validation Accuracy: 0.567, Loss: 0.857\n", "Epoch 0 Batch 406/1077 - Train Accuracy: 0.556, Validation Accuracy: 0.574, Loss: 0.780\n", "Epoch 0 Batch 407/1077 - Train Accuracy: 0.521, Validation Accuracy: 0.578, Loss: 0.844\n", "Epoch 0 Batch 408/1077 - Train Accuracy: 0.550, Validation Accuracy: 0.569, Loss: 0.799\n", "Epoch 0 Batch 409/1077 - Train Accuracy: 0.519, Validation Accuracy: 0.573, Loss: 0.803\n", "Epoch 0 Batch 410/1077 - Train Accuracy: 0.484, Validation Accuracy: 0.580, Loss: 0.816\n", "Epoch 0 Batch 411/1077 - Train Accuracy: 0.551, Validation Accuracy: 0.590, Loss: 0.755\n", "Epoch 0 Batch 412/1077 - Train Accuracy: 0.543, Validation Accuracy: 0.592, Loss: 0.764\n", "Epoch 0 Batch 413/1077 - Train Accuracy: 0.557, Validation Accuracy: 0.577, Loss: 0.790\n", "Epoch 0 Batch 414/1077 - Train Accuracy: 0.536, Validation Accuracy: 0.562, Loss: 0.820\n", "Epoch 0 Batch 415/1077 - Train Accuracy: 0.578, Validation Accuracy: 0.559, Loss: 0.735\n", "Epoch 0 Batch 416/1077 - Train Accuracy: 0.529, Validation Accuracy: 0.563, Loss: 0.790\n", "Epoch 0 Batch 417/1077 - Train Accuracy: 0.543, Validation Accuracy: 0.562, Loss: 0.804\n", "Epoch 0 Batch 418/1077 - Train Accuracy: 0.515, Validation Accuracy: 0.572, Loss: 0.764\n", "Epoch 0 Batch 419/1077 - Train Accuracy: 0.520, Validation Accuracy: 0.566, Loss: 0.807\n", "Epoch 0 Batch 420/1077 - Train Accuracy: 0.525, Validation Accuracy: 0.563, Loss: 0.761\n", "Epoch 0 Batch 421/1077 - Train Accuracy: 0.527, Validation Accuracy: 0.569, Loss: 0.828\n", "Epoch 0 Batch 422/1077 - Train Accuracy: 0.537, Validation Accuracy: 0.575, Loss: 0.745\n", "Epoch 0 Batch 423/1077 - Train Accuracy: 0.555, Validation Accuracy: 0.580, Loss: 0.807\n", "Epoch 0 Batch 424/1077 - Train Accuracy: 0.488, Validation Accuracy: 0.587, Loss: 0.798\n", "Epoch 0 Batch 425/1077 - Train Accuracy: 0.572, Validation Accuracy: 0.577, Loss: 0.741\n", "Epoch 0 Batch 426/1077 - Train Accuracy: 0.521, Validation Accuracy: 0.579, Loss: 0.805\n", "Epoch 0 Batch 427/1077 - Train Accuracy: 0.523, Validation Accuracy: 0.584, Loss: 0.758\n", "Epoch 0 Batch 428/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.590, Loss: 0.748\n", "Epoch 0 Batch 429/1077 - Train Accuracy: 0.576, Validation Accuracy: 0.596, Loss: 0.735\n", "Epoch 0 Batch 430/1077 - Train Accuracy: 0.548, Validation Accuracy: 0.596, Loss: 0.766\n", "Epoch 0 Batch 431/1077 - Train Accuracy: 0.534, Validation Accuracy: 0.574, Loss: 0.756\n", "Epoch 0 Batch 432/1077 - Train Accuracy: 0.571, Validation Accuracy: 0.580, Loss: 0.764\n", "Epoch 0 Batch 433/1077 - Train Accuracy: 0.543, Validation Accuracy: 0.567, Loss: 0.785\n", "Epoch 0 Batch 434/1077 - Train Accuracy: 0.513, Validation Accuracy: 0.578, Loss: 0.783\n", "Epoch 0 Batch 435/1077 - Train Accuracy: 0.572, Validation Accuracy: 0.579, Loss: 0.789\n", "Epoch 0 Batch 436/1077 - Train Accuracy: 0.586, Validation Accuracy: 0.581, Loss: 0.723\n", "Epoch 0 Batch 437/1077 - Train Accuracy: 0.510, Validation Accuracy: 0.576, Loss: 0.770\n", "Epoch 0 Batch 438/1077 - Train Accuracy: 0.551, Validation Accuracy: 0.589, Loss: 0.750\n", "Epoch 0 Batch 439/1077 - Train Accuracy: 0.555, Validation Accuracy: 0.602, Loss: 0.786\n", "Epoch 0 Batch 440/1077 - Train Accuracy: 0.557, Validation Accuracy: 0.603, Loss: 0.799\n", "Epoch 0 Batch 441/1077 - Train Accuracy: 0.518, Validation Accuracy: 0.604, Loss: 0.758\n", "Epoch 0 Batch 442/1077 - Train Accuracy: 0.546, Validation Accuracy: 0.601, Loss: 0.727\n", "Epoch 0 Batch 443/1077 - Train Accuracy: 0.576, Validation Accuracy: 0.598, Loss: 0.712\n", "Epoch 0 Batch 444/1077 - Train Accuracy: 0.563, Validation Accuracy: 0.603, Loss: 0.756\n", "Epoch 0 Batch 445/1077 - Train Accuracy: 0.525, Validation Accuracy: 0.598, Loss: 0.793\n", "Epoch 0 Batch 446/1077 - Train Accuracy: 0.554, Validation Accuracy: 0.590, Loss: 0.689\n", "Epoch 0 Batch 447/1077 - Train Accuracy: 0.555, Validation Accuracy: 0.596, Loss: 0.746\n", "Epoch 0 Batch 448/1077 - Train Accuracy: 0.565, Validation Accuracy: 0.600, Loss: 0.754\n", "Epoch 0 Batch 449/1077 - Train Accuracy: 0.546, Validation Accuracy: 0.596, Loss: 0.791\n", "Epoch 0 Batch 450/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.583, Loss: 0.734\n", "Epoch 0 Batch 451/1077 - Train Accuracy: 0.578, Validation Accuracy: 0.580, Loss: 0.724\n", "Epoch 0 Batch 452/1077 - Train Accuracy: 0.570, Validation Accuracy: 0.587, Loss: 0.750\n", "Epoch 0 Batch 453/1077 - Train Accuracy: 0.587, Validation Accuracy: 0.584, Loss: 0.705\n", "Epoch 0 Batch 454/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.595, Loss: 0.750\n", "Epoch 0 Batch 455/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.593, Loss: 0.696\n", "Epoch 0 Batch 456/1077 - Train Accuracy: 0.578, Validation Accuracy: 0.592, Loss: 0.758\n", "Epoch 0 Batch 457/1077 - Train Accuracy: 0.564, Validation Accuracy: 0.581, Loss: 0.697\n", "Epoch 0 Batch 458/1077 - Train Accuracy: 0.548, Validation Accuracy: 0.592, Loss: 0.745\n", "Epoch 0 Batch 459/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.609, Loss: 0.717\n", "Epoch 0 Batch 460/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.609, Loss: 0.754\n", "Epoch 0 Batch 461/1077 - Train Accuracy: 0.571, Validation Accuracy: 0.603, Loss: 0.728\n", "Epoch 0 Batch 462/1077 - Train Accuracy: 0.557, Validation Accuracy: 0.603, Loss: 0.722\n", "Epoch 0 Batch 463/1077 - Train Accuracy: 0.528, Validation Accuracy: 0.601, Loss: 0.745\n", "Epoch 0 Batch 464/1077 - Train Accuracy: 0.596, Validation Accuracy: 0.600, Loss: 0.713\n", "Epoch 0 Batch 465/1077 - Train Accuracy: 0.569, Validation Accuracy: 0.599, Loss: 0.776\n", "Epoch 0 Batch 466/1077 - Train Accuracy: 0.567, Validation Accuracy: 0.599, Loss: 0.722\n", "Epoch 0 Batch 467/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.602, Loss: 0.698\n", "Epoch 0 Batch 468/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.603, Loss: 0.728\n", "Epoch 0 Batch 469/1077 - Train Accuracy: 0.537, Validation Accuracy: 0.599, Loss: 0.760\n", "Epoch 0 Batch 470/1077 - Train Accuracy: 0.544, Validation Accuracy: 0.606, Loss: 0.764\n", "Epoch 0 Batch 471/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.602, Loss: 0.714\n", "Epoch 0 Batch 472/1077 - Train Accuracy: 0.572, Validation Accuracy: 0.603, Loss: 0.689\n", "Epoch 0 Batch 473/1077 - Train Accuracy: 0.564, Validation Accuracy: 0.608, Loss: 0.742\n", "Epoch 0 Batch 474/1077 - Train Accuracy: 0.580, Validation Accuracy: 0.594, Loss: 0.728\n", "Epoch 0 Batch 475/1077 - Train Accuracy: 0.592, Validation Accuracy: 0.600, Loss: 0.714\n", "Epoch 0 Batch 476/1077 - Train Accuracy: 0.555, Validation Accuracy: 0.599, Loss: 0.727\n", "Epoch 0 Batch 477/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.583, Loss: 0.682\n", "Epoch 0 Batch 478/1077 - Train Accuracy: 0.549, Validation Accuracy: 0.583, Loss: 0.768\n", "Epoch 0 Batch 479/1077 - Train Accuracy: 0.568, Validation Accuracy: 0.599, Loss: 0.747\n", "Epoch 0 Batch 480/1077 - Train Accuracy: 0.567, Validation Accuracy: 0.602, Loss: 0.719\n", "Epoch 0 Batch 481/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.613, Loss: 0.720\n", "Epoch 0 Batch 482/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.609, Loss: 0.772\n", "Epoch 0 Batch 483/1077 - Train Accuracy: 0.546, Validation Accuracy: 0.605, Loss: 0.720\n", "Epoch 0 Batch 484/1077 - Train Accuracy: 0.578, Validation Accuracy: 0.607, Loss: 0.711\n", "Epoch 0 Batch 485/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.606, Loss: 0.721\n", "Epoch 0 Batch 486/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.598, Loss: 0.727\n", "Epoch 0 Batch 487/1077 - Train Accuracy: 0.562, Validation Accuracy: 0.606, Loss: 0.746\n", "Epoch 0 Batch 488/1077 - Train Accuracy: 0.549, Validation Accuracy: 0.611, Loss: 0.736\n", "Epoch 0 Batch 489/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.609, Loss: 0.681\n", "Epoch 0 Batch 490/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.615, Loss: 0.728\n", "Epoch 0 Batch 491/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.612, Loss: 0.698\n", "Epoch 0 Batch 492/1077 - Train Accuracy: 0.570, Validation Accuracy: 0.610, Loss: 0.738\n", "Epoch 0 Batch 493/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.610, Loss: 0.664\n", "Epoch 0 Batch 494/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.604, Loss: 0.669\n", "Epoch 0 Batch 495/1077 - Train Accuracy: 0.573, Validation Accuracy: 0.612, Loss: 0.699\n", "Epoch 0 Batch 496/1077 - Train Accuracy: 0.582, Validation Accuracy: 0.602, Loss: 0.728\n", "Epoch 0 Batch 497/1077 - Train Accuracy: 0.543, Validation Accuracy: 0.601, Loss: 0.771\n", "Epoch 0 Batch 498/1077 - Train Accuracy: 0.605, Validation Accuracy: 0.602, Loss: 0.678\n", "Epoch 0 Batch 499/1077 - Train Accuracy: 0.580, Validation Accuracy: 0.597, Loss: 0.663\n", "Epoch 0 Batch 500/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.595, Loss: 0.698\n", "Epoch 0 Batch 501/1077 - Train Accuracy: 0.571, Validation Accuracy: 0.600, Loss: 0.676\n", "Epoch 0 Batch 502/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.602, Loss: 0.691\n", "Epoch 0 Batch 503/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.605, Loss: 0.701\n", "Epoch 0 Batch 504/1077 - Train Accuracy: 0.548, Validation Accuracy: 0.610, Loss: 0.702\n", "Epoch 0 Batch 505/1077 - Train Accuracy: 0.606, Validation Accuracy: 0.610, Loss: 0.635\n", "Epoch 0 Batch 506/1077 - Train Accuracy: 0.566, Validation Accuracy: 0.609, Loss: 0.714\n", "Epoch 0 Batch 507/1077 - Train Accuracy: 0.561, Validation Accuracy: 0.603, Loss: 0.689\n", "Epoch 0 Batch 508/1077 - Train Accuracy: 0.592, Validation Accuracy: 0.602, Loss: 0.670\n", "Epoch 0 Batch 509/1077 - Train Accuracy: 0.562, Validation Accuracy: 0.591, Loss: 0.720\n", "Epoch 0 Batch 510/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.606, Loss: 0.682\n", "Epoch 0 Batch 511/1077 - Train Accuracy: 0.568, Validation Accuracy: 0.612, Loss: 0.714\n", "Epoch 0 Batch 512/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.612, Loss: 0.675\n", "Epoch 0 Batch 513/1077 - Train Accuracy: 0.605, Validation Accuracy: 0.617, Loss: 0.704\n", "Epoch 0 Batch 514/1077 - Train Accuracy: 0.562, Validation Accuracy: 0.620, Loss: 0.708\n", "Epoch 0 Batch 515/1077 - Train Accuracy: 0.584, Validation Accuracy: 0.616, Loss: 0.721\n", "Epoch 0 Batch 516/1077 - Train Accuracy: 0.616, Validation Accuracy: 0.617, Loss: 0.655\n", "Epoch 0 Batch 517/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.616, Loss: 0.675\n", "Epoch 0 Batch 518/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.614, Loss: 0.689\n", "Epoch 0 Batch 519/1077 - Train Accuracy: 0.583, Validation Accuracy: 0.609, Loss: 0.699\n", "Epoch 0 Batch 520/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.607, Loss: 0.652\n", "Epoch 0 Batch 521/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.607, Loss: 0.671\n", "Epoch 0 Batch 522/1077 - Train Accuracy: 0.554, Validation Accuracy: 0.610, Loss: 0.721\n", "Epoch 0 Batch 523/1077 - Train Accuracy: 0.565, Validation Accuracy: 0.613, Loss: 0.692\n", "Epoch 0 Batch 524/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.614, Loss: 0.715\n", "Epoch 0 Batch 525/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.611, Loss: 0.667\n", "Epoch 0 Batch 526/1077 - Train Accuracy: 0.567, Validation Accuracy: 0.606, Loss: 0.672\n", "Epoch 0 Batch 527/1077 - Train Accuracy: 0.582, Validation Accuracy: 0.602, Loss: 0.727\n", "Epoch 0 Batch 528/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.598, Loss: 0.676\n", "Epoch 0 Batch 529/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.606, Loss: 0.744\n", "Epoch 0 Batch 530/1077 - Train Accuracy: 0.560, Validation Accuracy: 0.596, Loss: 0.703\n", "Epoch 0 Batch 531/1077 - Train Accuracy: 0.576, Validation Accuracy: 0.605, Loss: 0.712\n", "Epoch 0 Batch 532/1077 - Train Accuracy: 0.536, Validation Accuracy: 0.598, Loss: 0.737\n", "Epoch 0 Batch 533/1077 - Train Accuracy: 0.592, Validation Accuracy: 0.594, Loss: 0.712\n", "Epoch 0 Batch 534/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.600, Loss: 0.670\n", "Epoch 0 Batch 535/1077 - Train Accuracy: 0.560, Validation Accuracy: 0.602, Loss: 0.704\n", "Epoch 0 Batch 536/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.605, Loss: 0.668\n", "Epoch 0 Batch 537/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.604, Loss: 0.677\n", "Epoch 0 Batch 538/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.599, Loss: 0.641\n", "Epoch 0 Batch 539/1077 - Train Accuracy: 0.586, Validation Accuracy: 0.598, Loss: 0.715\n", "Epoch 0 Batch 540/1077 - Train Accuracy: 0.574, Validation Accuracy: 0.586, Loss: 0.640\n", "Epoch 0 Batch 541/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.588, Loss: 0.686\n", "Epoch 0 Batch 542/1077 - Train Accuracy: 0.583, Validation Accuracy: 0.589, Loss: 0.679\n", "Epoch 0 Batch 543/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.601, Loss: 0.697\n", "Epoch 0 Batch 544/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.611, Loss: 0.674\n", "Epoch 0 Batch 545/1077 - Train Accuracy: 0.575, Validation Accuracy: 0.612, Loss: 0.714\n", "Epoch 0 Batch 546/1077 - Train Accuracy: 0.550, Validation Accuracy: 0.613, Loss: 0.707\n", "Epoch 0 Batch 547/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.613, Loss: 0.670\n", "Epoch 0 Batch 548/1077 - Train Accuracy: 0.573, Validation Accuracy: 0.613, Loss: 0.681\n", "Epoch 0 Batch 549/1077 - Train Accuracy: 0.552, Validation Accuracy: 0.606, Loss: 0.711\n", "Epoch 0 Batch 550/1077 - Train Accuracy: 0.571, Validation Accuracy: 0.607, Loss: 0.709\n", "Epoch 0 Batch 551/1077 - Train Accuracy: 0.567, Validation Accuracy: 0.609, Loss: 0.684\n", "Epoch 0 Batch 552/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.614, Loss: 0.691\n", "Epoch 0 Batch 553/1077 - Train Accuracy: 0.614, Validation Accuracy: 0.613, Loss: 0.681\n", "Epoch 0 Batch 554/1077 - Train Accuracy: 0.584, Validation Accuracy: 0.612, Loss: 0.673\n", "Epoch 0 Batch 555/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.612, Loss: 0.670\n", "Epoch 0 Batch 556/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.610, Loss: 0.653\n", "Epoch 0 Batch 557/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.612, Loss: 0.676\n", "Epoch 0 Batch 558/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.614, Loss: 0.671\n", "Epoch 0 Batch 559/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.614, Loss: 0.658\n", "Epoch 0 Batch 560/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.614, Loss: 0.640\n", "Epoch 0 Batch 561/1077 - Train Accuracy: 0.632, Validation Accuracy: 0.615, Loss: 0.644\n", "Epoch 0 Batch 562/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.610, Loss: 0.616\n", "Epoch 0 Batch 563/1077 - Train Accuracy: 0.560, Validation Accuracy: 0.609, Loss: 0.693\n", "Epoch 0 Batch 564/1077 - Train Accuracy: 0.592, Validation Accuracy: 0.600, Loss: 0.717\n", "Epoch 0 Batch 565/1077 - Train Accuracy: 0.596, Validation Accuracy: 0.603, Loss: 0.645\n", "Epoch 0 Batch 566/1077 - Train Accuracy: 0.595, Validation Accuracy: 0.603, Loss: 0.683\n", "Epoch 0 Batch 567/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.607, Loss: 0.660\n", "Epoch 0 Batch 568/1077 - Train Accuracy: 0.605, Validation Accuracy: 0.605, Loss: 0.649\n", "Epoch 0 Batch 569/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.606, Loss: 0.659\n", "Epoch 0 Batch 570/1077 - Train Accuracy: 0.570, Validation Accuracy: 0.604, Loss: 0.695\n", "Epoch 0 Batch 571/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.599, Loss: 0.616\n", "Epoch 0 Batch 572/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.603, Loss: 0.625\n", "Epoch 0 Batch 573/1077 - Train Accuracy: 0.586, Validation Accuracy: 0.603, Loss: 0.662\n", "Epoch 0 Batch 574/1077 - Train Accuracy: 0.572, Validation Accuracy: 0.606, Loss: 0.682\n", "Epoch 0 Batch 575/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.609, Loss: 0.641\n", "Epoch 0 Batch 576/1077 - Train Accuracy: 0.616, Validation Accuracy: 0.612, Loss: 0.671\n", "Epoch 0 Batch 577/1077 - Train Accuracy: 0.553, Validation Accuracy: 0.613, Loss: 0.692\n", "Epoch 0 Batch 578/1077 - Train Accuracy: 0.558, Validation Accuracy: 0.616, Loss: 0.680\n", "Epoch 0 Batch 579/1077 - Train Accuracy: 0.606, Validation Accuracy: 0.615, Loss: 0.635\n", "Epoch 0 Batch 580/1077 - Train Accuracy: 0.637, Validation Accuracy: 0.620, Loss: 0.602\n", "Epoch 0 Batch 581/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.618, Loss: 0.630\n", "Epoch 0 Batch 582/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.617, Loss: 0.655\n", "Epoch 0 Batch 583/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.618, Loss: 0.680\n", "Epoch 0 Batch 584/1077 - Train Accuracy: 0.599, Validation Accuracy: 0.620, Loss: 0.656\n", "Epoch 0 Batch 585/1077 - Train Accuracy: 0.630, Validation Accuracy: 0.621, Loss: 0.611\n", "Epoch 0 Batch 586/1077 - Train Accuracy: 0.576, Validation Accuracy: 0.620, Loss: 0.683\n", "Epoch 0 Batch 587/1077 - Train Accuracy: 0.583, Validation Accuracy: 0.624, Loss: 0.616\n", "Epoch 0 Batch 588/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.625, Loss: 0.649\n", "Epoch 0 Batch 589/1077 - Train Accuracy: 0.561, Validation Accuracy: 0.616, Loss: 0.686\n", "Epoch 0 Batch 590/1077 - Train Accuracy: 0.576, Validation Accuracy: 0.611, Loss: 0.679\n", "Epoch 0 Batch 591/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.612, Loss: 0.597\n", "Epoch 0 Batch 592/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.611, Loss: 0.659\n", "Epoch 0 Batch 593/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.615, Loss: 0.632\n", "Epoch 0 Batch 594/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.615, Loss: 0.654\n", "Epoch 0 Batch 595/1077 - Train Accuracy: 0.573, Validation Accuracy: 0.611, Loss: 0.652\n", "Epoch 0 Batch 596/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.613, Loss: 0.663\n", "Epoch 0 Batch 597/1077 - Train Accuracy: 0.568, Validation Accuracy: 0.619, Loss: 0.670\n", "Epoch 0 Batch 598/1077 - Train Accuracy: 0.611, Validation Accuracy: 0.615, Loss: 0.615\n", "Epoch 0 Batch 599/1077 - Train Accuracy: 0.573, Validation Accuracy: 0.613, Loss: 0.706\n", "Epoch 0 Batch 600/1077 - Train Accuracy: 0.619, Validation Accuracy: 0.612, Loss: 0.605\n", "Epoch 0 Batch 601/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.615, Loss: 0.642\n", "Epoch 0 Batch 602/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.614, Loss: 0.624\n", "Epoch 0 Batch 603/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.617, Loss: 0.642\n", "Epoch 0 Batch 604/1077 - Train Accuracy: 0.566, Validation Accuracy: 0.625, Loss: 0.669\n", "Epoch 0 Batch 605/1077 - Train Accuracy: 0.599, Validation Accuracy: 0.622, Loss: 0.691\n", "Epoch 0 Batch 606/1077 - Train Accuracy: 0.631, Validation Accuracy: 0.624, Loss: 0.598\n", "Epoch 0 Batch 607/1077 - Train Accuracy: 0.661, Validation Accuracy: 0.619, Loss: 0.600\n", "Epoch 0 Batch 608/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.617, Loss: 0.685\n", "Epoch 0 Batch 609/1077 - Train Accuracy: 0.595, Validation Accuracy: 0.617, Loss: 0.648\n", "Epoch 0 Batch 610/1077 - Train Accuracy: 0.586, Validation Accuracy: 0.619, Loss: 0.663\n", "Epoch 0 Batch 611/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.617, Loss: 0.654\n", "Epoch 0 Batch 612/1077 - Train Accuracy: 0.616, Validation Accuracy: 0.618, Loss: 0.619\n", "Epoch 0 Batch 613/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.616, Loss: 0.682\n", "Epoch 0 Batch 614/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.612, Loss: 0.616\n", "Epoch 0 Batch 615/1077 - Train Accuracy: 0.620, Validation Accuracy: 0.606, Loss: 0.631\n", "Epoch 0 Batch 616/1077 - Train Accuracy: 0.572, Validation Accuracy: 0.604, Loss: 0.672\n", "Epoch 0 Batch 617/1077 - Train Accuracy: 0.608, Validation Accuracy: 0.606, Loss: 0.620\n", "Epoch 0 Batch 618/1077 - Train Accuracy: 0.596, Validation Accuracy: 0.607, Loss: 0.637\n", "Epoch 0 Batch 619/1077 - Train Accuracy: 0.553, Validation Accuracy: 0.611, Loss: 0.671\n", "Epoch 0 Batch 620/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.612, Loss: 0.626\n", "Epoch 0 Batch 621/1077 - Train Accuracy: 0.595, Validation Accuracy: 0.610, Loss: 0.618\n", "Epoch 0 Batch 622/1077 - Train Accuracy: 0.597, Validation Accuracy: 0.613, Loss: 0.672\n", "Epoch 0 Batch 623/1077 - Train Accuracy: 0.584, Validation Accuracy: 0.612, Loss: 0.640\n", "Epoch 0 Batch 624/1077 - Train Accuracy: 0.619, Validation Accuracy: 0.614, Loss: 0.611\n", "Epoch 0 Batch 625/1077 - Train Accuracy: 0.633, Validation Accuracy: 0.620, Loss: 0.633\n", "Epoch 0 Batch 626/1077 - Train Accuracy: 0.645, Validation Accuracy: 0.622, Loss: 0.560\n", "Epoch 0 Batch 627/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.629, Loss: 0.656\n", "Epoch 0 Batch 628/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.624, Loss: 0.655\n", "Epoch 0 Batch 629/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.619, Loss: 0.660\n", "Epoch 0 Batch 630/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.616, Loss: 0.636\n", "Epoch 0 Batch 631/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.619, Loss: 0.607\n", "Epoch 0 Batch 632/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.620, Loss: 0.643\n", "Epoch 0 Batch 633/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.625, Loss: 0.627\n", "Epoch 0 Batch 634/1077 - Train Accuracy: 0.592, Validation Accuracy: 0.621, Loss: 0.612\n", "Epoch 0 Batch 635/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.619, Loss: 0.679\n", "Epoch 0 Batch 636/1077 - Train Accuracy: 0.637, Validation Accuracy: 0.616, Loss: 0.623\n", "Epoch 0 Batch 637/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.616, Loss: 0.659\n", "Epoch 0 Batch 638/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.614, Loss: 0.619\n", "Epoch 0 Batch 639/1077 - Train Accuracy: 0.629, Validation Accuracy: 0.615, Loss: 0.635\n", "Epoch 0 Batch 640/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.616, Loss: 0.615\n", "Epoch 0 Batch 641/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.612, Loss: 0.625\n", "Epoch 0 Batch 642/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.609, Loss: 0.624\n", "Epoch 0 Batch 643/1077 - Train Accuracy: 0.599, Validation Accuracy: 0.603, Loss: 0.591\n", "Epoch 0 Batch 644/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.613, Loss: 0.629\n", "Epoch 0 Batch 645/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.621, Loss: 0.597\n", "Epoch 0 Batch 646/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.619, Loss: 0.607\n", "Epoch 0 Batch 647/1077 - Train Accuracy: 0.586, Validation Accuracy: 0.622, Loss: 0.637\n", "Epoch 0 Batch 648/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.625, Loss: 0.611\n", "Epoch 0 Batch 649/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.626, Loss: 0.634\n", "Epoch 0 Batch 650/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.624, Loss: 0.639\n", "Epoch 0 Batch 651/1077 - Train Accuracy: 0.597, Validation Accuracy: 0.615, Loss: 0.617\n", "Epoch 0 Batch 652/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.613, Loss: 0.660\n", "Epoch 0 Batch 653/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.611, Loss: 0.638\n", "Epoch 0 Batch 654/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.605, Loss: 0.629\n", "Epoch 0 Batch 655/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.604, Loss: 0.642\n", "Epoch 0 Batch 656/1077 - Train Accuracy: 0.584, Validation Accuracy: 0.598, Loss: 0.643\n", "Epoch 0 Batch 657/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.609, Loss: 0.652\n", "Epoch 0 Batch 658/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.608, Loss: 0.609\n", "Epoch 0 Batch 659/1077 - Train Accuracy: 0.622, Validation Accuracy: 0.615, Loss: 0.594\n", "Epoch 0 Batch 660/1077 - Train Accuracy: 0.582, Validation Accuracy: 0.608, Loss: 0.634\n", "Epoch 0 Batch 661/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.618, Loss: 0.583\n", "Epoch 0 Batch 662/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.624, Loss: 0.601\n", "Epoch 0 Batch 663/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.622, Loss: 0.609\n", "Epoch 0 Batch 664/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.623, Loss: 0.605\n", "Epoch 0 Batch 665/1077 - Train Accuracy: 0.582, Validation Accuracy: 0.627, Loss: 0.643\n", "Epoch 0 Batch 666/1077 - Train Accuracy: 0.580, Validation Accuracy: 0.615, Loss: 0.671\n", "Epoch 0 Batch 667/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.617, Loss: 0.670\n", "Epoch 0 Batch 668/1077 - Train Accuracy: 0.598, Validation Accuracy: 0.612, Loss: 0.609\n", "Epoch 0 Batch 669/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.621, Loss: 0.621\n", "Epoch 0 Batch 670/1077 - Train Accuracy: 0.640, Validation Accuracy: 0.616, Loss: 0.584\n", "Epoch 0 Batch 671/1077 - Train Accuracy: 0.564, Validation Accuracy: 0.616, Loss: 0.670\n", "Epoch 0 Batch 672/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.623, Loss: 0.613\n", "Epoch 0 Batch 673/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.622, Loss: 0.591\n", "Epoch 0 Batch 674/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.621, Loss: 0.632\n", "Epoch 0 Batch 675/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.619, Loss: 0.620\n", "Epoch 0 Batch 676/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.616, Loss: 0.643\n", "Epoch 0 Batch 677/1077 - Train Accuracy: 0.561, Validation Accuracy: 0.611, Loss: 0.653\n", "Epoch 0 Batch 678/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.611, Loss: 0.595\n", "Epoch 0 Batch 679/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.613, Loss: 0.646\n", "Epoch 0 Batch 680/1077 - Train Accuracy: 0.601, Validation Accuracy: 0.615, Loss: 0.606\n", "Epoch 0 Batch 681/1077 - Train Accuracy: 0.611, Validation Accuracy: 0.616, Loss: 0.634\n", "Epoch 0 Batch 682/1077 - Train Accuracy: 0.562, Validation Accuracy: 0.615, Loss: 0.657\n", "Epoch 0 Batch 683/1077 - Train Accuracy: 0.579, Validation Accuracy: 0.613, Loss: 0.618\n", "Epoch 0 Batch 684/1077 - Train Accuracy: 0.627, Validation Accuracy: 0.610, Loss: 0.615\n", "Epoch 0 Batch 685/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.610, Loss: 0.622\n", "Epoch 0 Batch 686/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.613, Loss: 0.588\n", "Epoch 0 Batch 687/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.608, Loss: 0.616\n", "Epoch 0 Batch 688/1077 - Train Accuracy: 0.565, Validation Accuracy: 0.614, Loss: 0.623\n", "Epoch 0 Batch 689/1077 - Train Accuracy: 0.574, Validation Accuracy: 0.615, Loss: 0.615\n", "Epoch 0 Batch 690/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.621, Loss: 0.621\n", "Epoch 0 Batch 691/1077 - Train Accuracy: 0.583, Validation Accuracy: 0.619, Loss: 0.678\n", "Epoch 0 Batch 692/1077 - Train Accuracy: 0.637, Validation Accuracy: 0.619, Loss: 0.590\n", "Epoch 0 Batch 693/1077 - Train Accuracy: 0.547, Validation Accuracy: 0.625, Loss: 0.697\n", "Epoch 0 Batch 694/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.620, Loss: 0.591\n", "Epoch 0 Batch 695/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.628, Loss: 0.595\n", "Epoch 0 Batch 696/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.627, Loss: 0.659\n", "Epoch 0 Batch 697/1077 - Train Accuracy: 0.611, Validation Accuracy: 0.629, Loss: 0.605\n", "Epoch 0 Batch 698/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.631, Loss: 0.585\n", "Epoch 0 Batch 699/1077 - Train Accuracy: 0.536, Validation Accuracy: 0.621, Loss: 0.634\n", "Epoch 0 Batch 700/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.611, Loss: 0.611\n", "Epoch 0 Batch 701/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.603, Loss: 0.633\n", "Epoch 0 Batch 702/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.604, Loss: 0.619\n", "Epoch 0 Batch 703/1077 - Train Accuracy: 0.620, Validation Accuracy: 0.606, Loss: 0.628\n", "Epoch 0 Batch 704/1077 - Train Accuracy: 0.560, Validation Accuracy: 0.616, Loss: 0.633\n", "Epoch 0 Batch 705/1077 - Train Accuracy: 0.629, Validation Accuracy: 0.612, Loss: 0.675\n", "Epoch 0 Batch 706/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.615, Loss: 0.596\n", "Epoch 0 Batch 707/1077 - Train Accuracy: 0.616, Validation Accuracy: 0.620, Loss: 0.626\n", "Epoch 0 Batch 708/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.620, Loss: 0.640\n", "Epoch 0 Batch 709/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.620, Loss: 0.632\n", "Epoch 0 Batch 710/1077 - Train Accuracy: 0.571, Validation Accuracy: 0.622, Loss: 0.621\n", "Epoch 0 Batch 711/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.623, Loss: 0.640\n", "Epoch 0 Batch 712/1077 - Train Accuracy: 0.601, Validation Accuracy: 0.617, Loss: 0.597\n", "Epoch 0 Batch 713/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.616, Loss: 0.565\n", "Epoch 0 Batch 714/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.574, Loss: 0.643\n", "Epoch 0 Batch 715/1077 - Train Accuracy: 0.605, Validation Accuracy: 0.628, Loss: 0.682\n", "Epoch 0 Batch 716/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.591, Loss: 0.587\n", "Epoch 0 Batch 717/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.626, Loss: 0.706\n", "Epoch 0 Batch 718/1077 - Train Accuracy: 0.569, Validation Accuracy: 0.619, Loss: 0.637\n", "Epoch 0 Batch 719/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.599, Loss: 0.617\n", "Epoch 0 Batch 720/1077 - Train Accuracy: 0.597, Validation Accuracy: 0.613, Loss: 0.687\n", "Epoch 0 Batch 721/1077 - Train Accuracy: 0.600, Validation Accuracy: 0.598, Loss: 0.636\n", "Epoch 0 Batch 722/1077 - Train Accuracy: 0.585, Validation Accuracy: 0.599, Loss: 0.617\n", "Epoch 0 Batch 723/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.593, Loss: 0.625\n", "Epoch 0 Batch 724/1077 - Train Accuracy: 0.565, Validation Accuracy: 0.604, Loss: 0.641\n", "Epoch 0 Batch 725/1077 - Train Accuracy: 0.600, Validation Accuracy: 0.612, Loss: 0.597\n", "Epoch 0 Batch 726/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.610, Loss: 0.604\n", "Epoch 0 Batch 727/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.611, Loss: 0.583\n", "Epoch 0 Batch 728/1077 - Train Accuracy: 0.587, Validation Accuracy: 0.615, Loss: 0.611\n", "Epoch 0 Batch 729/1077 - Train Accuracy: 0.558, Validation Accuracy: 0.612, Loss: 0.650\n", "Epoch 0 Batch 730/1077 - Train Accuracy: 0.576, Validation Accuracy: 0.605, Loss: 0.622\n", "Epoch 0 Batch 731/1077 - Train Accuracy: 0.584, Validation Accuracy: 0.617, Loss: 0.616\n", "Epoch 0 Batch 732/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.616, Loss: 0.638\n", "Epoch 0 Batch 733/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.616, Loss: 0.613\n", "Epoch 0 Batch 734/1077 - Train Accuracy: 0.578, Validation Accuracy: 0.617, Loss: 0.661\n", "Epoch 0 Batch 735/1077 - Train Accuracy: 0.605, Validation Accuracy: 0.623, Loss: 0.594\n", "Epoch 0 Batch 736/1077 - Train Accuracy: 0.606, Validation Accuracy: 0.629, Loss: 0.609\n", "Epoch 0 Batch 737/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.616, Loss: 0.645\n", "Epoch 0 Batch 738/1077 - Train Accuracy: 0.637, Validation Accuracy: 0.614, Loss: 0.534\n", "Epoch 0 Batch 739/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.616, Loss: 0.599\n", "Epoch 0 Batch 740/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.622, Loss: 0.607\n", "Epoch 0 Batch 741/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.622, Loss: 0.620\n", "Epoch 0 Batch 742/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.623, Loss: 0.611\n", "Epoch 0 Batch 743/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.625, Loss: 0.614\n", "Epoch 0 Batch 744/1077 - Train Accuracy: 0.608, Validation Accuracy: 0.627, Loss: 0.562\n", "Epoch 0 Batch 745/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.627, Loss: 0.585\n", "Epoch 0 Batch 746/1077 - Train Accuracy: 0.629, Validation Accuracy: 0.628, Loss: 0.605\n", "Epoch 0 Batch 747/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.624, Loss: 0.571\n", "Epoch 0 Batch 748/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.625, Loss: 0.600\n", "Epoch 0 Batch 749/1077 - Train Accuracy: 0.570, Validation Accuracy: 0.626, Loss: 0.620\n", "Epoch 0 Batch 750/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.627, Loss: 0.617\n", "Epoch 0 Batch 751/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.625, Loss: 0.591\n", "Epoch 0 Batch 752/1077 - Train Accuracy: 0.635, Validation Accuracy: 0.624, Loss: 0.568\n", "Epoch 0 Batch 753/1077 - Train Accuracy: 0.614, Validation Accuracy: 0.621, Loss: 0.586\n", "Epoch 0 Batch 754/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.621, Loss: 0.617\n", "Epoch 0 Batch 755/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.623, Loss: 0.605\n", "Epoch 0 Batch 756/1077 - Train Accuracy: 0.622, Validation Accuracy: 0.622, Loss: 0.565\n", "Epoch 0 Batch 757/1077 - Train Accuracy: 0.608, Validation Accuracy: 0.624, Loss: 0.612\n", "Epoch 0 Batch 758/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.628, Loss: 0.558\n", "Epoch 0 Batch 759/1077 - Train Accuracy: 0.645, Validation Accuracy: 0.628, Loss: 0.545\n", "Epoch 0 Batch 760/1077 - Train Accuracy: 0.614, Validation Accuracy: 0.627, Loss: 0.594\n", "Epoch 0 Batch 761/1077 - Train Accuracy: 0.559, Validation Accuracy: 0.628, Loss: 0.624\n", "Epoch 0 Batch 762/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.624, Loss: 0.583\n", "Epoch 0 Batch 763/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.621, Loss: 0.575\n", "Epoch 0 Batch 764/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.626, Loss: 0.588\n", "Epoch 0 Batch 765/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.628, Loss: 0.573\n", "Epoch 0 Batch 766/1077 - Train Accuracy: 0.588, Validation Accuracy: 0.619, Loss: 0.614\n", "Epoch 0 Batch 767/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.618, Loss: 0.597\n", "Epoch 0 Batch 768/1077 - Train Accuracy: 0.601, Validation Accuracy: 0.624, Loss: 0.569\n", "Epoch 0 Batch 769/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.618, Loss: 0.598\n", "Epoch 0 Batch 770/1077 - Train Accuracy: 0.626, Validation Accuracy: 0.613, Loss: 0.565\n", "Epoch 0 Batch 771/1077 - Train Accuracy: 0.638, Validation Accuracy: 0.610, Loss: 0.583\n", "Epoch 0 Batch 772/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.618, Loss: 0.570\n", "Epoch 0 Batch 773/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.619, Loss: 0.564\n", "Epoch 0 Batch 774/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.621, Loss: 0.606\n", "Epoch 0 Batch 775/1077 - Train Accuracy: 0.620, Validation Accuracy: 0.623, Loss: 0.580\n", "Epoch 0 Batch 776/1077 - Train Accuracy: 0.601, Validation Accuracy: 0.631, Loss: 0.580\n", "Epoch 0 Batch 777/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.630, Loss: 0.600\n", "Epoch 0 Batch 778/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.636, Loss: 0.568\n", "Epoch 0 Batch 779/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.634, Loss: 0.595\n", "Epoch 0 Batch 780/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.634, Loss: 0.605\n", "Epoch 0 Batch 781/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.633, Loss: 0.561\n", "Epoch 0 Batch 782/1077 - Train Accuracy: 0.631, Validation Accuracy: 0.632, Loss: 0.575\n", "Epoch 0 Batch 783/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.635, Loss: 0.582\n", "Epoch 0 Batch 784/1077 - Train Accuracy: 0.628, Validation Accuracy: 0.633, Loss: 0.573\n", "Epoch 0 Batch 785/1077 - Train Accuracy: 0.654, Validation Accuracy: 0.629, Loss: 0.566\n", "Epoch 0 Batch 786/1077 - Train Accuracy: 0.562, Validation Accuracy: 0.628, Loss: 0.598\n", "Epoch 0 Batch 787/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.626, Loss: 0.543\n", "Epoch 0 Batch 788/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.622, Loss: 0.590\n", "Epoch 0 Batch 789/1077 - Train Accuracy: 0.563, Validation Accuracy: 0.633, Loss: 0.616\n", "Epoch 0 Batch 790/1077 - Train Accuracy: 0.561, Validation Accuracy: 0.629, Loss: 0.626\n", "Epoch 0 Batch 791/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.623, Loss: 0.590\n", "Epoch 0 Batch 792/1077 - Train Accuracy: 0.586, Validation Accuracy: 0.617, Loss: 0.589\n", "Epoch 0 Batch 793/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.608, Loss: 0.571\n", "Epoch 0 Batch 794/1077 - Train Accuracy: 0.566, Validation Accuracy: 0.614, Loss: 0.591\n", "Epoch 0 Batch 795/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.615, Loss: 0.611\n", "Epoch 0 Batch 796/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.623, Loss: 0.577\n", "Epoch 0 Batch 797/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.625, Loss: 0.581\n", "Epoch 0 Batch 798/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.622, Loss: 0.594\n", "Epoch 0 Batch 799/1077 - Train Accuracy: 0.620, Validation Accuracy: 0.631, Loss: 0.617\n", "Epoch 0 Batch 800/1077 - Train Accuracy: 0.597, Validation Accuracy: 0.626, Loss: 0.586\n", "Epoch 0 Batch 801/1077 - Train Accuracy: 0.601, Validation Accuracy: 0.624, Loss: 0.593\n", "Epoch 0 Batch 802/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.619, Loss: 0.577\n", "Epoch 0 Batch 803/1077 - Train Accuracy: 0.622, Validation Accuracy: 0.624, Loss: 0.599\n", "Epoch 0 Batch 804/1077 - Train Accuracy: 0.605, Validation Accuracy: 0.626, Loss: 0.586\n", "Epoch 0 Batch 805/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.627, Loss: 0.587\n", "Epoch 0 Batch 806/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.631, Loss: 0.589\n", "Epoch 0 Batch 807/1077 - Train Accuracy: 0.575, Validation Accuracy: 0.630, Loss: 0.573\n", "Epoch 0 Batch 808/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.636, Loss: 0.593\n", "Epoch 0 Batch 809/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.637, Loss: 0.619\n", "Epoch 0 Batch 810/1077 - Train Accuracy: 0.619, Validation Accuracy: 0.632, Loss: 0.558\n", "Epoch 0 Batch 811/1077 - Train Accuracy: 0.635, Validation Accuracy: 0.627, Loss: 0.542\n", "Epoch 0 Batch 812/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.627, Loss: 0.604\n", "Epoch 0 Batch 813/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.628, Loss: 0.551\n", "Epoch 0 Batch 814/1077 - Train Accuracy: 0.619, Validation Accuracy: 0.624, Loss: 0.572\n", "Epoch 0 Batch 815/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.619, Loss: 0.585\n", "Epoch 0 Batch 816/1077 - Train Accuracy: 0.631, Validation Accuracy: 0.626, Loss: 0.603\n", "Epoch 0 Batch 817/1077 - Train Accuracy: 0.570, Validation Accuracy: 0.624, Loss: 0.589\n", "Epoch 0 Batch 818/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.619, Loss: 0.576\n", "Epoch 0 Batch 819/1077 - Train Accuracy: 0.616, Validation Accuracy: 0.616, Loss: 0.563\n", "Epoch 0 Batch 820/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.620, Loss: 0.623\n", "Epoch 0 Batch 821/1077 - Train Accuracy: 0.626, Validation Accuracy: 0.613, Loss: 0.576\n", "Epoch 0 Batch 822/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.615, Loss: 0.574\n", "Epoch 0 Batch 823/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.621, Loss: 0.570\n", "Epoch 0 Batch 824/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.626, Loss: 0.546\n", "Epoch 0 Batch 825/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.623, Loss: 0.573\n", "Epoch 0 Batch 826/1077 - Train Accuracy: 0.646, Validation Accuracy: 0.614, Loss: 0.540\n", "Epoch 0 Batch 827/1077 - Train Accuracy: 0.611, Validation Accuracy: 0.615, Loss: 0.596\n", "Epoch 0 Batch 828/1077 - Train Accuracy: 0.642, Validation Accuracy: 0.621, Loss: 0.575\n", "Epoch 0 Batch 829/1077 - Train Accuracy: 0.627, Validation Accuracy: 0.626, Loss: 0.589\n", "Epoch 0 Batch 830/1077 - Train Accuracy: 0.604, Validation Accuracy: 0.630, Loss: 0.573\n", "Epoch 0 Batch 831/1077 - Train Accuracy: 0.597, Validation Accuracy: 0.632, Loss: 0.589\n", "Epoch 0 Batch 832/1077 - Train Accuracy: 0.599, Validation Accuracy: 0.624, Loss: 0.588\n", "Epoch 0 Batch 833/1077 - Train Accuracy: 0.626, Validation Accuracy: 0.624, Loss: 0.586\n", "Epoch 0 Batch 834/1077 - Train Accuracy: 0.655, Validation Accuracy: 0.634, Loss: 0.545\n", "Epoch 0 Batch 835/1077 - Train Accuracy: 0.638, Validation Accuracy: 0.635, Loss: 0.558\n", "Epoch 0 Batch 836/1077 - Train Accuracy: 0.594, Validation Accuracy: 0.634, Loss: 0.589\n", "Epoch 0 Batch 837/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.642, Loss: 0.586\n", "Epoch 0 Batch 838/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.635, Loss: 0.562\n", "Epoch 0 Batch 839/1077 - Train Accuracy: 0.645, Validation Accuracy: 0.635, Loss: 0.543\n", "Epoch 0 Batch 840/1077 - Train Accuracy: 0.620, Validation Accuracy: 0.633, Loss: 0.546\n", "Epoch 0 Batch 841/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.626, Loss: 0.558\n", "Epoch 0 Batch 842/1077 - Train Accuracy: 0.649, Validation Accuracy: 0.632, Loss: 0.563\n", "Epoch 0 Batch 843/1077 - Train Accuracy: 0.661, Validation Accuracy: 0.637, Loss: 0.521\n", "Epoch 0 Batch 844/1077 - Train Accuracy: 0.622, Validation Accuracy: 0.629, Loss: 0.538\n", "Epoch 0 Batch 845/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.635, Loss: 0.562\n", "Epoch 0 Batch 846/1077 - Train Accuracy: 0.633, Validation Accuracy: 0.635, Loss: 0.567\n", "Epoch 0 Batch 847/1077 - Train Accuracy: 0.656, Validation Accuracy: 0.624, Loss: 0.559\n", "Epoch 0 Batch 848/1077 - Train Accuracy: 0.611, Validation Accuracy: 0.621, Loss: 0.581\n", "Epoch 0 Batch 849/1077 - Train Accuracy: 0.622, Validation Accuracy: 0.630, Loss: 0.542\n", "Epoch 0 Batch 850/1077 - Train Accuracy: 0.611, Validation Accuracy: 0.636, Loss: 0.581\n", "Epoch 0 Batch 851/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.635, Loss: 0.550\n", "Epoch 0 Batch 852/1077 - Train Accuracy: 0.630, Validation Accuracy: 0.637, Loss: 0.581\n", "Epoch 0 Batch 853/1077 - Train Accuracy: 0.655, Validation Accuracy: 0.635, Loss: 0.539\n", "Epoch 0 Batch 854/1077 - Train Accuracy: 0.657, Validation Accuracy: 0.634, Loss: 0.561\n", "Epoch 0 Batch 855/1077 - Train Accuracy: 0.612, Validation Accuracy: 0.636, Loss: 0.567\n", "Epoch 0 Batch 856/1077 - Train Accuracy: 0.606, Validation Accuracy: 0.632, Loss: 0.574\n", "Epoch 0 Batch 857/1077 - Train Accuracy: 0.660, Validation Accuracy: 0.629, Loss: 0.559\n", "Epoch 0 Batch 858/1077 - Train Accuracy: 0.628, Validation Accuracy: 0.627, Loss: 0.551\n", "Epoch 0 Batch 859/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.624, Loss: 0.565\n", "Epoch 0 Batch 860/1077 - Train Accuracy: 0.619, Validation Accuracy: 0.622, Loss: 0.562\n", "Epoch 0 Batch 861/1077 - Train Accuracy: 0.625, Validation Accuracy: 0.630, Loss: 0.559\n", "Epoch 0 Batch 862/1077 - Train Accuracy: 0.652, Validation Accuracy: 0.624, Loss: 0.556\n", "Epoch 0 Batch 863/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.624, Loss: 0.545\n", "Epoch 0 Batch 864/1077 - Train Accuracy: 0.633, Validation Accuracy: 0.633, Loss: 0.555\n", "Epoch 0 Batch 865/1077 - Train Accuracy: 0.678, Validation Accuracy: 0.624, Loss: 0.499\n", "Epoch 0 Batch 866/1077 - Train Accuracy: 0.648, Validation Accuracy: 0.616, Loss: 0.553\n", "Epoch 0 Batch 867/1077 - Train Accuracy: 0.575, Validation Accuracy: 0.626, Loss: 0.601\n", "Epoch 0 Batch 868/1077 - Train Accuracy: 0.614, Validation Accuracy: 0.629, Loss: 0.557\n", "Epoch 0 Batch 869/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.630, Loss: 0.563\n", "Epoch 0 Batch 870/1077 - Train Accuracy: 0.610, Validation Accuracy: 0.633, Loss: 0.574\n", "Epoch 0 Batch 871/1077 - Train Accuracy: 0.595, Validation Accuracy: 0.632, Loss: 0.562\n", "Epoch 0 Batch 872/1077 - Train Accuracy: 0.638, Validation Accuracy: 0.623, Loss: 0.558\n", "Epoch 0 Batch 873/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.618, Loss: 0.560\n", "Epoch 0 Batch 874/1077 - Train Accuracy: 0.589, Validation Accuracy: 0.618, Loss: 0.560\n", "Epoch 0 Batch 875/1077 - Train Accuracy: 0.638, Validation Accuracy: 0.614, Loss: 0.544\n", "Epoch 0 Batch 876/1077 - Train Accuracy: 0.599, Validation Accuracy: 0.616, Loss: 0.555\n", "Epoch 0 Batch 877/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.616, Loss: 0.546\n", "Epoch 0 Batch 878/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.627, Loss: 0.536\n", "Epoch 0 Batch 879/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.632, Loss: 0.517\n", "Epoch 0 Batch 880/1077 - Train Accuracy: 0.661, Validation Accuracy: 0.638, Loss: 0.532\n", "Epoch 0 Batch 881/1077 - Train Accuracy: 0.593, Validation Accuracy: 0.636, Loss: 0.561\n", "Epoch 0 Batch 882/1077 - Train Accuracy: 0.648, Validation Accuracy: 0.634, Loss: 0.575\n", "Epoch 0 Batch 883/1077 - Train Accuracy: 0.571, Validation Accuracy: 0.639, Loss: 0.609\n", "Epoch 0 Batch 884/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.636, Loss: 0.524\n", "Epoch 0 Batch 885/1077 - Train Accuracy: 0.670, Validation Accuracy: 0.621, Loss: 0.469\n", "Epoch 0 Batch 886/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.624, Loss: 0.565\n", "Epoch 0 Batch 887/1077 - Train Accuracy: 0.649, Validation Accuracy: 0.637, Loss: 0.559\n", "Epoch 0 Batch 888/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.610, Loss: 0.563\n", "Epoch 0 Batch 889/1077 - Train Accuracy: 0.664, Validation Accuracy: 0.626, Loss: 0.576\n", "Epoch 0 Batch 890/1077 - Train Accuracy: 0.691, Validation Accuracy: 0.649, Loss: 0.513\n", "Epoch 0 Batch 891/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.632, Loss: 0.556\n", "Epoch 0 Batch 892/1077 - Train Accuracy: 0.637, Validation Accuracy: 0.637, Loss: 0.521\n", "Epoch 0 Batch 893/1077 - Train Accuracy: 0.632, Validation Accuracy: 0.635, Loss: 0.556\n", "Epoch 0 Batch 894/1077 - Train Accuracy: 0.644, Validation Accuracy: 0.631, Loss: 0.516\n", "Epoch 0 Batch 895/1077 - Train Accuracy: 0.635, Validation Accuracy: 0.634, Loss: 0.549\n", "Epoch 0 Batch 896/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.640, Loss: 0.577\n", "Epoch 0 Batch 897/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.626, Loss: 0.512\n", "Epoch 0 Batch 898/1077 - Train Accuracy: 0.658, Validation Accuracy: 0.635, Loss: 0.515\n", "Epoch 0 Batch 899/1077 - Train Accuracy: 0.621, Validation Accuracy: 0.635, Loss: 0.556\n", "Epoch 0 Batch 900/1077 - Train Accuracy: 0.631, Validation Accuracy: 0.630, Loss: 0.539\n", "Epoch 0 Batch 901/1077 - Train Accuracy: 0.640, Validation Accuracy: 0.630, Loss: 0.542\n", "Epoch 0 Batch 902/1077 - Train Accuracy: 0.645, Validation Accuracy: 0.635, Loss: 0.537\n", "Epoch 0 Batch 903/1077 - Train Accuracy: 0.654, Validation Accuracy: 0.643, Loss: 0.518\n", "Epoch 0 Batch 904/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.647, Loss: 0.536\n", "Epoch 0 Batch 905/1077 - Train Accuracy: 0.669, Validation Accuracy: 0.640, Loss: 0.528\n", "Epoch 0 Batch 906/1077 - Train Accuracy: 0.629, Validation Accuracy: 0.641, Loss: 0.517\n", "Epoch 0 Batch 907/1077 - Train Accuracy: 0.633, Validation Accuracy: 0.646, Loss: 0.544\n", "Epoch 0 Batch 908/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.640, Loss: 0.553\n", "Epoch 0 Batch 909/1077 - Train Accuracy: 0.665, Validation Accuracy: 0.637, Loss: 0.548\n", "Epoch 0 Batch 910/1077 - Train Accuracy: 0.630, Validation Accuracy: 0.632, Loss: 0.517\n", "Epoch 0 Batch 911/1077 - Train Accuracy: 0.671, Validation Accuracy: 0.640, Loss: 0.487\n", "Epoch 0 Batch 912/1077 - Train Accuracy: 0.607, Validation Accuracy: 0.633, Loss: 0.526\n", "Epoch 0 Batch 913/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.631, Loss: 0.548\n", "Epoch 0 Batch 914/1077 - Train Accuracy: 0.703, Validation Accuracy: 0.623, Loss: 0.475\n", "Epoch 0 Batch 915/1077 - Train Accuracy: 0.595, Validation Accuracy: 0.616, Loss: 0.573\n", "Epoch 0 Batch 916/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.626, Loss: 0.538\n", "Epoch 0 Batch 917/1077 - Train Accuracy: 0.596, Validation Accuracy: 0.624, Loss: 0.548\n", "Epoch 0 Batch 918/1077 - Train Accuracy: 0.663, Validation Accuracy: 0.632, Loss: 0.493\n", "Epoch 0 Batch 919/1077 - Train Accuracy: 0.668, Validation Accuracy: 0.635, Loss: 0.540\n", "Epoch 0 Batch 920/1077 - Train Accuracy: 0.643, Validation Accuracy: 0.646, Loss: 0.547\n", "Epoch 0 Batch 921/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.645, Loss: 0.520\n", "Epoch 0 Batch 922/1077 - Train Accuracy: 0.626, Validation Accuracy: 0.647, Loss: 0.509\n", "Epoch 0 Batch 923/1077 - Train Accuracy: 0.599, Validation Accuracy: 0.646, Loss: 0.577\n", "Epoch 0 Batch 924/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.653, Loss: 0.554\n", "Epoch 0 Batch 925/1077 - Train Accuracy: 0.629, Validation Accuracy: 0.657, Loss: 0.518\n", "Epoch 0 Batch 926/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.651, Loss: 0.536\n", "Epoch 0 Batch 927/1077 - Train Accuracy: 0.602, Validation Accuracy: 0.645, Loss: 0.545\n", "Epoch 0 Batch 928/1077 - Train Accuracy: 0.648, Validation Accuracy: 0.641, Loss: 0.512\n", "Epoch 0 Batch 929/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.639, Loss: 0.552\n", "Epoch 0 Batch 930/1077 - Train Accuracy: 0.654, Validation Accuracy: 0.637, Loss: 0.507\n", "Epoch 0 Batch 931/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.632, Loss: 0.527\n", "Epoch 0 Batch 932/1077 - Train Accuracy: 0.577, Validation Accuracy: 0.638, Loss: 0.550\n", "Epoch 0 Batch 933/1077 - Train Accuracy: 0.643, Validation Accuracy: 0.625, Loss: 0.520\n", "Epoch 0 Batch 934/1077 - Train Accuracy: 0.642, Validation Accuracy: 0.632, Loss: 0.528\n", "Epoch 0 Batch 935/1077 - Train Accuracy: 0.641, Validation Accuracy: 0.636, Loss: 0.544\n", "Epoch 0 Batch 936/1077 - Train Accuracy: 0.608, Validation Accuracy: 0.642, Loss: 0.519\n", "Epoch 0 Batch 937/1077 - Train Accuracy: 0.675, Validation Accuracy: 0.640, Loss: 0.545\n", "Epoch 0 Batch 938/1077 - Train Accuracy: 0.675, Validation Accuracy: 0.642, Loss: 0.531\n", "Epoch 0 Batch 939/1077 - Train Accuracy: 0.657, Validation Accuracy: 0.641, Loss: 0.522\n", "Epoch 0 Batch 940/1077 - Train Accuracy: 0.615, Validation Accuracy: 0.644, Loss: 0.517\n", "Epoch 0 Batch 941/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.647, Loss: 0.488\n", "Epoch 0 Batch 942/1077 - Train Accuracy: 0.663, Validation Accuracy: 0.646, Loss: 0.525\n", "Epoch 0 Batch 943/1077 - Train Accuracy: 0.642, Validation Accuracy: 0.644, Loss: 0.522\n", "Epoch 0 Batch 944/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.641, Loss: 0.502\n", "Epoch 0 Batch 945/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.645, Loss: 0.497\n", "Epoch 0 Batch 946/1077 - Train Accuracy: 0.635, Validation Accuracy: 0.647, Loss: 0.516\n", "Epoch 0 Batch 947/1077 - Train Accuracy: 0.623, Validation Accuracy: 0.646, Loss: 0.560\n", "Epoch 0 Batch 948/1077 - Train Accuracy: 0.629, Validation Accuracy: 0.643, Loss: 0.508\n", "Epoch 0 Batch 949/1077 - Train Accuracy: 0.679, Validation Accuracy: 0.644, Loss: 0.462\n", "Epoch 0 Batch 950/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.643, Loss: 0.478\n", "Epoch 0 Batch 951/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.650, Loss: 0.505\n", "Epoch 0 Batch 952/1077 - Train Accuracy: 0.609, Validation Accuracy: 0.652, Loss: 0.514\n", "Epoch 0 Batch 953/1077 - Train Accuracy: 0.640, Validation Accuracy: 0.640, Loss: 0.514\n", "Epoch 0 Batch 954/1077 - Train Accuracy: 0.581, Validation Accuracy: 0.628, Loss: 0.542\n", "Epoch 0 Batch 955/1077 - Train Accuracy: 0.645, Validation Accuracy: 0.626, Loss: 0.505\n", "Epoch 0 Batch 956/1077 - Train Accuracy: 0.665, Validation Accuracy: 0.631, Loss: 0.508\n", "Epoch 0 Batch 957/1077 - Train Accuracy: 0.693, Validation Accuracy: 0.640, Loss: 0.466\n", "Epoch 0 Batch 958/1077 - Train Accuracy: 0.633, Validation Accuracy: 0.653, Loss: 0.506\n", "Epoch 0 Batch 959/1077 - Train Accuracy: 0.668, Validation Accuracy: 0.642, Loss: 0.485\n", "Epoch 0 Batch 960/1077 - Train Accuracy: 0.672, Validation Accuracy: 0.641, Loss: 0.487\n", "Epoch 0 Batch 961/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.650, Loss: 0.520\n", "Epoch 0 Batch 962/1077 - Train Accuracy: 0.672, Validation Accuracy: 0.655, Loss: 0.492\n", "Epoch 0 Batch 963/1077 - Train Accuracy: 0.617, Validation Accuracy: 0.657, Loss: 0.564\n", "Epoch 0 Batch 964/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.660, Loss: 0.475\n", "Epoch 0 Batch 965/1077 - Train Accuracy: 0.590, Validation Accuracy: 0.646, Loss: 0.543\n", "Epoch 0 Batch 966/1077 - Train Accuracy: 0.675, Validation Accuracy: 0.637, Loss: 0.451\n", "Epoch 0 Batch 967/1077 - Train Accuracy: 0.652, Validation Accuracy: 0.646, Loss: 0.494\n", "Epoch 0 Batch 968/1077 - Train Accuracy: 0.603, Validation Accuracy: 0.646, Loss: 0.535\n", "Epoch 0 Batch 969/1077 - Train Accuracy: 0.659, Validation Accuracy: 0.646, Loss: 0.504\n", "Epoch 0 Batch 970/1077 - Train Accuracy: 0.673, Validation Accuracy: 0.653, Loss: 0.508\n", "Epoch 0 Batch 971/1077 - Train Accuracy: 0.660, Validation Accuracy: 0.644, Loss: 0.482\n", "Epoch 0 Batch 972/1077 - Train Accuracy: 0.600, Validation Accuracy: 0.642, Loss: 0.509\n", "Epoch 0 Batch 973/1077 - Train Accuracy: 0.703, Validation Accuracy: 0.646, Loss: 0.462\n", "Epoch 0 Batch 974/1077 - Train Accuracy: 0.591, Validation Accuracy: 0.640, Loss: 0.511\n", "Epoch 0 Batch 975/1077 - Train Accuracy: 0.667, Validation Accuracy: 0.641, Loss: 0.472\n", "Epoch 0 Batch 976/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.642, Loss: 0.490\n", "Epoch 0 Batch 977/1077 - Train Accuracy: 0.694, Validation Accuracy: 0.643, Loss: 0.479\n", "Epoch 0 Batch 978/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.642, Loss: 0.486\n", "Epoch 0 Batch 979/1077 - Train Accuracy: 0.622, Validation Accuracy: 0.642, Loss: 0.548\n", "Epoch 0 Batch 980/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.643, Loss: 0.501\n", "Epoch 0 Batch 981/1077 - Train Accuracy: 0.630, Validation Accuracy: 0.645, Loss: 0.510\n", "Epoch 0 Batch 982/1077 - Train Accuracy: 0.656, Validation Accuracy: 0.650, Loss: 0.497\n", "Epoch 0 Batch 983/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.653, Loss: 0.521\n", "Epoch 0 Batch 984/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.654, Loss: 0.525\n", "Epoch 0 Batch 985/1077 - Train Accuracy: 0.687, Validation Accuracy: 0.652, Loss: 0.482\n", "Epoch 0 Batch 986/1077 - Train Accuracy: 0.655, Validation Accuracy: 0.669, Loss: 0.500\n", "Epoch 0 Batch 987/1077 - Train Accuracy: 0.650, Validation Accuracy: 0.667, Loss: 0.471\n", "Epoch 0 Batch 988/1077 - Train Accuracy: 0.619, Validation Accuracy: 0.671, Loss: 0.499\n", "Epoch 0 Batch 989/1077 - Train Accuracy: 0.649, Validation Accuracy: 0.660, Loss: 0.504\n", "Epoch 0 Batch 990/1077 - Train Accuracy: 0.646, Validation Accuracy: 0.656, Loss: 0.518\n", "Epoch 0 Batch 991/1077 - Train Accuracy: 0.672, Validation Accuracy: 0.654, Loss: 0.494\n", "Epoch 0 Batch 992/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.664, Loss: 0.497\n", "Epoch 0 Batch 993/1077 - Train Accuracy: 0.691, Validation Accuracy: 0.663, Loss: 0.456\n", "Epoch 0 Batch 994/1077 - Train Accuracy: 0.670, Validation Accuracy: 0.659, Loss: 0.482\n", "Epoch 0 Batch 995/1077 - Train Accuracy: 0.686, Validation Accuracy: 0.664, Loss: 0.473\n", "Epoch 0 Batch 996/1077 - Train Accuracy: 0.642, Validation Accuracy: 0.667, Loss: 0.477\n", "Epoch 0 Batch 997/1077 - Train Accuracy: 0.648, Validation Accuracy: 0.672, Loss: 0.512\n", "Epoch 0 Batch 998/1077 - Train Accuracy: 0.628, Validation Accuracy: 0.670, Loss: 0.474\n", "Epoch 0 Batch 999/1077 - Train Accuracy: 0.663, Validation Accuracy: 0.663, Loss: 0.475\n", "Epoch 0 Batch 1000/1077 - Train Accuracy: 0.703, Validation Accuracy: 0.660, Loss: 0.441\n", "Epoch 0 Batch 1001/1077 - Train Accuracy: 0.659, Validation Accuracy: 0.668, Loss: 0.457\n", "Epoch 0 Batch 1002/1077 - Train Accuracy: 0.700, Validation Accuracy: 0.667, Loss: 0.470\n", "Epoch 0 Batch 1003/1077 - Train Accuracy: 0.683, Validation Accuracy: 0.662, Loss: 0.486\n", "Epoch 0 Batch 1004/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.656, Loss: 0.474\n", "Epoch 0 Batch 1005/1077 - Train Accuracy: 0.628, Validation Accuracy: 0.666, Loss: 0.501\n", "Epoch 0 Batch 1006/1077 - Train Accuracy: 0.658, Validation Accuracy: 0.667, Loss: 0.464\n", "Epoch 0 Batch 1007/1077 - Train Accuracy: 0.701, Validation Accuracy: 0.656, Loss: 0.447\n", "Epoch 0 Batch 1008/1077 - Train Accuracy: 0.687, Validation Accuracy: 0.657, Loss: 0.491\n", "Epoch 0 Batch 1009/1077 - Train Accuracy: 0.684, Validation Accuracy: 0.664, Loss: 0.446\n", "Epoch 0 Batch 1010/1077 - Train Accuracy: 0.678, Validation Accuracy: 0.670, Loss: 0.492\n", "Epoch 0 Batch 1011/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.665, Loss: 0.499\n", "Epoch 0 Batch 1012/1077 - Train Accuracy: 0.662, Validation Accuracy: 0.657, Loss: 0.450\n", "Epoch 0 Batch 1013/1077 - Train Accuracy: 0.674, Validation Accuracy: 0.662, Loss: 0.468\n", "Epoch 0 Batch 1014/1077 - Train Accuracy: 0.635, Validation Accuracy: 0.661, Loss: 0.489\n", "Epoch 0 Batch 1015/1077 - Train Accuracy: 0.658, Validation Accuracy: 0.664, Loss: 0.515\n", "Epoch 0 Batch 1016/1077 - Train Accuracy: 0.624, Validation Accuracy: 0.664, Loss: 0.495\n", "Epoch 0 Batch 1017/1077 - Train Accuracy: 0.666, Validation Accuracy: 0.670, Loss: 0.484\n", "Epoch 0 Batch 1018/1077 - Train Accuracy: 0.658, Validation Accuracy: 0.665, Loss: 0.467\n", "Epoch 0 Batch 1019/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.657, Loss: 0.498\n", "Epoch 0 Batch 1020/1077 - Train Accuracy: 0.664, Validation Accuracy: 0.663, Loss: 0.463\n", "Epoch 0 Batch 1021/1077 - Train Accuracy: 0.668, Validation Accuracy: 0.659, Loss: 0.470\n", "Epoch 0 Batch 1022/1077 - Train Accuracy: 0.711, Validation Accuracy: 0.659, Loss: 0.452\n", "Epoch 0 Batch 1023/1077 - Train Accuracy: 0.679, Validation Accuracy: 0.661, Loss: 0.438\n", "Epoch 0 Batch 1024/1077 - Train Accuracy: 0.659, Validation Accuracy: 0.657, Loss: 0.492\n", "Epoch 0 Batch 1025/1077 - Train Accuracy: 0.666, Validation Accuracy: 0.664, Loss: 0.457\n", "Epoch 0 Batch 1026/1077 - Train Accuracy: 0.737, Validation Accuracy: 0.665, Loss: 0.433\n", "Epoch 0 Batch 1027/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.665, Loss: 0.503\n", "Epoch 0 Batch 1028/1077 - Train Accuracy: 0.650, Validation Accuracy: 0.666, Loss: 0.464\n", "Epoch 0 Batch 1029/1077 - Train Accuracy: 0.664, Validation Accuracy: 0.664, Loss: 0.469\n", "Epoch 0 Batch 1030/1077 - Train Accuracy: 0.659, Validation Accuracy: 0.666, Loss: 0.478\n", "Epoch 0 Batch 1031/1077 - Train Accuracy: 0.646, Validation Accuracy: 0.673, Loss: 0.504\n", "Epoch 0 Batch 1032/1077 - Train Accuracy: 0.683, Validation Accuracy: 0.659, Loss: 0.473\n", "Epoch 0 Batch 1033/1077 - Train Accuracy: 0.646, Validation Accuracy: 0.655, Loss: 0.458\n", "Epoch 0 Batch 1034/1077 - Train Accuracy: 0.627, Validation Accuracy: 0.658, Loss: 0.487\n", "Epoch 0 Batch 1035/1077 - Train Accuracy: 0.698, Validation Accuracy: 0.641, Loss: 0.421\n", "Epoch 0 Batch 1036/1077 - Train Accuracy: 0.650, Validation Accuracy: 0.636, Loss: 0.466\n", "Epoch 0 Batch 1037/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.657, Loss: 0.485\n", "Epoch 0 Batch 1038/1077 - Train Accuracy: 0.661, Validation Accuracy: 0.652, Loss: 0.456\n", "Epoch 0 Batch 1039/1077 - Train Accuracy: 0.677, Validation Accuracy: 0.646, Loss: 0.455\n", "Epoch 0 Batch 1040/1077 - Train Accuracy: 0.673, Validation Accuracy: 0.656, Loss: 0.502\n", "Epoch 0 Batch 1041/1077 - Train Accuracy: 0.644, Validation Accuracy: 0.666, Loss: 0.467\n", "Epoch 0 Batch 1042/1077 - Train Accuracy: 0.705, Validation Accuracy: 0.656, Loss: 0.464\n", "Epoch 0 Batch 1043/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.657, Loss: 0.492\n", "Epoch 0 Batch 1044/1077 - Train Accuracy: 0.667, Validation Accuracy: 0.665, Loss: 0.466\n", "Epoch 0 Batch 1045/1077 - Train Accuracy: 0.613, Validation Accuracy: 0.670, Loss: 0.491\n", "Epoch 0 Batch 1046/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.660, Loss: 0.443\n", "Epoch 0 Batch 1047/1077 - Train Accuracy: 0.682, Validation Accuracy: 0.663, Loss: 0.449\n", "Epoch 0 Batch 1048/1077 - Train Accuracy: 0.674, Validation Accuracy: 0.667, Loss: 0.465\n", "Epoch 0 Batch 1049/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.656, Loss: 0.488\n", "Epoch 0 Batch 1050/1077 - Train Accuracy: 0.618, Validation Accuracy: 0.663, Loss: 0.466\n", "Epoch 0 Batch 1051/1077 - Train Accuracy: 0.694, Validation Accuracy: 0.675, Loss: 0.434\n", "Epoch 0 Batch 1052/1077 - Train Accuracy: 0.705, Validation Accuracy: 0.670, Loss: 0.434\n", "Epoch 0 Batch 1053/1077 - Train Accuracy: 0.674, Validation Accuracy: 0.668, Loss: 0.441\n", "Epoch 0 Batch 1054/1077 - Train Accuracy: 0.652, Validation Accuracy: 0.672, Loss: 0.458\n", "Epoch 0 Batch 1055/1077 - Train Accuracy: 0.670, Validation Accuracy: 0.648, Loss: 0.507\n", "Epoch 0 Batch 1056/1077 - Train Accuracy: 0.698, Validation Accuracy: 0.668, Loss: 0.486\n", "Epoch 0 Batch 1057/1077 - Train Accuracy: 0.630, Validation Accuracy: 0.649, Loss: 0.467\n", "Epoch 0 Batch 1058/1077 - Train Accuracy: 0.678, Validation Accuracy: 0.668, Loss: 0.520\n", "Epoch 0 Batch 1059/1077 - Train Accuracy: 0.647, Validation Accuracy: 0.661, Loss: 0.492\n", "Epoch 0 Batch 1060/1077 - Train Accuracy: 0.664, Validation Accuracy: 0.657, Loss: 0.435\n", "Epoch 0 Batch 1061/1077 - Train Accuracy: 0.675, Validation Accuracy: 0.664, Loss: 0.492\n", "Epoch 0 Batch 1062/1077 - Train Accuracy: 0.653, Validation Accuracy: 0.659, Loss: 0.455\n", "Epoch 0 Batch 1063/1077 - Train Accuracy: 0.666, Validation Accuracy: 0.669, Loss: 0.465\n", "Epoch 0 Batch 1064/1077 - Train Accuracy: 0.709, Validation Accuracy: 0.663, Loss: 0.461\n", "Epoch 0 Batch 1065/1077 - Train Accuracy: 0.636, Validation Accuracy: 0.663, Loss: 0.467\n", "Epoch 0 Batch 1066/1077 - Train Accuracy: 0.683, Validation Accuracy: 0.667, Loss: 0.446\n", "Epoch 0 Batch 1067/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.664, Loss: 0.469\n", "Epoch 0 Batch 1068/1077 - Train Accuracy: 0.679, Validation Accuracy: 0.664, Loss: 0.431\n", "Epoch 0 Batch 1069/1077 - Train Accuracy: 0.714, Validation Accuracy: 0.671, Loss: 0.413\n", "Epoch 0 Batch 1070/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.660, Loss: 0.461\n", "Epoch 0 Batch 1071/1077 - Train Accuracy: 0.685, Validation Accuracy: 0.663, Loss: 0.451\n", "Epoch 0 Batch 1072/1077 - Train Accuracy: 0.691, Validation Accuracy: 0.666, Loss: 0.433\n", "Epoch 0 Batch 1073/1077 - Train Accuracy: 0.671, Validation Accuracy: 0.662, Loss: 0.452\n", "Epoch 0 Batch 1074/1077 - Train Accuracy: 0.686, Validation Accuracy: 0.663, Loss: 0.448\n", "Epoch 0 Batch 1075/1077 - Train Accuracy: 0.666, Validation Accuracy: 0.678, Loss: 0.474\n", "Epoch 1 Batch 0/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.660, Loss: 0.404\n", "Epoch 1 Batch 1/1077 - Train Accuracy: 0.676, Validation Accuracy: 0.670, Loss: 0.437\n", "Epoch 1 Batch 2/1077 - Train Accuracy: 0.659, Validation Accuracy: 0.668, Loss: 0.465\n", "Epoch 1 Batch 3/1077 - Train Accuracy: 0.700, Validation Accuracy: 0.675, Loss: 0.436\n", "Epoch 1 Batch 4/1077 - Train Accuracy: 0.670, Validation Accuracy: 0.670, Loss: 0.432\n", "Epoch 1 Batch 5/1077 - Train Accuracy: 0.689, Validation Accuracy: 0.667, Loss: 0.451\n", "Epoch 1 Batch 6/1077 - Train Accuracy: 0.664, Validation Accuracy: 0.675, Loss: 0.441\n", "Epoch 1 Batch 7/1077 - Train Accuracy: 0.632, Validation Accuracy: 0.674, Loss: 0.437\n", "Epoch 1 Batch 8/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.681, Loss: 0.427\n", "Epoch 1 Batch 9/1077 - Train Accuracy: 0.731, Validation Accuracy: 0.681, Loss: 0.436\n", "Epoch 1 Batch 10/1077 - Train Accuracy: 0.676, Validation Accuracy: 0.688, Loss: 0.468\n", "Epoch 1 Batch 11/1077 - Train Accuracy: 0.644, Validation Accuracy: 0.683, Loss: 0.423\n", "Epoch 1 Batch 12/1077 - Train Accuracy: 0.690, Validation Accuracy: 0.683, Loss: 0.459\n", "Epoch 1 Batch 13/1077 - Train Accuracy: 0.722, Validation Accuracy: 0.685, Loss: 0.417\n", "Epoch 1 Batch 14/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.691, Loss: 0.398\n", "Epoch 1 Batch 15/1077 - Train Accuracy: 0.695, Validation Accuracy: 0.700, Loss: 0.428\n", "Epoch 1 Batch 16/1077 - Train Accuracy: 0.703, Validation Accuracy: 0.687, Loss: 0.432\n", "Epoch 1 Batch 17/1077 - Train Accuracy: 0.707, Validation Accuracy: 0.692, Loss: 0.420\n", "Epoch 1 Batch 18/1077 - Train Accuracy: 0.670, Validation Accuracy: 0.684, Loss: 0.422\n", "Epoch 1 Batch 19/1077 - Train Accuracy: 0.706, Validation Accuracy: 0.684, Loss: 0.426\n", "Epoch 1 Batch 20/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.693, Loss: 0.418\n", "Epoch 1 Batch 21/1077 - Train Accuracy: 0.634, Validation Accuracy: 0.693, Loss: 0.455\n", "Epoch 1 Batch 22/1077 - Train Accuracy: 0.674, Validation Accuracy: 0.692, Loss: 0.455\n", "Epoch 1 Batch 23/1077 - Train Accuracy: 0.684, Validation Accuracy: 0.682, Loss: 0.430\n", "Epoch 1 Batch 24/1077 - Train Accuracy: 0.682, Validation Accuracy: 0.681, Loss: 0.429\n", "Epoch 1 Batch 25/1077 - Train Accuracy: 0.671, Validation Accuracy: 0.674, Loss: 0.424\n", "Epoch 1 Batch 26/1077 - Train Accuracy: 0.639, Validation Accuracy: 0.676, Loss: 0.462\n", "Epoch 1 Batch 27/1077 - Train Accuracy: 0.723, Validation Accuracy: 0.672, Loss: 0.396\n", "Epoch 1 Batch 28/1077 - Train Accuracy: 0.686, Validation Accuracy: 0.663, Loss: 0.423\n", "Epoch 1 Batch 29/1077 - Train Accuracy: 0.668, Validation Accuracy: 0.655, Loss: 0.420\n", "Epoch 1 Batch 30/1077 - Train Accuracy: 0.646, Validation Accuracy: 0.651, Loss: 0.434\n", "Epoch 1 Batch 31/1077 - Train Accuracy: 0.673, Validation Accuracy: 0.665, Loss: 0.414\n", "Epoch 1 Batch 32/1077 - Train Accuracy: 0.722, Validation Accuracy: 0.678, Loss: 0.380\n", "Epoch 1 Batch 33/1077 - Train Accuracy: 0.656, Validation Accuracy: 0.678, Loss: 0.410\n", "Epoch 1 Batch 34/1077 - Train Accuracy: 0.696, Validation Accuracy: 0.675, Loss: 0.418\n", "Epoch 1 Batch 35/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.674, Loss: 0.406\n", "Epoch 1 Batch 36/1077 - Train Accuracy: 0.657, Validation Accuracy: 0.688, Loss: 0.408\n", "Epoch 1 Batch 37/1077 - Train Accuracy: 0.666, Validation Accuracy: 0.696, Loss: 0.437\n", "Epoch 1 Batch 38/1077 - Train Accuracy: 0.658, Validation Accuracy: 0.695, Loss: 0.466\n", "Epoch 1 Batch 39/1077 - Train Accuracy: 0.664, Validation Accuracy: 0.685, Loss: 0.450\n", "Epoch 1 Batch 40/1077 - Train Accuracy: 0.684, Validation Accuracy: 0.683, Loss: 0.410\n", "Epoch 1 Batch 41/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.683, Loss: 0.420\n", "Epoch 1 Batch 42/1077 - Train Accuracy: 0.675, Validation Accuracy: 0.697, Loss: 0.431\n", "Epoch 1 Batch 43/1077 - Train Accuracy: 0.694, Validation Accuracy: 0.692, Loss: 0.423\n", "Epoch 1 Batch 44/1077 - Train Accuracy: 0.692, Validation Accuracy: 0.696, Loss: 0.426\n", "Epoch 1 Batch 45/1077 - Train Accuracy: 0.700, Validation Accuracy: 0.679, Loss: 0.417\n", "Epoch 1 Batch 46/1077 - Train Accuracy: 0.662, Validation Accuracy: 0.682, Loss: 0.435\n", "Epoch 1 Batch 47/1077 - Train Accuracy: 0.706, Validation Accuracy: 0.681, Loss: 0.430\n", "Epoch 1 Batch 48/1077 - Train Accuracy: 0.683, Validation Accuracy: 0.680, Loss: 0.448\n", "Epoch 1 Batch 49/1077 - Train Accuracy: 0.665, Validation Accuracy: 0.680, Loss: 0.419\n", "Epoch 1 Batch 50/1077 - Train Accuracy: 0.656, Validation Accuracy: 0.680, Loss: 0.429\n", "Epoch 1 Batch 51/1077 - Train Accuracy: 0.681, Validation Accuracy: 0.679, Loss: 0.407\n", "Epoch 1 Batch 52/1077 - Train Accuracy: 0.704, Validation Accuracy: 0.670, Loss: 0.417\n", "Epoch 1 Batch 53/1077 - Train Accuracy: 0.651, Validation Accuracy: 0.686, Loss: 0.425\n", "Epoch 1 Batch 54/1077 - Train Accuracy: 0.628, Validation Accuracy: 0.689, Loss: 0.447\n", "Epoch 1 Batch 55/1077 - Train Accuracy: 0.718, Validation Accuracy: 0.690, Loss: 0.397\n", "Epoch 1 Batch 56/1077 - Train Accuracy: 0.708, Validation Accuracy: 0.693, Loss: 0.402\n", "Epoch 1 Batch 57/1077 - Train Accuracy: 0.668, Validation Accuracy: 0.690, Loss: 0.396\n", "Epoch 1 Batch 58/1077 - Train Accuracy: 0.713, Validation Accuracy: 0.695, Loss: 0.415\n", "Epoch 1 Batch 59/1077 - Train Accuracy: 0.681, Validation Accuracy: 0.691, Loss: 0.426\n", "Epoch 1 Batch 60/1077 - Train Accuracy: 0.663, Validation Accuracy: 0.676, Loss: 0.405\n", "Epoch 1 Batch 61/1077 - Train Accuracy: 0.652, Validation Accuracy: 0.691, Loss: 0.450\n", "Epoch 1 Batch 62/1077 - Train Accuracy: 0.677, Validation Accuracy: 0.665, Loss: 0.440\n", "Epoch 1 Batch 63/1077 - Train Accuracy: 0.724, Validation Accuracy: 0.684, Loss: 0.396\n", "Epoch 1 Batch 64/1077 - Train Accuracy: 0.648, Validation Accuracy: 0.672, Loss: 0.395\n", "Epoch 1 Batch 65/1077 - Train Accuracy: 0.655, Validation Accuracy: 0.684, Loss: 0.424\n", "Epoch 1 Batch 66/1077 - Train Accuracy: 0.661, Validation Accuracy: 0.690, Loss: 0.388\n", "Epoch 1 Batch 67/1077 - Train Accuracy: 0.709, Validation Accuracy: 0.679, Loss: 0.394\n", "Epoch 1 Batch 68/1077 - Train Accuracy: 0.696, Validation Accuracy: 0.679, Loss: 0.413\n", "Epoch 1 Batch 69/1077 - Train Accuracy: 0.720, Validation Accuracy: 0.683, Loss: 0.414\n", "Epoch 1 Batch 70/1077 - Train Accuracy: 0.708, Validation Accuracy: 0.679, Loss: 0.411\n", "Epoch 1 Batch 71/1077 - Train Accuracy: 0.690, Validation Accuracy: 0.683, Loss: 0.380\n", "Epoch 1 Batch 72/1077 - Train Accuracy: 0.662, Validation Accuracy: 0.672, Loss: 0.415\n", "Epoch 1 Batch 73/1077 - Train Accuracy: 0.681, Validation Accuracy: 0.671, Loss: 0.410\n", "Epoch 1 Batch 74/1077 - Train Accuracy: 0.680, Validation Accuracy: 0.667, Loss: 0.381\n", "Epoch 1 Batch 75/1077 - Train Accuracy: 0.692, Validation Accuracy: 0.666, Loss: 0.412\n", "Epoch 1 Batch 76/1077 - Train Accuracy: 0.719, Validation Accuracy: 0.674, Loss: 0.374\n", "Epoch 1 Batch 77/1077 - Train Accuracy: 0.675, Validation Accuracy: 0.675, Loss: 0.408\n", "Epoch 1 Batch 78/1077 - Train Accuracy: 0.684, Validation Accuracy: 0.663, Loss: 0.413\n", "Epoch 1 Batch 79/1077 - Train Accuracy: 0.672, Validation Accuracy: 0.650, Loss: 0.401\n", "Epoch 1 Batch 80/1077 - Train Accuracy: 0.689, Validation Accuracy: 0.671, Loss: 0.414\n", "Epoch 1 Batch 81/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.681, Loss: 0.380\n", "Epoch 1 Batch 82/1077 - Train Accuracy: 0.738, Validation Accuracy: 0.685, Loss: 0.351\n", "Epoch 1 Batch 83/1077 - Train Accuracy: 0.655, Validation Accuracy: 0.676, Loss: 0.413\n", "Epoch 1 Batch 84/1077 - Train Accuracy: 0.667, Validation Accuracy: 0.683, Loss: 0.395\n", "Epoch 1 Batch 85/1077 - Train Accuracy: 0.698, Validation Accuracy: 0.684, Loss: 0.374\n", "Epoch 1 Batch 86/1077 - Train Accuracy: 0.704, Validation Accuracy: 0.685, Loss: 0.403\n", "Epoch 1 Batch 87/1077 - Train Accuracy: 0.718, Validation Accuracy: 0.691, Loss: 0.406\n", "Epoch 1 Batch 88/1077 - Train Accuracy: 0.734, Validation Accuracy: 0.698, Loss: 0.404\n", "Epoch 1 Batch 89/1077 - Train Accuracy: 0.699, Validation Accuracy: 0.709, Loss: 0.407\n", "Epoch 1 Batch 90/1077 - Train Accuracy: 0.693, Validation Accuracy: 0.710, Loss: 0.414\n", "Epoch 1 Batch 91/1077 - Train Accuracy: 0.734, Validation Accuracy: 0.716, Loss: 0.347\n", "Epoch 1 Batch 92/1077 - Train Accuracy: 0.714, Validation Accuracy: 0.702, Loss: 0.396\n", "Epoch 1 Batch 93/1077 - Train Accuracy: 0.692, Validation Accuracy: 0.705, Loss: 0.393\n", "Epoch 1 Batch 94/1077 - Train Accuracy: 0.745, Validation Accuracy: 0.704, Loss: 0.362\n", "Epoch 1 Batch 95/1077 - Train Accuracy: 0.676, Validation Accuracy: 0.712, Loss: 0.400\n", "Epoch 1 Batch 96/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.712, Loss: 0.367\n", "Epoch 1 Batch 97/1077 - Train Accuracy: 0.720, Validation Accuracy: 0.707, Loss: 0.351\n", "Epoch 1 Batch 98/1077 - Train Accuracy: 0.739, Validation Accuracy: 0.715, Loss: 0.361\n", "Epoch 1 Batch 99/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.715, Loss: 0.389\n", "Epoch 1 Batch 100/1077 - Train Accuracy: 0.711, Validation Accuracy: 0.706, Loss: 0.387\n", "Epoch 1 Batch 101/1077 - Train Accuracy: 0.709, Validation Accuracy: 0.702, Loss: 0.398\n", "Epoch 1 Batch 102/1077 - Train Accuracy: 0.711, Validation Accuracy: 0.712, Loss: 0.369\n", "Epoch 1 Batch 103/1077 - Train Accuracy: 0.669, Validation Accuracy: 0.685, Loss: 0.426\n", "Epoch 1 Batch 104/1077 - Train Accuracy: 0.663, Validation Accuracy: 0.681, Loss: 0.411\n", "Epoch 1 Batch 105/1077 - Train Accuracy: 0.717, Validation Accuracy: 0.685, Loss: 0.382\n", "Epoch 1 Batch 106/1077 - Train Accuracy: 0.698, Validation Accuracy: 0.691, Loss: 0.414\n", "Epoch 1 Batch 107/1077 - Train Accuracy: 0.673, Validation Accuracy: 0.677, Loss: 0.364\n", "Epoch 1 Batch 108/1077 - Train Accuracy: 0.734, Validation Accuracy: 0.672, Loss: 0.337\n", "Epoch 1 Batch 109/1077 - Train Accuracy: 0.697, Validation Accuracy: 0.686, Loss: 0.384\n", "Epoch 1 Batch 110/1077 - Train Accuracy: 0.720, Validation Accuracy: 0.692, Loss: 0.351\n", "Epoch 1 Batch 111/1077 - Train Accuracy: 0.698, Validation Accuracy: 0.691, Loss: 0.378\n", "Epoch 1 Batch 112/1077 - Train Accuracy: 0.713, Validation Accuracy: 0.689, Loss: 0.373\n", "Epoch 1 Batch 113/1077 - Train Accuracy: 0.637, Validation Accuracy: 0.670, Loss: 0.387\n", "Epoch 1 Batch 114/1077 - Train Accuracy: 0.721, Validation Accuracy: 0.679, Loss: 0.347\n", "Epoch 1 Batch 115/1077 - Train Accuracy: 0.650, Validation Accuracy: 0.682, Loss: 0.392\n", "Epoch 1 Batch 116/1077 - Train Accuracy: 0.662, Validation Accuracy: 0.697, Loss: 0.414\n", "Epoch 1 Batch 117/1077 - Train Accuracy: 0.659, Validation Accuracy: 0.682, Loss: 0.378\n", "Epoch 1 Batch 118/1077 - Train Accuracy: 0.662, Validation Accuracy: 0.683, Loss: 0.400\n", "Epoch 1 Batch 119/1077 - Train Accuracy: 0.679, Validation Accuracy: 0.679, Loss: 0.377\n", "Epoch 1 Batch 120/1077 - Train Accuracy: 0.720, Validation Accuracy: 0.683, Loss: 0.389\n", "Epoch 1 Batch 121/1077 - Train Accuracy: 0.726, Validation Accuracy: 0.674, Loss: 0.373\n", "Epoch 1 Batch 122/1077 - Train Accuracy: 0.682, Validation Accuracy: 0.682, Loss: 0.374\n", "Epoch 1 Batch 123/1077 - Train Accuracy: 0.739, Validation Accuracy: 0.686, Loss: 0.341\n", "Epoch 1 Batch 124/1077 - Train Accuracy: 0.696, Validation Accuracy: 0.705, Loss: 0.393\n", "Epoch 1 Batch 125/1077 - Train Accuracy: 0.744, Validation Accuracy: 0.700, Loss: 0.359\n", "Epoch 1 Batch 126/1077 - Train Accuracy: 0.720, Validation Accuracy: 0.706, Loss: 0.360\n", "Epoch 1 Batch 127/1077 - Train Accuracy: 0.708, Validation Accuracy: 0.706, Loss: 0.352\n", "Epoch 1 Batch 128/1077 - Train Accuracy: 0.751, Validation Accuracy: 0.705, Loss: 0.340\n", "Epoch 1 Batch 129/1077 - Train Accuracy: 0.756, Validation Accuracy: 0.691, Loss: 0.358\n", "Epoch 1 Batch 130/1077 - Train Accuracy: 0.712, Validation Accuracy: 0.683, Loss: 0.337\n", "Epoch 1 Batch 131/1077 - Train Accuracy: 0.705, Validation Accuracy: 0.693, Loss: 0.350\n", "Epoch 1 Batch 132/1077 - Train Accuracy: 0.704, Validation Accuracy: 0.694, Loss: 0.376\n", "Epoch 1 Batch 133/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.698, Loss: 0.359\n", "Epoch 1 Batch 134/1077 - Train Accuracy: 0.744, Validation Accuracy: 0.680, Loss: 0.337\n", "Epoch 1 Batch 135/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.697, Loss: 0.368\n", "Epoch 1 Batch 136/1077 - Train Accuracy: 0.720, Validation Accuracy: 0.700, Loss: 0.336\n", "Epoch 1 Batch 137/1077 - Train Accuracy: 0.724, Validation Accuracy: 0.685, Loss: 0.323\n", "Epoch 1 Batch 138/1077 - Train Accuracy: 0.689, Validation Accuracy: 0.689, Loss: 0.359\n", "Epoch 1 Batch 139/1077 - Train Accuracy: 0.722, Validation Accuracy: 0.683, Loss: 0.370\n", "Epoch 1 Batch 140/1077 - Train Accuracy: 0.691, Validation Accuracy: 0.687, Loss: 0.361\n", "Epoch 1 Batch 141/1077 - Train Accuracy: 0.666, Validation Accuracy: 0.674, Loss: 0.368\n", "Epoch 1 Batch 142/1077 - Train Accuracy: 0.706, Validation Accuracy: 0.684, Loss: 0.351\n", "Epoch 1 Batch 143/1077 - Train Accuracy: 0.707, Validation Accuracy: 0.710, Loss: 0.353\n", "Epoch 1 Batch 144/1077 - Train Accuracy: 0.688, Validation Accuracy: 0.723, Loss: 0.386\n", "Epoch 1 Batch 145/1077 - Train Accuracy: 0.716, Validation Accuracy: 0.684, Loss: 0.365\n", "Epoch 1 Batch 146/1077 - Train Accuracy: 0.717, Validation Accuracy: 0.679, Loss: 0.373\n", "Epoch 1 Batch 147/1077 - Train Accuracy: 0.683, Validation Accuracy: 0.730, Loss: 0.394\n", "Epoch 1 Batch 148/1077 - Train Accuracy: 0.732, Validation Accuracy: 0.732, Loss: 0.339\n", "Epoch 1 Batch 149/1077 - Train Accuracy: 0.705, Validation Accuracy: 0.704, Loss: 0.353\n", "Epoch 1 Batch 150/1077 - Train Accuracy: 0.717, Validation Accuracy: 0.684, Loss: 0.346\n", "Epoch 1 Batch 151/1077 - Train Accuracy: 0.709, Validation Accuracy: 0.686, Loss: 0.331\n", "Epoch 1 Batch 152/1077 - Train Accuracy: 0.725, Validation Accuracy: 0.709, Loss: 0.361\n", "Epoch 1 Batch 153/1077 - Train Accuracy: 0.696, Validation Accuracy: 0.716, Loss: 0.373\n", "Epoch 1 Batch 154/1077 - Train Accuracy: 0.736, Validation Accuracy: 0.712, Loss: 0.365\n", "Epoch 1 Batch 155/1077 - Train Accuracy: 0.758, Validation Accuracy: 0.717, Loss: 0.346\n", "Epoch 1 Batch 156/1077 - Train Accuracy: 0.687, Validation Accuracy: 0.705, Loss: 0.357\n", "Epoch 1 Batch 157/1077 - Train Accuracy: 0.729, Validation Accuracy: 0.704, Loss: 0.343\n", "Epoch 1 Batch 158/1077 - Train Accuracy: 0.725, Validation Accuracy: 0.717, Loss: 0.345\n", "Epoch 1 Batch 159/1077 - Train Accuracy: 0.729, Validation Accuracy: 0.702, Loss: 0.314\n", "Epoch 1 Batch 160/1077 - Train Accuracy: 0.718, Validation Accuracy: 0.722, Loss: 0.345\n", "Epoch 1 Batch 161/1077 - Train Accuracy: 0.725, Validation Accuracy: 0.717, Loss: 0.341\n", "Epoch 1 Batch 162/1077 - Train Accuracy: 0.693, Validation Accuracy: 0.708, Loss: 0.373\n", "Epoch 1 Batch 163/1077 - Train Accuracy: 0.723, Validation Accuracy: 0.700, Loss: 0.371\n", "Epoch 1 Batch 164/1077 - Train Accuracy: 0.737, Validation Accuracy: 0.731, Loss: 0.342\n", "Epoch 1 Batch 165/1077 - Train Accuracy: 0.707, Validation Accuracy: 0.711, Loss: 0.351\n", "Epoch 1 Batch 166/1077 - Train Accuracy: 0.750, Validation Accuracy: 0.741, Loss: 0.351\n", "Epoch 1 Batch 167/1077 - Train Accuracy: 0.713, Validation Accuracy: 0.702, Loss: 0.347\n", "Epoch 1 Batch 168/1077 - Train Accuracy: 0.704, Validation Accuracy: 0.697, Loss: 0.368\n", "Epoch 1 Batch 169/1077 - Train Accuracy: 0.725, Validation Accuracy: 0.727, Loss: 0.343\n", "Epoch 1 Batch 170/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.717, Loss: 0.344\n", "Epoch 1 Batch 171/1077 - Train Accuracy: 0.735, Validation Accuracy: 0.722, Loss: 0.324\n", "Epoch 1 Batch 172/1077 - Train Accuracy: 0.750, Validation Accuracy: 0.700, Loss: 0.306\n", "Epoch 1 Batch 173/1077 - Train Accuracy: 0.707, Validation Accuracy: 0.700, Loss: 0.365\n", "Epoch 1 Batch 174/1077 - Train Accuracy: 0.764, Validation Accuracy: 0.721, Loss: 0.342\n", "Epoch 1 Batch 175/1077 - Train Accuracy: 0.767, Validation Accuracy: 0.733, Loss: 0.333\n", "Epoch 1 Batch 176/1077 - Train Accuracy: 0.727, Validation Accuracy: 0.718, Loss: 0.340\n", "Epoch 1 Batch 177/1077 - Train Accuracy: 0.729, Validation Accuracy: 0.700, Loss: 0.361\n", "Epoch 1 Batch 178/1077 - Train Accuracy: 0.755, Validation Accuracy: 0.730, Loss: 0.352\n", "Epoch 1 Batch 179/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.735, Loss: 0.338\n", "Epoch 1 Batch 180/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.724, Loss: 0.328\n", "Epoch 1 Batch 181/1077 - Train Accuracy: 0.700, Validation Accuracy: 0.693, Loss: 0.350\n", "Epoch 1 Batch 182/1077 - Train Accuracy: 0.710, Validation Accuracy: 0.704, Loss: 0.332\n", "Epoch 1 Batch 183/1077 - Train Accuracy: 0.736, Validation Accuracy: 0.724, Loss: 0.341\n", "Epoch 1 Batch 184/1077 - Train Accuracy: 0.796, Validation Accuracy: 0.716, Loss: 0.319\n", "Epoch 1 Batch 185/1077 - Train Accuracy: 0.719, Validation Accuracy: 0.714, Loss: 0.344\n", "Epoch 1 Batch 186/1077 - Train Accuracy: 0.730, Validation Accuracy: 0.689, Loss: 0.343\n", "Epoch 1 Batch 187/1077 - Train Accuracy: 0.739, Validation Accuracy: 0.717, Loss: 0.337\n", "Epoch 1 Batch 188/1077 - Train Accuracy: 0.736, Validation Accuracy: 0.737, Loss: 0.339\n", "Epoch 1 Batch 189/1077 - Train Accuracy: 0.736, Validation Accuracy: 0.714, Loss: 0.329\n", "Epoch 1 Batch 190/1077 - Train Accuracy: 0.757, Validation Accuracy: 0.709, Loss: 0.332\n", "Epoch 1 Batch 191/1077 - Train Accuracy: 0.728, Validation Accuracy: 0.697, Loss: 0.296\n", "Epoch 1 Batch 192/1077 - Train Accuracy: 0.767, Validation Accuracy: 0.701, Loss: 0.331\n", "Epoch 1 Batch 193/1077 - Train Accuracy: 0.761, Validation Accuracy: 0.706, Loss: 0.317\n", "Epoch 1 Batch 194/1077 - Train Accuracy: 0.773, Validation Accuracy: 0.728, Loss: 0.314\n", "Epoch 1 Batch 195/1077 - Train Accuracy: 0.714, Validation Accuracy: 0.720, Loss: 0.326\n", "Epoch 1 Batch 196/1077 - Train Accuracy: 0.785, Validation Accuracy: 0.714, Loss: 0.316\n", "Epoch 1 Batch 197/1077 - Train Accuracy: 0.750, Validation Accuracy: 0.707, Loss: 0.320\n", "Epoch 1 Batch 198/1077 - Train Accuracy: 0.792, Validation Accuracy: 0.739, Loss: 0.321\n", "Epoch 1 Batch 199/1077 - Train Accuracy: 0.751, Validation Accuracy: 0.734, Loss: 0.297\n", "Epoch 1 Batch 200/1077 - Train Accuracy: 0.722, Validation Accuracy: 0.719, Loss: 0.340\n", "Epoch 1 Batch 201/1077 - Train Accuracy: 0.687, Validation Accuracy: 0.705, Loss: 0.308\n", "Epoch 1 Batch 202/1077 - Train Accuracy: 0.743, Validation Accuracy: 0.702, Loss: 0.327\n", "Epoch 1 Batch 203/1077 - Train Accuracy: 0.726, Validation Accuracy: 0.732, Loss: 0.322\n", "Epoch 1 Batch 204/1077 - Train Accuracy: 0.742, Validation Accuracy: 0.733, Loss: 0.348\n", "Epoch 1 Batch 205/1077 - Train Accuracy: 0.756, Validation Accuracy: 0.702, Loss: 0.319\n", "Epoch 1 Batch 206/1077 - Train Accuracy: 0.768, Validation Accuracy: 0.699, Loss: 0.323\n", "Epoch 1 Batch 207/1077 - Train Accuracy: 0.746, Validation Accuracy: 0.719, Loss: 0.309\n", "Epoch 1 Batch 208/1077 - Train Accuracy: 0.746, Validation Accuracy: 0.703, Loss: 0.323\n", "Epoch 1 Batch 209/1077 - Train Accuracy: 0.748, Validation Accuracy: 0.723, Loss: 0.305\n", "Epoch 1 Batch 210/1077 - Train Accuracy: 0.735, Validation Accuracy: 0.713, Loss: 0.317\n", "Epoch 1 Batch 211/1077 - Train Accuracy: 0.747, Validation Accuracy: 0.721, Loss: 0.319\n", "Epoch 1 Batch 212/1077 - Train Accuracy: 0.785, Validation Accuracy: 0.752, Loss: 0.304\n", "Epoch 1 Batch 213/1077 - Train Accuracy: 0.759, Validation Accuracy: 0.730, Loss: 0.287\n", "Epoch 1 Batch 214/1077 - Train Accuracy: 0.729, Validation Accuracy: 0.729, Loss: 0.328\n", "Epoch 1 Batch 215/1077 - Train Accuracy: 0.732, Validation Accuracy: 0.722, Loss: 0.329\n", "Epoch 1 Batch 216/1077 - Train Accuracy: 0.774, Validation Accuracy: 0.747, Loss: 0.311\n", "Epoch 1 Batch 217/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.759, Loss: 0.300\n", "Epoch 1 Batch 218/1077 - Train Accuracy: 0.759, Validation Accuracy: 0.750, Loss: 0.360\n", "Epoch 1 Batch 219/1077 - Train Accuracy: 0.822, Validation Accuracy: 0.753, Loss: 0.316\n", "Epoch 1 Batch 220/1077 - Train Accuracy: 0.750, Validation Accuracy: 0.749, Loss: 0.312\n", "Epoch 1 Batch 221/1077 - Train Accuracy: 0.769, Validation Accuracy: 0.744, Loss: 0.313\n", "Epoch 1 Batch 222/1077 - Train Accuracy: 0.713, Validation Accuracy: 0.748, Loss: 0.323\n", "Epoch 1 Batch 223/1077 - Train Accuracy: 0.792, Validation Accuracy: 0.740, Loss: 0.287\n", "Epoch 1 Batch 224/1077 - Train Accuracy: 0.752, Validation Accuracy: 0.748, Loss: 0.318\n", "Epoch 1 Batch 225/1077 - Train Accuracy: 0.751, Validation Accuracy: 0.746, Loss: 0.324\n", "Epoch 1 Batch 226/1077 - Train Accuracy: 0.791, Validation Accuracy: 0.748, Loss: 0.298\n", "Epoch 1 Batch 227/1077 - Train Accuracy: 0.708, Validation Accuracy: 0.743, Loss: 0.344\n", "Epoch 1 Batch 228/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.725, Loss: 0.307\n", "Epoch 1 Batch 229/1077 - Train Accuracy: 0.786, Validation Accuracy: 0.734, Loss: 0.301\n", "Epoch 1 Batch 230/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.741, Loss: 0.300\n", "Epoch 1 Batch 231/1077 - Train Accuracy: 0.741, Validation Accuracy: 0.730, Loss: 0.321\n", "Epoch 1 Batch 232/1077 - Train Accuracy: 0.796, Validation Accuracy: 0.730, Loss: 0.327\n", "Epoch 1 Batch 233/1077 - Train Accuracy: 0.756, Validation Accuracy: 0.744, Loss: 0.324\n", "Epoch 1 Batch 234/1077 - Train Accuracy: 0.777, Validation Accuracy: 0.734, Loss: 0.303\n", "Epoch 1 Batch 235/1077 - Train Accuracy: 0.772, Validation Accuracy: 0.713, Loss: 0.282\n", "Epoch 1 Batch 236/1077 - Train Accuracy: 0.762, Validation Accuracy: 0.721, Loss: 0.319\n", "Epoch 1 Batch 237/1077 - Train Accuracy: 0.783, Validation Accuracy: 0.715, Loss: 0.281\n", "Epoch 1 Batch 238/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.724, Loss: 0.299\n", "Epoch 1 Batch 239/1077 - Train Accuracy: 0.763, Validation Accuracy: 0.720, Loss: 0.275\n", "Epoch 1 Batch 240/1077 - Train Accuracy: 0.814, Validation Accuracy: 0.728, Loss: 0.280\n", "Epoch 1 Batch 241/1077 - Train Accuracy: 0.798, Validation Accuracy: 0.749, Loss: 0.276\n", "Epoch 1 Batch 242/1077 - Train Accuracy: 0.766, Validation Accuracy: 0.749, Loss: 0.290\n", "Epoch 1 Batch 243/1077 - Train Accuracy: 0.717, Validation Accuracy: 0.742, Loss: 0.329\n", "Epoch 1 Batch 244/1077 - Train Accuracy: 0.746, Validation Accuracy: 0.715, Loss: 0.293\n", "Epoch 1 Batch 245/1077 - Train Accuracy: 0.790, Validation Accuracy: 0.759, Loss: 0.290\n", "Epoch 1 Batch 246/1077 - Train Accuracy: 0.710, Validation Accuracy: 0.749, Loss: 0.304\n", "Epoch 1 Batch 247/1077 - Train Accuracy: 0.782, Validation Accuracy: 0.744, Loss: 0.282\n", "Epoch 1 Batch 248/1077 - Train Accuracy: 0.745, Validation Accuracy: 0.701, Loss: 0.290\n", "Epoch 1 Batch 249/1077 - Train Accuracy: 0.769, Validation Accuracy: 0.735, Loss: 0.289\n", "Epoch 1 Batch 250/1077 - Train Accuracy: 0.782, Validation Accuracy: 0.743, Loss: 0.270\n", "Epoch 1 Batch 251/1077 - Train Accuracy: 0.770, Validation Accuracy: 0.739, Loss: 0.320\n", "Epoch 1 Batch 252/1077 - Train Accuracy: 0.739, Validation Accuracy: 0.732, Loss: 0.291\n", "Epoch 1 Batch 253/1077 - Train Accuracy: 0.768, Validation Accuracy: 0.726, Loss: 0.284\n", "Epoch 1 Batch 254/1077 - Train Accuracy: 0.786, Validation Accuracy: 0.763, Loss: 0.295\n", "Epoch 1 Batch 255/1077 - Train Accuracy: 0.789, Validation Accuracy: 0.765, Loss: 0.296\n", "Epoch 1 Batch 256/1077 - Train Accuracy: 0.729, Validation Accuracy: 0.769, Loss: 0.319\n", "Epoch 1 Batch 257/1077 - Train Accuracy: 0.747, Validation Accuracy: 0.729, Loss: 0.292\n", "Epoch 1 Batch 258/1077 - Train Accuracy: 0.780, Validation Accuracy: 0.741, Loss: 0.282\n", "Epoch 1 Batch 259/1077 - Train Accuracy: 0.734, Validation Accuracy: 0.757, Loss: 0.299\n", "Epoch 1 Batch 260/1077 - Train Accuracy: 0.804, Validation Accuracy: 0.761, Loss: 0.266\n", "Epoch 1 Batch 261/1077 - Train Accuracy: 0.769, Validation Accuracy: 0.732, Loss: 0.293\n", "Epoch 1 Batch 262/1077 - Train Accuracy: 0.764, Validation Accuracy: 0.725, Loss: 0.286\n", "Epoch 1 Batch 263/1077 - Train Accuracy: 0.775, Validation Accuracy: 0.748, Loss: 0.292\n", "Epoch 1 Batch 264/1077 - Train Accuracy: 0.796, Validation Accuracy: 0.768, Loss: 0.284\n", "Epoch 1 Batch 265/1077 - Train Accuracy: 0.738, Validation Accuracy: 0.763, Loss: 0.292\n", "Epoch 1 Batch 266/1077 - Train Accuracy: 0.715, Validation Accuracy: 0.713, Loss: 0.290\n", "Epoch 1 Batch 267/1077 - Train Accuracy: 0.756, Validation Accuracy: 0.731, Loss: 0.274\n", "Epoch 1 Batch 268/1077 - Train Accuracy: 0.756, Validation Accuracy: 0.741, Loss: 0.298\n", "Epoch 1 Batch 269/1077 - Train Accuracy: 0.761, Validation Accuracy: 0.761, Loss: 0.316\n", "Epoch 1 Batch 270/1077 - Train Accuracy: 0.726, Validation Accuracy: 0.757, Loss: 0.305\n", "Epoch 1 Batch 271/1077 - Train Accuracy: 0.781, Validation Accuracy: 0.751, Loss: 0.270\n", "Epoch 1 Batch 272/1077 - Train Accuracy: 0.770, Validation Accuracy: 0.762, Loss: 0.326\n", "Epoch 1 Batch 273/1077 - Train Accuracy: 0.771, Validation Accuracy: 0.749, Loss: 0.276\n", "Epoch 1 Batch 274/1077 - Train Accuracy: 0.792, Validation Accuracy: 0.759, Loss: 0.288\n", "Epoch 1 Batch 275/1077 - Train Accuracy: 0.798, Validation Accuracy: 0.748, Loss: 0.269\n", "Epoch 1 Batch 276/1077 - Train Accuracy: 0.734, Validation Accuracy: 0.748, Loss: 0.321\n", "Epoch 1 Batch 277/1077 - Train Accuracy: 0.777, Validation Accuracy: 0.759, Loss: 0.251\n", "Epoch 1 Batch 278/1077 - Train Accuracy: 0.742, Validation Accuracy: 0.760, Loss: 0.323\n", "Epoch 1 Batch 279/1077 - Train Accuracy: 0.762, Validation Accuracy: 0.768, Loss: 0.327\n", "Epoch 1 Batch 280/1077 - Train Accuracy: 0.774, Validation Accuracy: 0.778, Loss: 0.308\n", "Epoch 1 Batch 281/1077 - Train Accuracy: 0.789, Validation Accuracy: 0.776, Loss: 0.297\n", "Epoch 1 Batch 282/1077 - Train Accuracy: 0.765, Validation Accuracy: 0.777, Loss: 0.301\n", "Epoch 1 Batch 283/1077 - Train Accuracy: 0.836, Validation Accuracy: 0.764, Loss: 0.282\n", "Epoch 1 Batch 284/1077 - Train Accuracy: 0.786, Validation Accuracy: 0.773, Loss: 0.303\n", "Epoch 1 Batch 285/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.749, Loss: 0.263\n", "Epoch 1 Batch 286/1077 - Train Accuracy: 0.787, Validation Accuracy: 0.744, Loss: 0.274\n", "Epoch 1 Batch 287/1077 - Train Accuracy: 0.785, Validation Accuracy: 0.756, Loss: 0.277\n", "Epoch 1 Batch 288/1077 - Train Accuracy: 0.777, Validation Accuracy: 0.762, Loss: 0.300\n", "Epoch 1 Batch 289/1077 - Train Accuracy: 0.837, Validation Accuracy: 0.768, Loss: 0.289\n", "Epoch 1 Batch 290/1077 - Train Accuracy: 0.739, Validation Accuracy: 0.730, Loss: 0.303\n", "Epoch 1 Batch 291/1077 - Train Accuracy: 0.735, Validation Accuracy: 0.756, Loss: 0.309\n", "Epoch 1 Batch 292/1077 - Train Accuracy: 0.795, Validation Accuracy: 0.784, Loss: 0.272\n", "Epoch 1 Batch 293/1077 - Train Accuracy: 0.745, Validation Accuracy: 0.780, Loss: 0.305\n", "Epoch 1 Batch 294/1077 - Train Accuracy: 0.817, Validation Accuracy: 0.776, Loss: 0.259\n", "Epoch 1 Batch 295/1077 - Train Accuracy: 0.776, Validation Accuracy: 0.779, Loss: 0.301\n", "Epoch 1 Batch 296/1077 - Train Accuracy: 0.822, Validation Accuracy: 0.778, Loss: 0.266\n", "Epoch 1 Batch 297/1077 - Train Accuracy: 0.762, Validation Accuracy: 0.766, Loss: 0.293\n", "Epoch 1 Batch 298/1077 - Train Accuracy: 0.742, Validation Accuracy: 0.766, Loss: 0.294\n", "Epoch 1 Batch 299/1077 - Train Accuracy: 0.769, Validation Accuracy: 0.760, Loss: 0.275\n", "Epoch 1 Batch 300/1077 - Train Accuracy: 0.808, Validation Accuracy: 0.763, Loss: 0.271\n", "Epoch 1 Batch 301/1077 - Train Accuracy: 0.755, Validation Accuracy: 0.767, Loss: 0.285\n", "Epoch 1 Batch 302/1077 - Train Accuracy: 0.833, Validation Accuracy: 0.761, Loss: 0.273\n", "Epoch 1 Batch 303/1077 - Train Accuracy: 0.758, Validation Accuracy: 0.725, Loss: 0.288\n", "Epoch 1 Batch 304/1077 - Train Accuracy: 0.783, Validation Accuracy: 0.739, Loss: 0.267\n", "Epoch 1 Batch 305/1077 - Train Accuracy: 0.746, Validation Accuracy: 0.725, Loss: 0.276\n", "Epoch 1 Batch 306/1077 - Train Accuracy: 0.750, Validation Accuracy: 0.703, Loss: 0.279\n", "Epoch 1 Batch 307/1077 - Train Accuracy: 0.751, Validation Accuracy: 0.746, Loss: 0.289\n", "Epoch 1 Batch 308/1077 - Train Accuracy: 0.781, Validation Accuracy: 0.766, Loss: 0.302\n", "Epoch 1 Batch 309/1077 - Train Accuracy: 0.811, Validation Accuracy: 0.764, Loss: 0.251\n", "Epoch 1 Batch 310/1077 - Train Accuracy: 0.749, Validation Accuracy: 0.745, Loss: 0.282\n", "Epoch 1 Batch 311/1077 - Train Accuracy: 0.819, Validation Accuracy: 0.760, Loss: 0.255\n", "Epoch 1 Batch 312/1077 - Train Accuracy: 0.764, Validation Accuracy: 0.787, Loss: 0.301\n", "Epoch 1 Batch 313/1077 - Train Accuracy: 0.771, Validation Accuracy: 0.754, Loss: 0.256\n", "Epoch 1 Batch 314/1077 - Train Accuracy: 0.796, Validation Accuracy: 0.763, Loss: 0.276\n", "Epoch 1 Batch 315/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.769, Loss: 0.248\n", "Epoch 1 Batch 316/1077 - Train Accuracy: 0.783, Validation Accuracy: 0.765, Loss: 0.249\n", "Epoch 1 Batch 317/1077 - Train Accuracy: 0.824, Validation Accuracy: 0.768, Loss: 0.290\n", "Epoch 1 Batch 318/1077 - Train Accuracy: 0.782, Validation Accuracy: 0.777, Loss: 0.273\n", "Epoch 1 Batch 319/1077 - Train Accuracy: 0.782, Validation Accuracy: 0.783, Loss: 0.291\n", "Epoch 1 Batch 320/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.771, Loss: 0.278\n", "Epoch 1 Batch 321/1077 - Train Accuracy: 0.737, Validation Accuracy: 0.718, Loss: 0.261\n", "Epoch 1 Batch 322/1077 - Train Accuracy: 0.752, Validation Accuracy: 0.757, Loss: 0.257\n", "Epoch 1 Batch 323/1077 - Train Accuracy: 0.779, Validation Accuracy: 0.778, Loss: 0.262\n", "Epoch 1 Batch 324/1077 - Train Accuracy: 0.790, Validation Accuracy: 0.762, Loss: 0.261\n", "Epoch 1 Batch 325/1077 - Train Accuracy: 0.791, Validation Accuracy: 0.750, Loss: 0.269\n", "Epoch 1 Batch 326/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.766, Loss: 0.248\n", "Epoch 1 Batch 327/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.782, Loss: 0.280\n", "Epoch 1 Batch 328/1077 - Train Accuracy: 0.801, Validation Accuracy: 0.764, Loss: 0.273\n", "Epoch 1 Batch 329/1077 - Train Accuracy: 0.774, Validation Accuracy: 0.773, Loss: 0.274\n", "Epoch 1 Batch 330/1077 - Train Accuracy: 0.827, Validation Accuracy: 0.763, Loss: 0.264\n", "Epoch 1 Batch 331/1077 - Train Accuracy: 0.813, Validation Accuracy: 0.784, Loss: 0.283\n", "Epoch 1 Batch 332/1077 - Train Accuracy: 0.795, Validation Accuracy: 0.770, Loss: 0.234\n", "Epoch 1 Batch 333/1077 - Train Accuracy: 0.809, Validation Accuracy: 0.762, Loss: 0.257\n", "Epoch 1 Batch 334/1077 - Train Accuracy: 0.795, Validation Accuracy: 0.763, Loss: 0.253\n", "Epoch 1 Batch 335/1077 - Train Accuracy: 0.837, Validation Accuracy: 0.785, Loss: 0.249\n", "Epoch 1 Batch 336/1077 - Train Accuracy: 0.790, Validation Accuracy: 0.771, Loss: 0.285\n", "Epoch 1 Batch 337/1077 - Train Accuracy: 0.751, Validation Accuracy: 0.763, Loss: 0.281\n", "Epoch 1 Batch 338/1077 - Train Accuracy: 0.788, Validation Accuracy: 0.781, Loss: 0.277\n", "Epoch 1 Batch 339/1077 - Train Accuracy: 0.816, Validation Accuracy: 0.779, Loss: 0.260\n", "Epoch 1 Batch 340/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.760, Loss: 0.260\n", "Epoch 1 Batch 341/1077 - Train Accuracy: 0.774, Validation Accuracy: 0.737, Loss: 0.292\n", "Epoch 1 Batch 342/1077 - Train Accuracy: 0.762, Validation Accuracy: 0.761, Loss: 0.247\n", "Epoch 1 Batch 343/1077 - Train Accuracy: 0.768, Validation Accuracy: 0.759, Loss: 0.267\n", "Epoch 1 Batch 344/1077 - Train Accuracy: 0.814, Validation Accuracy: 0.757, Loss: 0.253\n", "Epoch 1 Batch 345/1077 - Train Accuracy: 0.826, Validation Accuracy: 0.763, Loss: 0.235\n", "Epoch 1 Batch 346/1077 - Train Accuracy: 0.815, Validation Accuracy: 0.775, Loss: 0.262\n", "Epoch 1 Batch 347/1077 - Train Accuracy: 0.823, Validation Accuracy: 0.767, Loss: 0.233\n", "Epoch 1 Batch 348/1077 - Train Accuracy: 0.780, Validation Accuracy: 0.764, Loss: 0.253\n", "Epoch 1 Batch 349/1077 - Train Accuracy: 0.762, Validation Accuracy: 0.770, Loss: 0.249\n", "Epoch 1 Batch 350/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.778, Loss: 0.271\n", "Epoch 1 Batch 351/1077 - Train Accuracy: 0.797, Validation Accuracy: 0.777, Loss: 0.267\n", "Epoch 1 Batch 352/1077 - Train Accuracy: 0.791, Validation Accuracy: 0.770, Loss: 0.266\n", "Epoch 1 Batch 353/1077 - Train Accuracy: 0.780, Validation Accuracy: 0.770, Loss: 0.282\n", "Epoch 1 Batch 354/1077 - Train Accuracy: 0.801, Validation Accuracy: 0.775, Loss: 0.268\n", "Epoch 1 Batch 355/1077 - Train Accuracy: 0.803, Validation Accuracy: 0.769, Loss: 0.258\n", "Epoch 1 Batch 356/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.771, Loss: 0.244\n", "Epoch 1 Batch 357/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.776, Loss: 0.244\n", "Epoch 1 Batch 358/1077 - Train Accuracy: 0.769, Validation Accuracy: 0.777, Loss: 0.264\n", "Epoch 1 Batch 359/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.763, Loss: 0.261\n", "Epoch 1 Batch 360/1077 - Train Accuracy: 0.787, Validation Accuracy: 0.752, Loss: 0.252\n", "Epoch 1 Batch 361/1077 - Train Accuracy: 0.813, Validation Accuracy: 0.769, Loss: 0.272\n", "Epoch 1 Batch 362/1077 - Train Accuracy: 0.822, Validation Accuracy: 0.760, Loss: 0.259\n", "Epoch 1 Batch 363/1077 - Train Accuracy: 0.780, Validation Accuracy: 0.768, Loss: 0.279\n", "Epoch 1 Batch 364/1077 - Train Accuracy: 0.759, Validation Accuracy: 0.750, Loss: 0.277\n", "Epoch 1 Batch 365/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.770, Loss: 0.245\n", "Epoch 1 Batch 366/1077 - Train Accuracy: 0.793, Validation Accuracy: 0.774, Loss: 0.251\n", "Epoch 1 Batch 367/1077 - Train Accuracy: 0.839, Validation Accuracy: 0.781, Loss: 0.221\n", "Epoch 1 Batch 368/1077 - Train Accuracy: 0.804, Validation Accuracy: 0.757, Loss: 0.260\n", "Epoch 1 Batch 369/1077 - Train Accuracy: 0.776, Validation Accuracy: 0.741, Loss: 0.238\n", "Epoch 1 Batch 370/1077 - Train Accuracy: 0.807, Validation Accuracy: 0.763, Loss: 0.248\n", "Epoch 1 Batch 371/1077 - Train Accuracy: 0.807, Validation Accuracy: 0.760, Loss: 0.235\n", "Epoch 1 Batch 372/1077 - Train Accuracy: 0.759, Validation Accuracy: 0.752, Loss: 0.236\n", "Epoch 1 Batch 373/1077 - Train Accuracy: 0.827, Validation Accuracy: 0.758, Loss: 0.247\n", "Epoch 1 Batch 374/1077 - Train Accuracy: 0.789, Validation Accuracy: 0.765, Loss: 0.271\n", "Epoch 1 Batch 375/1077 - Train Accuracy: 0.804, Validation Accuracy: 0.760, Loss: 0.236\n", "Epoch 1 Batch 376/1077 - Train Accuracy: 0.787, Validation Accuracy: 0.767, Loss: 0.256\n", "Epoch 1 Batch 377/1077 - Train Accuracy: 0.790, Validation Accuracy: 0.776, Loss: 0.259\n", "Epoch 1 Batch 378/1077 - Train Accuracy: 0.830, Validation Accuracy: 0.788, Loss: 0.226\n", "Epoch 1 Batch 379/1077 - Train Accuracy: 0.819, Validation Accuracy: 0.783, Loss: 0.268\n", "Epoch 1 Batch 380/1077 - Train Accuracy: 0.798, Validation Accuracy: 0.779, Loss: 0.233\n", "Epoch 1 Batch 381/1077 - Train Accuracy: 0.770, Validation Accuracy: 0.761, Loss: 0.269\n", "Epoch 1 Batch 382/1077 - Train Accuracy: 0.806, Validation Accuracy: 0.795, Loss: 0.281\n", "Epoch 1 Batch 383/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.808, Loss: 0.243\n", "Epoch 1 Batch 384/1077 - Train Accuracy: 0.797, Validation Accuracy: 0.789, Loss: 0.233\n", "Epoch 1 Batch 385/1077 - Train Accuracy: 0.798, Validation Accuracy: 0.790, Loss: 0.242\n", "Epoch 1 Batch 386/1077 - Train Accuracy: 0.797, Validation Accuracy: 0.790, Loss: 0.244\n", "Epoch 1 Batch 387/1077 - Train Accuracy: 0.828, Validation Accuracy: 0.778, Loss: 0.248\n", "Epoch 1 Batch 388/1077 - Train Accuracy: 0.795, Validation Accuracy: 0.785, Loss: 0.249\n", "Epoch 1 Batch 389/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.792, Loss: 0.244\n", "Epoch 1 Batch 390/1077 - Train Accuracy: 0.775, Validation Accuracy: 0.776, Loss: 0.262\n", "Epoch 1 Batch 391/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.789, Loss: 0.237\n", "Epoch 1 Batch 392/1077 - Train Accuracy: 0.858, Validation Accuracy: 0.771, Loss: 0.223\n", "Epoch 1 Batch 393/1077 - Train Accuracy: 0.787, Validation Accuracy: 0.775, Loss: 0.227\n", "Epoch 1 Batch 394/1077 - Train Accuracy: 0.799, Validation Accuracy: 0.787, Loss: 0.247\n", "Epoch 1 Batch 395/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.777, Loss: 0.238\n", "Epoch 1 Batch 396/1077 - Train Accuracy: 0.782, Validation Accuracy: 0.789, Loss: 0.251\n", "Epoch 1 Batch 397/1077 - Train Accuracy: 0.803, Validation Accuracy: 0.789, Loss: 0.231\n", "Epoch 1 Batch 398/1077 - Train Accuracy: 0.819, Validation Accuracy: 0.786, Loss: 0.249\n", "Epoch 1 Batch 399/1077 - Train Accuracy: 0.769, Validation Accuracy: 0.761, Loss: 0.249\n", "Epoch 1 Batch 400/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.800, Loss: 0.244\n", "Epoch 1 Batch 401/1077 - Train Accuracy: 0.788, Validation Accuracy: 0.803, Loss: 0.245\n", "Epoch 1 Batch 402/1077 - Train Accuracy: 0.816, Validation Accuracy: 0.783, Loss: 0.218\n", "Epoch 1 Batch 403/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.765, Loss: 0.257\n", "Epoch 1 Batch 404/1077 - Train Accuracy: 0.826, Validation Accuracy: 0.752, Loss: 0.227\n", "Epoch 1 Batch 405/1077 - Train Accuracy: 0.820, Validation Accuracy: 0.787, Loss: 0.266\n", "Epoch 1 Batch 406/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.791, Loss: 0.235\n", "Epoch 1 Batch 407/1077 - Train Accuracy: 0.797, Validation Accuracy: 0.790, Loss: 0.265\n", "Epoch 1 Batch 408/1077 - Train Accuracy: 0.789, Validation Accuracy: 0.757, Loss: 0.248\n", "Epoch 1 Batch 409/1077 - Train Accuracy: 0.806, Validation Accuracy: 0.776, Loss: 0.275\n", "Epoch 1 Batch 410/1077 - Train Accuracy: 0.767, Validation Accuracy: 0.779, Loss: 0.249\n", "Epoch 1 Batch 411/1077 - Train Accuracy: 0.859, Validation Accuracy: 0.794, Loss: 0.264\n", "Epoch 1 Batch 412/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.770, Loss: 0.212\n", "Epoch 1 Batch 413/1077 - Train Accuracy: 0.806, Validation Accuracy: 0.784, Loss: 0.262\n", "Epoch 1 Batch 414/1077 - Train Accuracy: 0.745, Validation Accuracy: 0.796, Loss: 0.250\n", "Epoch 1 Batch 415/1077 - Train Accuracy: 0.834, Validation Accuracy: 0.788, Loss: 0.246\n", "Epoch 1 Batch 416/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.782, Loss: 0.258\n", "Epoch 1 Batch 417/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.773, Loss: 0.274\n", "Epoch 1 Batch 418/1077 - Train Accuracy: 0.808, Validation Accuracy: 0.795, Loss: 0.252\n", "Epoch 1 Batch 419/1077 - Train Accuracy: 0.815, Validation Accuracy: 0.790, Loss: 0.235\n", "Epoch 1 Batch 420/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.795, Loss: 0.225\n", "Epoch 1 Batch 421/1077 - Train Accuracy: 0.772, Validation Accuracy: 0.800, Loss: 0.252\n", "Epoch 1 Batch 422/1077 - Train Accuracy: 0.795, Validation Accuracy: 0.793, Loss: 0.232\n", "Epoch 1 Batch 423/1077 - Train Accuracy: 0.813, Validation Accuracy: 0.787, Loss: 0.250\n", "Epoch 1 Batch 424/1077 - Train Accuracy: 0.779, Validation Accuracy: 0.782, Loss: 0.241\n", "Epoch 1 Batch 425/1077 - Train Accuracy: 0.814, Validation Accuracy: 0.791, Loss: 0.212\n", "Epoch 1 Batch 426/1077 - Train Accuracy: 0.785, Validation Accuracy: 0.777, Loss: 0.247\n", "Epoch 1 Batch 427/1077 - Train Accuracy: 0.795, Validation Accuracy: 0.800, Loss: 0.239\n", "Epoch 1 Batch 428/1077 - Train Accuracy: 0.811, Validation Accuracy: 0.790, Loss: 0.221\n", "Epoch 1 Batch 429/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.802, Loss: 0.230\n", "Epoch 1 Batch 430/1077 - Train Accuracy: 0.787, Validation Accuracy: 0.803, Loss: 0.234\n", "Epoch 1 Batch 431/1077 - Train Accuracy: 0.814, Validation Accuracy: 0.797, Loss: 0.230\n", "Epoch 1 Batch 432/1077 - Train Accuracy: 0.823, Validation Accuracy: 0.788, Loss: 0.231\n", "Epoch 1 Batch 433/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.784, Loss: 0.257\n", "Epoch 1 Batch 434/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.780, Loss: 0.227\n", "Epoch 1 Batch 435/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.783, Loss: 0.243\n", "Epoch 1 Batch 436/1077 - Train Accuracy: 0.785, Validation Accuracy: 0.778, Loss: 0.233\n", "Epoch 1 Batch 437/1077 - Train Accuracy: 0.829, Validation Accuracy: 0.776, Loss: 0.230\n", "Epoch 1 Batch 438/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.772, Loss: 0.235\n", "Epoch 1 Batch 439/1077 - Train Accuracy: 0.805, Validation Accuracy: 0.777, Loss: 0.260\n", "Epoch 1 Batch 440/1077 - Train Accuracy: 0.803, Validation Accuracy: 0.788, Loss: 0.246\n", "Epoch 1 Batch 441/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.798, Loss: 0.224\n", "Epoch 1 Batch 442/1077 - Train Accuracy: 0.818, Validation Accuracy: 0.784, Loss: 0.212\n", "Epoch 1 Batch 443/1077 - Train Accuracy: 0.819, Validation Accuracy: 0.780, Loss: 0.220\n", "Epoch 1 Batch 444/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.795, Loss: 0.222\n", "Epoch 1 Batch 445/1077 - Train Accuracy: 0.778, Validation Accuracy: 0.786, Loss: 0.242\n", "Epoch 1 Batch 446/1077 - Train Accuracy: 0.844, Validation Accuracy: 0.788, Loss: 0.206\n", "Epoch 1 Batch 447/1077 - Train Accuracy: 0.797, Validation Accuracy: 0.781, Loss: 0.233\n", "Epoch 1 Batch 448/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.786, Loss: 0.264\n", "Epoch 1 Batch 449/1077 - Train Accuracy: 0.834, Validation Accuracy: 0.792, Loss: 0.252\n", "Epoch 1 Batch 450/1077 - Train Accuracy: 0.839, Validation Accuracy: 0.789, Loss: 0.223\n", "Epoch 1 Batch 451/1077 - Train Accuracy: 0.825, Validation Accuracy: 0.795, Loss: 0.212\n", "Epoch 1 Batch 452/1077 - Train Accuracy: 0.835, Validation Accuracy: 0.788, Loss: 0.236\n", "Epoch 1 Batch 453/1077 - Train Accuracy: 0.825, Validation Accuracy: 0.801, Loss: 0.212\n", "Epoch 1 Batch 454/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.788, Loss: 0.229\n", "Epoch 1 Batch 455/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.805, Loss: 0.215\n", "Epoch 1 Batch 456/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.787, Loss: 0.221\n", "Epoch 1 Batch 457/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.782, Loss: 0.200\n", "Epoch 1 Batch 458/1077 - Train Accuracy: 0.806, Validation Accuracy: 0.816, Loss: 0.245\n", "Epoch 1 Batch 459/1077 - Train Accuracy: 0.836, Validation Accuracy: 0.802, Loss: 0.213\n", "Epoch 1 Batch 460/1077 - Train Accuracy: 0.830, Validation Accuracy: 0.781, Loss: 0.239\n", "Epoch 1 Batch 461/1077 - Train Accuracy: 0.813, Validation Accuracy: 0.784, Loss: 0.223\n", "Epoch 1 Batch 462/1077 - Train Accuracy: 0.833, Validation Accuracy: 0.778, Loss: 0.236\n", "Epoch 1 Batch 463/1077 - Train Accuracy: 0.786, Validation Accuracy: 0.810, Loss: 0.218\n", "Epoch 1 Batch 464/1077 - Train Accuracy: 0.808, Validation Accuracy: 0.815, Loss: 0.211\n", "Epoch 1 Batch 465/1077 - Train Accuracy: 0.802, Validation Accuracy: 0.806, Loss: 0.259\n", "Epoch 1 Batch 466/1077 - Train Accuracy: 0.805, Validation Accuracy: 0.799, Loss: 0.220\n", "Epoch 1 Batch 467/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.815, Loss: 0.225\n", "Epoch 1 Batch 468/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.789, Loss: 0.216\n", "Epoch 1 Batch 469/1077 - Train Accuracy: 0.804, Validation Accuracy: 0.817, Loss: 0.239\n", "Epoch 1 Batch 470/1077 - Train Accuracy: 0.811, Validation Accuracy: 0.819, Loss: 0.225\n", "Epoch 1 Batch 471/1077 - Train Accuracy: 0.846, Validation Accuracy: 0.809, Loss: 0.214\n", "Epoch 1 Batch 472/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.813, Loss: 0.207\n", "Epoch 1 Batch 473/1077 - Train Accuracy: 0.808, Validation Accuracy: 0.790, Loss: 0.226\n", "Epoch 1 Batch 474/1077 - Train Accuracy: 0.798, Validation Accuracy: 0.816, Loss: 0.239\n", "Epoch 1 Batch 475/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.805, Loss: 0.199\n", "Epoch 1 Batch 476/1077 - Train Accuracy: 0.857, Validation Accuracy: 0.819, Loss: 0.203\n", "Epoch 1 Batch 477/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.810, Loss: 0.206\n", "Epoch 1 Batch 478/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.806, Loss: 0.222\n", "Epoch 1 Batch 479/1077 - Train Accuracy: 0.803, Validation Accuracy: 0.804, Loss: 0.224\n", "Epoch 1 Batch 480/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.805, Loss: 0.207\n", "Epoch 1 Batch 481/1077 - Train Accuracy: 0.823, Validation Accuracy: 0.799, Loss: 0.204\n", "Epoch 1 Batch 482/1077 - Train Accuracy: 0.826, Validation Accuracy: 0.810, Loss: 0.242\n", "Epoch 1 Batch 483/1077 - Train Accuracy: 0.796, Validation Accuracy: 0.787, Loss: 0.232\n", "Epoch 1 Batch 484/1077 - Train Accuracy: 0.825, Validation Accuracy: 0.822, Loss: 0.223\n", "Epoch 1 Batch 485/1077 - Train Accuracy: 0.845, Validation Accuracy: 0.813, Loss: 0.219\n", "Epoch 1 Batch 486/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.806, Loss: 0.202\n", "Epoch 1 Batch 487/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.795, Loss: 0.227\n", "Epoch 1 Batch 488/1077 - Train Accuracy: 0.825, Validation Accuracy: 0.804, Loss: 0.223\n", "Epoch 1 Batch 489/1077 - Train Accuracy: 0.827, Validation Accuracy: 0.811, Loss: 0.205\n", "Epoch 1 Batch 490/1077 - Train Accuracy: 0.819, Validation Accuracy: 0.804, Loss: 0.218\n", "Epoch 1 Batch 491/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.802, Loss: 0.228\n", "Epoch 1 Batch 492/1077 - Train Accuracy: 0.816, Validation Accuracy: 0.813, Loss: 0.226\n", "Epoch 1 Batch 493/1077 - Train Accuracy: 0.845, Validation Accuracy: 0.818, Loss: 0.194\n", "Epoch 1 Batch 494/1077 - Train Accuracy: 0.808, Validation Accuracy: 0.802, Loss: 0.205\n", "Epoch 1 Batch 495/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.820, Loss: 0.207\n", "Epoch 1 Batch 496/1077 - Train Accuracy: 0.826, Validation Accuracy: 0.803, Loss: 0.222\n", "Epoch 1 Batch 497/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.821, Loss: 0.224\n", "Epoch 1 Batch 498/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.797, Loss: 0.201\n", "Epoch 1 Batch 499/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.800, Loss: 0.198\n", "Epoch 1 Batch 500/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.817, Loss: 0.200\n", "Epoch 1 Batch 501/1077 - Train Accuracy: 0.787, Validation Accuracy: 0.794, Loss: 0.211\n", "Epoch 1 Batch 502/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.813, Loss: 0.228\n", "Epoch 1 Batch 503/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.825, Loss: 0.200\n", "Epoch 1 Batch 504/1077 - Train Accuracy: 0.796, Validation Accuracy: 0.814, Loss: 0.226\n", "Epoch 1 Batch 505/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.818, Loss: 0.191\n", "Epoch 1 Batch 506/1077 - Train Accuracy: 0.827, Validation Accuracy: 0.820, Loss: 0.217\n", "Epoch 1 Batch 507/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.808, Loss: 0.209\n", "Epoch 1 Batch 508/1077 - Train Accuracy: 0.818, Validation Accuracy: 0.818, Loss: 0.217\n", "Epoch 1 Batch 509/1077 - Train Accuracy: 0.817, Validation Accuracy: 0.822, Loss: 0.216\n", "Epoch 1 Batch 510/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.829, Loss: 0.211\n", "Epoch 1 Batch 511/1077 - Train Accuracy: 0.819, Validation Accuracy: 0.815, Loss: 0.208\n", "Epoch 1 Batch 512/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.832, Loss: 0.197\n", "Epoch 1 Batch 513/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.810, Loss: 0.209\n", "Epoch 1 Batch 514/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.815, Loss: 0.208\n", "Epoch 1 Batch 515/1077 - Train Accuracy: 0.835, Validation Accuracy: 0.796, Loss: 0.224\n", "Epoch 1 Batch 516/1077 - Train Accuracy: 0.860, Validation Accuracy: 0.798, Loss: 0.184\n", "Epoch 1 Batch 517/1077 - Train Accuracy: 0.827, Validation Accuracy: 0.827, Loss: 0.217\n", "Epoch 1 Batch 518/1077 - Train Accuracy: 0.845, Validation Accuracy: 0.829, Loss: 0.193\n", "Epoch 1 Batch 519/1077 - Train Accuracy: 0.858, Validation Accuracy: 0.821, Loss: 0.197\n", "Epoch 1 Batch 520/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.813, Loss: 0.180\n", "Epoch 1 Batch 521/1077 - Train Accuracy: 0.853, Validation Accuracy: 0.830, Loss: 0.193\n", "Epoch 1 Batch 522/1077 - Train Accuracy: 0.789, Validation Accuracy: 0.822, Loss: 0.212\n", "Epoch 1 Batch 523/1077 - Train Accuracy: 0.816, Validation Accuracy: 0.838, Loss: 0.215\n", "Epoch 1 Batch 524/1077 - Train Accuracy: 0.847, Validation Accuracy: 0.841, Loss: 0.199\n", "Epoch 1 Batch 525/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.829, Loss: 0.197\n", "Epoch 1 Batch 526/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.819, Loss: 0.195\n", "Epoch 1 Batch 527/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.826, Loss: 0.205\n", "Epoch 1 Batch 528/1077 - Train Accuracy: 0.838, Validation Accuracy: 0.825, Loss: 0.192\n", "Epoch 1 Batch 529/1077 - Train Accuracy: 0.830, Validation Accuracy: 0.826, Loss: 0.194\n", "Epoch 1 Batch 530/1077 - Train Accuracy: 0.816, Validation Accuracy: 0.824, Loss: 0.212\n", "Epoch 1 Batch 531/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.809, Loss: 0.195\n", "Epoch 1 Batch 532/1077 - Train Accuracy: 0.829, Validation Accuracy: 0.807, Loss: 0.234\n", "Epoch 1 Batch 533/1077 - Train Accuracy: 0.822, Validation Accuracy: 0.813, Loss: 0.214\n", "Epoch 1 Batch 534/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.818, Loss: 0.197\n", "Epoch 1 Batch 535/1077 - Train Accuracy: 0.845, Validation Accuracy: 0.822, Loss: 0.197\n", "Epoch 1 Batch 536/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.825, Loss: 0.200\n", "Epoch 1 Batch 537/1077 - Train Accuracy: 0.835, Validation Accuracy: 0.829, Loss: 0.196\n", "Epoch 1 Batch 538/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.836, Loss: 0.184\n", "Epoch 1 Batch 539/1077 - Train Accuracy: 0.822, Validation Accuracy: 0.832, Loss: 0.206\n", "Epoch 1 Batch 540/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.838, Loss: 0.180\n", "Epoch 1 Batch 541/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.833, Loss: 0.194\n", "Epoch 1 Batch 542/1077 - Train Accuracy: 0.836, Validation Accuracy: 0.835, Loss: 0.205\n", "Epoch 1 Batch 543/1077 - Train Accuracy: 0.839, Validation Accuracy: 0.833, Loss: 0.203\n", "Epoch 1 Batch 544/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.821, Loss: 0.185\n", "Epoch 1 Batch 545/1077 - Train Accuracy: 0.841, Validation Accuracy: 0.822, Loss: 0.210\n", "Epoch 1 Batch 546/1077 - Train Accuracy: 0.823, Validation Accuracy: 0.844, Loss: 0.211\n", "Epoch 1 Batch 547/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.837, Loss: 0.185\n", "Epoch 1 Batch 548/1077 - Train Accuracy: 0.846, Validation Accuracy: 0.828, Loss: 0.192\n", "Epoch 1 Batch 549/1077 - Train Accuracy: 0.784, Validation Accuracy: 0.821, Loss: 0.214\n", "Epoch 1 Batch 550/1077 - Train Accuracy: 0.804, Validation Accuracy: 0.825, Loss: 0.213\n", "Epoch 1 Batch 551/1077 - Train Accuracy: 0.829, Validation Accuracy: 0.823, Loss: 0.201\n", "Epoch 1 Batch 552/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.830, Loss: 0.198\n", "Epoch 1 Batch 553/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.830, Loss: 0.204\n", "Epoch 1 Batch 554/1077 - Train Accuracy: 0.827, Validation Accuracy: 0.826, Loss: 0.193\n", "Epoch 1 Batch 555/1077 - Train Accuracy: 0.828, Validation Accuracy: 0.831, Loss: 0.194\n", "Epoch 1 Batch 556/1077 - Train Accuracy: 0.829, Validation Accuracy: 0.812, Loss: 0.191\n", "Epoch 1 Batch 557/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.820, Loss: 0.193\n", "Epoch 1 Batch 558/1077 - Train Accuracy: 0.834, Validation Accuracy: 0.778, Loss: 0.188\n", "Epoch 1 Batch 559/1077 - Train Accuracy: 0.857, Validation Accuracy: 0.808, Loss: 0.207\n", "Epoch 1 Batch 560/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.789, Loss: 0.174\n", "Epoch 1 Batch 561/1077 - Train Accuracy: 0.853, Validation Accuracy: 0.820, Loss: 0.197\n", "Epoch 1 Batch 562/1077 - Train Accuracy: 0.853, Validation Accuracy: 0.795, Loss: 0.180\n", "Epoch 1 Batch 563/1077 - Train Accuracy: 0.841, Validation Accuracy: 0.831, Loss: 0.206\n", "Epoch 1 Batch 564/1077 - Train Accuracy: 0.848, Validation Accuracy: 0.793, Loss: 0.203\n", "Epoch 1 Batch 565/1077 - Train Accuracy: 0.836, Validation Accuracy: 0.815, Loss: 0.207\n", "Epoch 1 Batch 566/1077 - Train Accuracy: 0.828, Validation Accuracy: 0.819, Loss: 0.204\n", "Epoch 1 Batch 567/1077 - Train Accuracy: 0.838, Validation Accuracy: 0.838, Loss: 0.201\n", "Epoch 1 Batch 568/1077 - Train Accuracy: 0.858, Validation Accuracy: 0.826, Loss: 0.193\n", "Epoch 1 Batch 569/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.823, Loss: 0.197\n", "Epoch 1 Batch 570/1077 - Train Accuracy: 0.826, Validation Accuracy: 0.853, Loss: 0.203\n", "Epoch 1 Batch 571/1077 - Train Accuracy: 0.825, Validation Accuracy: 0.828, Loss: 0.173\n", "Epoch 1 Batch 572/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.832, Loss: 0.178\n", "Epoch 1 Batch 573/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.814, Loss: 0.209\n", "Epoch 1 Batch 574/1077 - Train Accuracy: 0.840, Validation Accuracy: 0.810, Loss: 0.192\n", "Epoch 1 Batch 575/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.815, Loss: 0.186\n", "Epoch 1 Batch 576/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.826, Loss: 0.184\n", "Epoch 1 Batch 577/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.812, Loss: 0.189\n", "Epoch 1 Batch 578/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.831, Loss: 0.201\n", "Epoch 1 Batch 579/1077 - Train Accuracy: 0.835, Validation Accuracy: 0.824, Loss: 0.178\n", "Epoch 1 Batch 580/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.820, Loss: 0.168\n", "Epoch 1 Batch 581/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.822, Loss: 0.166\n", "Epoch 1 Batch 582/1077 - Train Accuracy: 0.877, Validation Accuracy: 0.810, Loss: 0.178\n", "Epoch 1 Batch 583/1077 - Train Accuracy: 0.857, Validation Accuracy: 0.817, Loss: 0.200\n", "Epoch 1 Batch 584/1077 - Train Accuracy: 0.847, Validation Accuracy: 0.824, Loss: 0.181\n", "Epoch 1 Batch 585/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.814, Loss: 0.164\n", "Epoch 1 Batch 586/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.803, Loss: 0.179\n", "Epoch 1 Batch 587/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.807, Loss: 0.189\n", "Epoch 1 Batch 588/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.814, Loss: 0.167\n", "Epoch 1 Batch 589/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.820, Loss: 0.189\n", "Epoch 1 Batch 590/1077 - Train Accuracy: 0.835, Validation Accuracy: 0.815, Loss: 0.213\n", "Epoch 1 Batch 591/1077 - Train Accuracy: 0.859, Validation Accuracy: 0.837, Loss: 0.166\n", "Epoch 1 Batch 592/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.838, Loss: 0.195\n", "Epoch 1 Batch 593/1077 - Train Accuracy: 0.834, Validation Accuracy: 0.824, Loss: 0.189\n", "Epoch 1 Batch 594/1077 - Train Accuracy: 0.836, Validation Accuracy: 0.806, Loss: 0.202\n", "Epoch 1 Batch 595/1077 - Train Accuracy: 0.855, Validation Accuracy: 0.809, Loss: 0.182\n", "Epoch 1 Batch 596/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.847, Loss: 0.190\n", "Epoch 1 Batch 597/1077 - Train Accuracy: 0.837, Validation Accuracy: 0.845, Loss: 0.190\n", "Epoch 1 Batch 598/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.845, Loss: 0.177\n", "Epoch 1 Batch 599/1077 - Train Accuracy: 0.825, Validation Accuracy: 0.824, Loss: 0.208\n", "Epoch 1 Batch 600/1077 - Train Accuracy: 0.860, Validation Accuracy: 0.835, Loss: 0.176\n", "Epoch 1 Batch 601/1077 - Train Accuracy: 0.802, Validation Accuracy: 0.851, Loss: 0.182\n", "Epoch 1 Batch 602/1077 - Train Accuracy: 0.833, Validation Accuracy: 0.838, Loss: 0.182\n", "Epoch 1 Batch 603/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.837, Loss: 0.191\n", "Epoch 1 Batch 604/1077 - Train Accuracy: 0.800, Validation Accuracy: 0.820, Loss: 0.191\n", "Epoch 1 Batch 605/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.854, Loss: 0.197\n", "Epoch 1 Batch 606/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.830, Loss: 0.167\n", "Epoch 1 Batch 607/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.846, Loss: 0.188\n", "Epoch 1 Batch 608/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.832, Loss: 0.198\n", "Epoch 1 Batch 609/1077 - Train Accuracy: 0.834, Validation Accuracy: 0.834, Loss: 0.190\n", "Epoch 1 Batch 610/1077 - Train Accuracy: 0.845, Validation Accuracy: 0.837, Loss: 0.191\n", "Epoch 1 Batch 611/1077 - Train Accuracy: 0.828, Validation Accuracy: 0.842, Loss: 0.190\n", "Epoch 1 Batch 612/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.848, Loss: 0.174\n", "Epoch 1 Batch 613/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.847, Loss: 0.206\n", "Epoch 1 Batch 614/1077 - Train Accuracy: 0.848, Validation Accuracy: 0.836, Loss: 0.166\n", "Epoch 1 Batch 615/1077 - Train Accuracy: 0.858, Validation Accuracy: 0.847, Loss: 0.182\n", "Epoch 1 Batch 616/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.827, Loss: 0.189\n", "Epoch 1 Batch 617/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.834, Loss: 0.165\n", "Epoch 1 Batch 618/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.824, Loss: 0.185\n", "Epoch 1 Batch 619/1077 - Train Accuracy: 0.860, Validation Accuracy: 0.822, Loss: 0.182\n", "Epoch 1 Batch 620/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.838, Loss: 0.176\n", "Epoch 1 Batch 621/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.845, Loss: 0.174\n", "Epoch 1 Batch 622/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.842, Loss: 0.188\n", "Epoch 1 Batch 623/1077 - Train Accuracy: 0.826, Validation Accuracy: 0.827, Loss: 0.190\n", "Epoch 1 Batch 624/1077 - Train Accuracy: 0.883, Validation Accuracy: 0.827, Loss: 0.172\n", "Epoch 1 Batch 625/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.828, Loss: 0.181\n", "Epoch 1 Batch 626/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.830, Loss: 0.164\n", "Epoch 1 Batch 627/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.843, Loss: 0.172\n", "Epoch 1 Batch 628/1077 - Train Accuracy: 0.831, Validation Accuracy: 0.832, Loss: 0.178\n", "Epoch 1 Batch 629/1077 - Train Accuracy: 0.842, Validation Accuracy: 0.831, Loss: 0.185\n", "Epoch 1 Batch 630/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.836, Loss: 0.171\n", "Epoch 1 Batch 631/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.848, Loss: 0.167\n", "Epoch 1 Batch 632/1077 - Train Accuracy: 0.855, Validation Accuracy: 0.854, Loss: 0.175\n", "Epoch 1 Batch 633/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.861, Loss: 0.175\n", "Epoch 1 Batch 634/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.860, Loss: 0.147\n", "Epoch 1 Batch 635/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.852, Loss: 0.185\n", "Epoch 1 Batch 636/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.854, Loss: 0.161\n", "Epoch 1 Batch 637/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.852, Loss: 0.179\n", "Epoch 1 Batch 638/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.841, Loss: 0.160\n", "Epoch 1 Batch 639/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.854, Loss: 0.178\n", "Epoch 1 Batch 640/1077 - Train Accuracy: 0.844, Validation Accuracy: 0.851, Loss: 0.170\n", "Epoch 1 Batch 641/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.846, Loss: 0.162\n", "Epoch 1 Batch 642/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.844, Loss: 0.179\n", "Epoch 1 Batch 643/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.861, Loss: 0.150\n", "Epoch 1 Batch 644/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.857, Loss: 0.180\n", "Epoch 1 Batch 645/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.861, Loss: 0.164\n", "Epoch 1 Batch 646/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.862, Loss: 0.157\n", "Epoch 1 Batch 647/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.857, Loss: 0.186\n", "Epoch 1 Batch 648/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.844, Loss: 0.153\n", "Epoch 1 Batch 649/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.855, Loss: 0.175\n", "Epoch 1 Batch 650/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.843, Loss: 0.172\n", "Epoch 1 Batch 651/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.844, Loss: 0.159\n", "Epoch 1 Batch 652/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.848, Loss: 0.174\n", "Epoch 1 Batch 653/1077 - Train Accuracy: 0.859, Validation Accuracy: 0.840, Loss: 0.175\n", "Epoch 1 Batch 654/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.842, Loss: 0.170\n", "Epoch 1 Batch 655/1077 - Train Accuracy: 0.851, Validation Accuracy: 0.815, Loss: 0.180\n", "Epoch 1 Batch 656/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.827, Loss: 0.178\n", "Epoch 1 Batch 657/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.837, Loss: 0.175\n", "Epoch 1 Batch 658/1077 - Train Accuracy: 0.833, Validation Accuracy: 0.832, Loss: 0.164\n", "Epoch 1 Batch 659/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.823, Loss: 0.182\n", "Epoch 1 Batch 660/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.827, Loss: 0.172\n", "Epoch 1 Batch 661/1077 - Train Accuracy: 0.844, Validation Accuracy: 0.832, Loss: 0.152\n", "Epoch 1 Batch 662/1077 - Train Accuracy: 0.855, Validation Accuracy: 0.848, Loss: 0.165\n", "Epoch 1 Batch 663/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.818, Loss: 0.153\n", "Epoch 1 Batch 664/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.855, Loss: 0.164\n", "Epoch 1 Batch 665/1077 - Train Accuracy: 0.883, Validation Accuracy: 0.851, Loss: 0.157\n", "Epoch 1 Batch 666/1077 - Train Accuracy: 0.856, Validation Accuracy: 0.849, Loss: 0.169\n", "Epoch 1 Batch 667/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.849, Loss: 0.181\n", "Epoch 1 Batch 668/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.849, Loss: 0.155\n", "Epoch 1 Batch 669/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.850, Loss: 0.158\n", "Epoch 1 Batch 670/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.846, Loss: 0.163\n", "Epoch 1 Batch 671/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.842, Loss: 0.176\n", "Epoch 1 Batch 672/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.845, Loss: 0.156\n", "Epoch 1 Batch 673/1077 - Train Accuracy: 0.841, Validation Accuracy: 0.838, Loss: 0.155\n", "Epoch 1 Batch 674/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.847, Loss: 0.157\n", "Epoch 1 Batch 675/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.846, Loss: 0.179\n", "Epoch 1 Batch 676/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.845, Loss: 0.161\n", "Epoch 1 Batch 677/1077 - Train Accuracy: 0.821, Validation Accuracy: 0.832, Loss: 0.172\n", "Epoch 1 Batch 678/1077 - Train Accuracy: 0.859, Validation Accuracy: 0.835, Loss: 0.160\n", "Epoch 1 Batch 679/1077 - Train Accuracy: 0.858, Validation Accuracy: 0.843, Loss: 0.171\n", "Epoch 1 Batch 680/1077 - Train Accuracy: 0.855, Validation Accuracy: 0.843, Loss: 0.166\n", "Epoch 1 Batch 681/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.853, Loss: 0.166\n", "Epoch 1 Batch 682/1077 - Train Accuracy: 0.853, Validation Accuracy: 0.852, Loss: 0.158\n", "Epoch 1 Batch 683/1077 - Train Accuracy: 0.832, Validation Accuracy: 0.847, Loss: 0.153\n", "Epoch 1 Batch 684/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.832, Loss: 0.152\n", "Epoch 1 Batch 685/1077 - Train Accuracy: 0.813, Validation Accuracy: 0.830, Loss: 0.169\n", "Epoch 1 Batch 686/1077 - Train Accuracy: 0.883, Validation Accuracy: 0.842, Loss: 0.147\n", "Epoch 1 Batch 687/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.849, Loss: 0.181\n", "Epoch 1 Batch 688/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.855, Loss: 0.161\n", "Epoch 1 Batch 689/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.843, Loss: 0.143\n", "Epoch 1 Batch 690/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.845, Loss: 0.157\n", "Epoch 1 Batch 691/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.856, Loss: 0.185\n", "Epoch 1 Batch 692/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.846, Loss: 0.145\n", "Epoch 1 Batch 693/1077 - Train Accuracy: 0.822, Validation Accuracy: 0.846, Loss: 0.207\n", "Epoch 1 Batch 694/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.854, Loss: 0.167\n", "Epoch 1 Batch 695/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.842, Loss: 0.147\n", "Epoch 1 Batch 696/1077 - Train Accuracy: 0.847, Validation Accuracy: 0.837, Loss: 0.181\n", "Epoch 1 Batch 697/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.841, Loss: 0.151\n", "Epoch 1 Batch 698/1077 - Train Accuracy: 0.885, Validation Accuracy: 0.846, Loss: 0.147\n", "Epoch 1 Batch 699/1077 - Train Accuracy: 0.897, Validation Accuracy: 0.836, Loss: 0.140\n", "Epoch 1 Batch 700/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.836, Loss: 0.138\n", "Epoch 1 Batch 701/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.859, Loss: 0.166\n", "Epoch 1 Batch 702/1077 - Train Accuracy: 0.859, Validation Accuracy: 0.858, Loss: 0.164\n", "Epoch 1 Batch 703/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.855, Loss: 0.164\n", "Epoch 1 Batch 704/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.857, Loss: 0.166\n", "Epoch 1 Batch 705/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.865, Loss: 0.177\n", "Epoch 1 Batch 706/1077 - Train Accuracy: 0.828, Validation Accuracy: 0.863, Loss: 0.185\n", "Epoch 1 Batch 707/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.858, Loss: 0.148\n", "Epoch 1 Batch 708/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.864, Loss: 0.161\n", "Epoch 1 Batch 709/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.867, Loss: 0.166\n", "Epoch 1 Batch 710/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.856, Loss: 0.135\n", "Epoch 1 Batch 711/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.852, Loss: 0.174\n", "Epoch 1 Batch 712/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.852, Loss: 0.143\n", "Epoch 1 Batch 713/1077 - Train Accuracy: 0.885, Validation Accuracy: 0.862, Loss: 0.135\n", "Epoch 1 Batch 714/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.862, Loss: 0.174\n", "Epoch 1 Batch 715/1077 - Train Accuracy: 0.859, Validation Accuracy: 0.865, Loss: 0.165\n", "Epoch 1 Batch 716/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.847, Loss: 0.132\n", "Epoch 1 Batch 717/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.850, Loss: 0.159\n", "Epoch 1 Batch 718/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.847, Loss: 0.148\n", "Epoch 1 Batch 719/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.865, Loss: 0.152\n", "Epoch 1 Batch 720/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.858, Loss: 0.164\n", "Epoch 1 Batch 721/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.856, Loss: 0.169\n", "Epoch 1 Batch 722/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.860, Loss: 0.143\n", "Epoch 1 Batch 723/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.860, Loss: 0.164\n", "Epoch 1 Batch 724/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.855, Loss: 0.153\n", "Epoch 1 Batch 725/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.847, Loss: 0.138\n", "Epoch 1 Batch 726/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.849, Loss: 0.147\n", "Epoch 1 Batch 727/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.844, Loss: 0.145\n", "Epoch 1 Batch 728/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.847, Loss: 0.155\n", "Epoch 1 Batch 729/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.862, Loss: 0.178\n", "Epoch 1 Batch 730/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.864, Loss: 0.154\n", "Epoch 1 Batch 731/1077 - Train Accuracy: 0.837, Validation Accuracy: 0.870, Loss: 0.152\n", "Epoch 1 Batch 732/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.852, Loss: 0.145\n", "Epoch 1 Batch 733/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.857, Loss: 0.147\n", "Epoch 1 Batch 734/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.843, Loss: 0.161\n", "Epoch 1 Batch 735/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.862, Loss: 0.152\n", "Epoch 1 Batch 736/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.867, Loss: 0.126\n", "Epoch 1 Batch 737/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.866, Loss: 0.166\n", "Epoch 1 Batch 738/1077 - Train Accuracy: 0.883, Validation Accuracy: 0.858, Loss: 0.129\n", "Epoch 1 Batch 739/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.850, Loss: 0.143\n", "Epoch 1 Batch 740/1077 - Train Accuracy: 0.897, Validation Accuracy: 0.848, Loss: 0.133\n", "Epoch 1 Batch 741/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.858, Loss: 0.155\n", "Epoch 1 Batch 742/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.857, Loss: 0.140\n", "Epoch 1 Batch 743/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.853, Loss: 0.158\n", "Epoch 1 Batch 744/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.860, Loss: 0.134\n", "Epoch 1 Batch 745/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.858, Loss: 0.143\n", "Epoch 1 Batch 746/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.854, Loss: 0.146\n", "Epoch 1 Batch 747/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.854, Loss: 0.126\n", "Epoch 1 Batch 748/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.844, Loss: 0.132\n", "Epoch 1 Batch 749/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.855, Loss: 0.147\n", "Epoch 1 Batch 750/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.852, Loss: 0.135\n", "Epoch 1 Batch 751/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.863, Loss: 0.144\n", "Epoch 1 Batch 752/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.859, Loss: 0.141\n", "Epoch 1 Batch 753/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.863, Loss: 0.140\n", "Epoch 1 Batch 754/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.863, Loss: 0.153\n", "Epoch 1 Batch 755/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.868, Loss: 0.144\n", "Epoch 1 Batch 756/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.869, Loss: 0.126\n", "Epoch 1 Batch 757/1077 - Train Accuracy: 0.839, Validation Accuracy: 0.865, Loss: 0.155\n", "Epoch 1 Batch 758/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.871, Loss: 0.131\n", "Epoch 1 Batch 759/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.873, Loss: 0.127\n", "Epoch 1 Batch 760/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.875, Loss: 0.148\n", "Epoch 1 Batch 761/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.873, Loss: 0.144\n", "Epoch 1 Batch 762/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.876, Loss: 0.128\n", "Epoch 1 Batch 763/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.858, Loss: 0.129\n", "Epoch 1 Batch 764/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.878, Loss: 0.137\n", "Epoch 1 Batch 765/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.877, Loss: 0.136\n", "Epoch 1 Batch 766/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.873, Loss: 0.145\n", "Epoch 1 Batch 767/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.863, Loss: 0.135\n", "Epoch 1 Batch 768/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.865, Loss: 0.139\n", "Epoch 1 Batch 769/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.863, Loss: 0.134\n", "Epoch 1 Batch 770/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.859, Loss: 0.123\n", "Epoch 1 Batch 771/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.864, Loss: 0.144\n", "Epoch 1 Batch 772/1077 - Train Accuracy: 0.847, Validation Accuracy: 0.861, Loss: 0.125\n", "Epoch 1 Batch 773/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.860, Loss: 0.132\n", "Epoch 1 Batch 774/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.850, Loss: 0.149\n", "Epoch 1 Batch 775/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.866, Loss: 0.151\n", "Epoch 1 Batch 776/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.857, Loss: 0.127\n", "Epoch 1 Batch 777/1077 - Train Accuracy: 0.856, Validation Accuracy: 0.859, Loss: 0.154\n", "Epoch 1 Batch 778/1077 - Train Accuracy: 0.861, Validation Accuracy: 0.858, Loss: 0.123\n", "Epoch 1 Batch 779/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.874, Loss: 0.153\n", "Epoch 1 Batch 780/1077 - Train Accuracy: 0.847, Validation Accuracy: 0.869, Loss: 0.146\n", "Epoch 1 Batch 781/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.881, Loss: 0.123\n", "Epoch 1 Batch 782/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.879, Loss: 0.129\n", "Epoch 1 Batch 783/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.885, Loss: 0.147\n", "Epoch 1 Batch 784/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.868, Loss: 0.120\n", "Epoch 1 Batch 785/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.877, Loss: 0.126\n", "Epoch 1 Batch 786/1077 - Train Accuracy: 0.836, Validation Accuracy: 0.877, Loss: 0.145\n", "Epoch 1 Batch 787/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.869, Loss: 0.136\n", "Epoch 1 Batch 788/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.872, Loss: 0.120\n", "Epoch 1 Batch 789/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.875, Loss: 0.140\n", "Epoch 1 Batch 790/1077 - Train Accuracy: 0.812, Validation Accuracy: 0.878, Loss: 0.145\n", "Epoch 1 Batch 791/1077 - Train Accuracy: 0.854, Validation Accuracy: 0.870, Loss: 0.146\n", "Epoch 1 Batch 792/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.869, Loss: 0.137\n", "Epoch 1 Batch 793/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.860, Loss: 0.132\n", "Epoch 1 Batch 794/1077 - Train Accuracy: 0.845, Validation Accuracy: 0.869, Loss: 0.119\n", "Epoch 1 Batch 795/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.864, Loss: 0.141\n", "Epoch 1 Batch 796/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.852, Loss: 0.136\n", "Epoch 1 Batch 797/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.852, Loss: 0.128\n", "Epoch 1 Batch 798/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.862, Loss: 0.141\n", "Epoch 1 Batch 799/1077 - Train Accuracy: 0.848, Validation Accuracy: 0.872, Loss: 0.158\n", "Epoch 1 Batch 800/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.869, Loss: 0.126\n", "Epoch 1 Batch 801/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.877, Loss: 0.138\n", "Epoch 1 Batch 802/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.862, Loss: 0.139\n", "Epoch 1 Batch 803/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.853, Loss: 0.145\n", "Epoch 1 Batch 804/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.854, Loss: 0.120\n", "Epoch 1 Batch 805/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.852, Loss: 0.135\n", "Epoch 1 Batch 806/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.859, Loss: 0.119\n", "Epoch 1 Batch 807/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.855, Loss: 0.128\n", "Epoch 1 Batch 808/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.858, Loss: 0.152\n", "Epoch 1 Batch 809/1077 - Train Accuracy: 0.851, Validation Accuracy: 0.860, Loss: 0.174\n", "Epoch 1 Batch 810/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.847, Loss: 0.123\n", "Epoch 1 Batch 811/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.848, Loss: 0.127\n", "Epoch 1 Batch 812/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.857, Loss: 0.138\n", "Epoch 1 Batch 813/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.848, Loss: 0.120\n", "Epoch 1 Batch 814/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.860, Loss: 0.148\n", "Epoch 1 Batch 815/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.876, Loss: 0.128\n", "Epoch 1 Batch 816/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.886, Loss: 0.145\n", "Epoch 1 Batch 817/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.877, Loss: 0.143\n", "Epoch 1 Batch 818/1077 - Train Accuracy: 0.885, Validation Accuracy: 0.865, Loss: 0.135\n", "Epoch 1 Batch 819/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.873, Loss: 0.132\n", "Epoch 1 Batch 820/1077 - Train Accuracy: 0.838, Validation Accuracy: 0.855, Loss: 0.138\n", "Epoch 1 Batch 821/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.876, Loss: 0.137\n", "Epoch 1 Batch 822/1077 - Train Accuracy: 0.851, Validation Accuracy: 0.854, Loss: 0.135\n", "Epoch 1 Batch 823/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.869, Loss: 0.143\n", "Epoch 1 Batch 824/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.863, Loss: 0.132\n", "Epoch 1 Batch 825/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.849, Loss: 0.125\n", "Epoch 1 Batch 826/1077 - Train Accuracy: 0.855, Validation Accuracy: 0.867, Loss: 0.134\n", "Epoch 1 Batch 827/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.851, Loss: 0.133\n", "Epoch 1 Batch 828/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.876, Loss: 0.145\n", "Epoch 1 Batch 829/1077 - Train Accuracy: 0.843, Validation Accuracy: 0.872, Loss: 0.148\n", "Epoch 1 Batch 830/1077 - Train Accuracy: 0.852, Validation Accuracy: 0.857, Loss: 0.142\n", "Epoch 1 Batch 831/1077 - Train Accuracy: 0.834, Validation Accuracy: 0.867, Loss: 0.140\n", "Epoch 1 Batch 832/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.858, Loss: 0.127\n", "Epoch 1 Batch 833/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.855, Loss: 0.145\n", "Epoch 1 Batch 834/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.871, Loss: 0.130\n", "Epoch 1 Batch 835/1077 - Train Accuracy: 0.849, Validation Accuracy: 0.866, Loss: 0.128\n", "Epoch 1 Batch 836/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.861, Loss: 0.134\n", "Epoch 1 Batch 837/1077 - Train Accuracy: 0.847, Validation Accuracy: 0.865, Loss: 0.136\n", "Epoch 1 Batch 838/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.865, Loss: 0.132\n", "Epoch 1 Batch 839/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.854, Loss: 0.120\n", "Epoch 1 Batch 840/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.856, Loss: 0.120\n", "Epoch 1 Batch 841/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.868, Loss: 0.130\n", "Epoch 1 Batch 842/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.884, Loss: 0.112\n", "Epoch 1 Batch 843/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.884, Loss: 0.114\n", "Epoch 1 Batch 844/1077 - Train Accuracy: 0.868, Validation Accuracy: 0.881, Loss: 0.123\n", "Epoch 1 Batch 845/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.875, Loss: 0.114\n", "Epoch 1 Batch 846/1077 - Train Accuracy: 0.877, Validation Accuracy: 0.879, Loss: 0.142\n", "Epoch 1 Batch 847/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.865, Loss: 0.147\n", "Epoch 1 Batch 848/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.864, Loss: 0.112\n", "Epoch 1 Batch 849/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.864, Loss: 0.102\n", "Epoch 1 Batch 850/1077 - Train Accuracy: 0.850, Validation Accuracy: 0.875, Loss: 0.155\n", "Epoch 1 Batch 851/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.895, Loss: 0.136\n", "Epoch 1 Batch 852/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.876, Loss: 0.144\n", "Epoch 1 Batch 853/1077 - Train Accuracy: 0.897, Validation Accuracy: 0.879, Loss: 0.130\n", "Epoch 1 Batch 854/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.870, Loss: 0.139\n", "Epoch 1 Batch 855/1077 - Train Accuracy: 0.860, Validation Accuracy: 0.872, Loss: 0.120\n", "Epoch 1 Batch 856/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.876, Loss: 0.134\n", "Epoch 1 Batch 857/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.874, Loss: 0.115\n", "Epoch 1 Batch 858/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.872, Loss: 0.119\n", "Epoch 1 Batch 859/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.864, Loss: 0.153\n", "Epoch 1 Batch 860/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.872, Loss: 0.127\n", "Epoch 1 Batch 861/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.873, Loss: 0.120\n", "Epoch 1 Batch 862/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.880, Loss: 0.110\n", "Epoch 1 Batch 863/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.875, Loss: 0.106\n", "Epoch 1 Batch 864/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.873, Loss: 0.126\n", "Epoch 1 Batch 865/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.874, Loss: 0.117\n", "Epoch 1 Batch 866/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.875, Loss: 0.124\n", "Epoch 1 Batch 867/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.876, Loss: 0.178\n", "Epoch 1 Batch 868/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.874, Loss: 0.124\n", "Epoch 1 Batch 869/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.869, Loss: 0.128\n", "Epoch 1 Batch 870/1077 - Train Accuracy: 0.858, Validation Accuracy: 0.863, Loss: 0.136\n", "Epoch 1 Batch 871/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.873, Loss: 0.104\n", "Epoch 1 Batch 872/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.881, Loss: 0.108\n", "Epoch 1 Batch 873/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.885, Loss: 0.130\n", "Epoch 1 Batch 874/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.890, Loss: 0.136\n", "Epoch 1 Batch 875/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.890, Loss: 0.133\n", "Epoch 1 Batch 876/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.887, Loss: 0.118\n", "Epoch 1 Batch 877/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.880, Loss: 0.117\n", "Epoch 1 Batch 878/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.875, Loss: 0.122\n", "Epoch 1 Batch 879/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.881, Loss: 0.104\n", "Epoch 1 Batch 880/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.865, Loss: 0.128\n", "Epoch 1 Batch 881/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.865, Loss: 0.122\n", "Epoch 1 Batch 882/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.858, Loss: 0.136\n", "Epoch 1 Batch 883/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.850, Loss: 0.163\n", "Epoch 1 Batch 884/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.858, Loss: 0.107\n", "Epoch 1 Batch 885/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.843, Loss: 0.094\n", "Epoch 1 Batch 886/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.841, Loss: 0.123\n", "Epoch 1 Batch 887/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.854, Loss: 0.137\n", "Epoch 1 Batch 888/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.859, Loss: 0.125\n", "Epoch 1 Batch 889/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.864, Loss: 0.121\n", "Epoch 1 Batch 890/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.856, Loss: 0.136\n", "Epoch 1 Batch 891/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.864, Loss: 0.119\n", "Epoch 1 Batch 892/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.878, Loss: 0.104\n", "Epoch 1 Batch 893/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.869, Loss: 0.121\n", "Epoch 1 Batch 894/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.873, Loss: 0.114\n", "Epoch 1 Batch 895/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.873, Loss: 0.128\n", "Epoch 1 Batch 896/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.879, Loss: 0.125\n", "Epoch 1 Batch 897/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.863, Loss: 0.101\n", "Epoch 1 Batch 898/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.863, Loss: 0.106\n", "Epoch 1 Batch 899/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.865, Loss: 0.130\n", "Epoch 1 Batch 900/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.860, Loss: 0.123\n", "Epoch 1 Batch 901/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.873, Loss: 0.141\n", "Epoch 1 Batch 902/1077 - Train Accuracy: 0.865, Validation Accuracy: 0.880, Loss: 0.129\n", "Epoch 1 Batch 903/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.875, Loss: 0.120\n", "Epoch 1 Batch 904/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.873, Loss: 0.113\n", "Epoch 1 Batch 905/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.868, Loss: 0.104\n", "Epoch 1 Batch 906/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.864, Loss: 0.101\n", "Epoch 1 Batch 907/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.865, Loss: 0.115\n", "Epoch 1 Batch 908/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.876, Loss: 0.141\n", "Epoch 1 Batch 909/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.877, Loss: 0.126\n", "Epoch 1 Batch 910/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.871, Loss: 0.123\n", "Epoch 1 Batch 911/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.866, Loss: 0.127\n", "Epoch 1 Batch 912/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.869, Loss: 0.111\n", "Epoch 1 Batch 913/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.871, Loss: 0.143\n", "Epoch 1 Batch 914/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.870, Loss: 0.139\n", "Epoch 1 Batch 915/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.866, Loss: 0.109\n", "Epoch 1 Batch 916/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.870, Loss: 0.117\n", "Epoch 1 Batch 917/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.864, Loss: 0.102\n", "Epoch 1 Batch 918/1077 - Train Accuracy: 0.885, Validation Accuracy: 0.851, Loss: 0.108\n", "Epoch 1 Batch 919/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.863, Loss: 0.103\n", "Epoch 1 Batch 920/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.876, Loss: 0.114\n", "Epoch 1 Batch 921/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.872, Loss: 0.114\n", "Epoch 1 Batch 922/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.864, Loss: 0.123\n", "Epoch 1 Batch 923/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.871, Loss: 0.098\n", "Epoch 1 Batch 924/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.864, Loss: 0.133\n", "Epoch 1 Batch 925/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.860, Loss: 0.100\n", "Epoch 1 Batch 926/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.859, Loss: 0.114\n", "Epoch 1 Batch 927/1077 - Train Accuracy: 0.866, Validation Accuracy: 0.876, Loss: 0.117\n", "Epoch 1 Batch 928/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.882, Loss: 0.127\n", "Epoch 1 Batch 929/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.862, Loss: 0.115\n", "Epoch 1 Batch 930/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.869, Loss: 0.101\n", "Epoch 1 Batch 931/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.877, Loss: 0.102\n", "Epoch 1 Batch 932/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.865, Loss: 0.112\n", "Epoch 1 Batch 933/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.864, Loss: 0.118\n", "Epoch 1 Batch 934/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.867, Loss: 0.105\n", "Epoch 1 Batch 935/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.866, Loss: 0.121\n", "Epoch 1 Batch 936/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.872, Loss: 0.124\n", "Epoch 1 Batch 937/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.871, Loss: 0.125\n", "Epoch 1 Batch 938/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.886, Loss: 0.121\n", "Epoch 1 Batch 939/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.865, Loss: 0.116\n", "Epoch 1 Batch 940/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.865, Loss: 0.101\n", "Epoch 1 Batch 941/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.865, Loss: 0.115\n", "Epoch 1 Batch 942/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.870, Loss: 0.109\n", "Epoch 1 Batch 943/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.872, Loss: 0.109\n", "Epoch 1 Batch 944/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.867, Loss: 0.098\n", "Epoch 1 Batch 945/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.870, Loss: 0.094\n", "Epoch 1 Batch 946/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.887, Loss: 0.106\n", "Epoch 1 Batch 947/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.882, Loss: 0.109\n", "Epoch 1 Batch 948/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.883, Loss: 0.108\n", "Epoch 1 Batch 949/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.891, Loss: 0.101\n", "Epoch 1 Batch 950/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.890, Loss: 0.095\n", "Epoch 1 Batch 951/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.882, Loss: 0.123\n", "Epoch 1 Batch 952/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.863, Loss: 0.096\n", "Epoch 1 Batch 953/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.856, Loss: 0.096\n", "Epoch 1 Batch 954/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.866, Loss: 0.119\n", "Epoch 1 Batch 955/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.859, Loss: 0.109\n", "Epoch 1 Batch 956/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.853, Loss: 0.125\n", "Epoch 1 Batch 957/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.866, Loss: 0.094\n", "Epoch 1 Batch 958/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.858, Loss: 0.099\n", "Epoch 1 Batch 959/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.866, Loss: 0.102\n", "Epoch 1 Batch 960/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.878, Loss: 0.104\n", "Epoch 1 Batch 961/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.888, Loss: 0.104\n", "Epoch 1 Batch 962/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.885, Loss: 0.104\n", "Epoch 1 Batch 963/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.884, Loss: 0.137\n", "Epoch 1 Batch 964/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.876, Loss: 0.098\n", "Epoch 1 Batch 965/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.880, Loss: 0.111\n", "Epoch 1 Batch 966/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.877, Loss: 0.095\n", "Epoch 1 Batch 967/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.879, Loss: 0.104\n", "Epoch 1 Batch 968/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.876, Loss: 0.133\n", "Epoch 1 Batch 969/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.874, Loss: 0.125\n", "Epoch 1 Batch 970/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.874, Loss: 0.109\n", "Epoch 1 Batch 971/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.878, Loss: 0.124\n", "Epoch 1 Batch 972/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.884, Loss: 0.098\n", "Epoch 1 Batch 973/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.869, Loss: 0.086\n", "Epoch 1 Batch 974/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.884, Loss: 0.084\n", "Epoch 1 Batch 975/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.885, Loss: 0.094\n", "Epoch 1 Batch 976/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.884, Loss: 0.094\n", "Epoch 1 Batch 977/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.882, Loss: 0.086\n", "Epoch 1 Batch 978/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.880, Loss: 0.097\n", "Epoch 1 Batch 979/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.885, Loss: 0.104\n", "Epoch 1 Batch 980/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.873, Loss: 0.119\n", "Epoch 1 Batch 981/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.886, Loss: 0.103\n", "Epoch 1 Batch 982/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.887, Loss: 0.099\n", "Epoch 1 Batch 983/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.890, Loss: 0.114\n", "Epoch 1 Batch 984/1077 - Train Accuracy: 0.857, Validation Accuracy: 0.887, Loss: 0.110\n", "Epoch 1 Batch 985/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.876, Loss: 0.099\n", "Epoch 1 Batch 986/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.883, Loss: 0.106\n", "Epoch 1 Batch 987/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.879, Loss: 0.104\n", "Epoch 1 Batch 988/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.871, Loss: 0.111\n", "Epoch 1 Batch 989/1077 - Train Accuracy: 0.862, Validation Accuracy: 0.879, Loss: 0.109\n", "Epoch 1 Batch 990/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.876, Loss: 0.112\n", "Epoch 1 Batch 991/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.887, Loss: 0.100\n", "Epoch 1 Batch 992/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.891, Loss: 0.112\n", "Epoch 1 Batch 993/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.894, Loss: 0.086\n", "Epoch 1 Batch 994/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.884, Loss: 0.101\n", "Epoch 1 Batch 995/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.878, Loss: 0.104\n", "Epoch 1 Batch 996/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.877, Loss: 0.091\n", "Epoch 1 Batch 997/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.876, Loss: 0.101\n", "Epoch 1 Batch 998/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.874, Loss: 0.099\n", "Epoch 1 Batch 999/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.888, Loss: 0.104\n", "Epoch 1 Batch 1000/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.882, Loss: 0.093\n", "Epoch 1 Batch 1001/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.871, Loss: 0.089\n", "Epoch 1 Batch 1002/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.885, Loss: 0.083\n", "Epoch 1 Batch 1003/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.882, Loss: 0.103\n", "Epoch 1 Batch 1004/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.880, Loss: 0.105\n", "Epoch 1 Batch 1005/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.883, Loss: 0.093\n", "Epoch 1 Batch 1006/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.879, Loss: 0.087\n", "Epoch 1 Batch 1007/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.889, Loss: 0.100\n", "Epoch 1 Batch 1008/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.871, Loss: 0.122\n", "Epoch 1 Batch 1009/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.871, Loss: 0.088\n", "Epoch 1 Batch 1010/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.891, Loss: 0.107\n", "Epoch 1 Batch 1011/1077 - Train Accuracy: 0.867, Validation Accuracy: 0.854, Loss: 0.097\n", "Epoch 1 Batch 1012/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.888, Loss: 0.093\n", "Epoch 1 Batch 1013/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.873, Loss: 0.081\n", "Epoch 1 Batch 1014/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.872, Loss: 0.097\n", "Epoch 1 Batch 1015/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.893, Loss: 0.127\n", "Epoch 1 Batch 1016/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.888, Loss: 0.100\n", "Epoch 1 Batch 1017/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.884, Loss: 0.107\n", "Epoch 1 Batch 1018/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.886, Loss: 0.104\n", "Epoch 1 Batch 1019/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.880, Loss: 0.112\n", "Epoch 1 Batch 1020/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.885, Loss: 0.087\n", "Epoch 1 Batch 1021/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.885, Loss: 0.092\n", "Epoch 1 Batch 1022/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.886, Loss: 0.089\n", "Epoch 1 Batch 1023/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.888, Loss: 0.101\n", "Epoch 1 Batch 1024/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.893, Loss: 0.113\n", "Epoch 1 Batch 1025/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.890, Loss: 0.092\n", "Epoch 1 Batch 1026/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.900, Loss: 0.101\n", "Epoch 1 Batch 1027/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.890, Loss: 0.101\n", "Epoch 1 Batch 1028/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.892, Loss: 0.099\n", "Epoch 1 Batch 1029/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.892, Loss: 0.085\n", "Epoch 1 Batch 1030/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.880, Loss: 0.099\n", "Epoch 1 Batch 1031/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.877, Loss: 0.100\n", "Epoch 1 Batch 1032/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.873, Loss: 0.109\n", "Epoch 1 Batch 1033/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.878, Loss: 0.110\n", "Epoch 1 Batch 1034/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.890, Loss: 0.102\n", "Epoch 1 Batch 1035/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.887, Loss: 0.076\n", "Epoch 1 Batch 1036/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.888, Loss: 0.100\n", "Epoch 1 Batch 1037/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.897, Loss: 0.094\n", "Epoch 1 Batch 1038/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.884, Loss: 0.100\n", "Epoch 1 Batch 1039/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.888, Loss: 0.095\n", "Epoch 1 Batch 1040/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.899, Loss: 0.105\n", "Epoch 1 Batch 1041/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.900, Loss: 0.098\n", "Epoch 1 Batch 1042/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.894, Loss: 0.102\n", "Epoch 1 Batch 1043/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.887, Loss: 0.117\n", "Epoch 1 Batch 1044/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.897, Loss: 0.111\n", "Epoch 1 Batch 1045/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.898, Loss: 0.094\n", "Epoch 1 Batch 1046/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.898, Loss: 0.085\n", "Epoch 1 Batch 1047/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.898, Loss: 0.090\n", "Epoch 1 Batch 1048/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.883, Loss: 0.092\n", "Epoch 1 Batch 1049/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.879, Loss: 0.108\n", "Epoch 1 Batch 1050/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.904, Loss: 0.090\n", "Epoch 1 Batch 1051/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.899, Loss: 0.095\n", "Epoch 1 Batch 1052/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.890, Loss: 0.091\n", "Epoch 1 Batch 1053/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.894, Loss: 0.096\n", "Epoch 1 Batch 1054/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.890, Loss: 0.085\n", "Epoch 1 Batch 1055/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.885, Loss: 0.117\n", "Epoch 1 Batch 1056/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.900, Loss: 0.097\n", "Epoch 1 Batch 1057/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.888, Loss: 0.114\n", "Epoch 1 Batch 1058/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.903, Loss: 0.107\n", "Epoch 1 Batch 1059/1077 - Train Accuracy: 0.864, Validation Accuracy: 0.904, Loss: 0.118\n", "Epoch 1 Batch 1060/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.899, Loss: 0.089\n", "Epoch 1 Batch 1061/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.901, Loss: 0.107\n", "Epoch 1 Batch 1062/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.893, Loss: 0.107\n", "Epoch 1 Batch 1063/1077 - Train Accuracy: 0.885, Validation Accuracy: 0.893, Loss: 0.103\n", "Epoch 1 Batch 1064/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.885, Loss: 0.095\n", "Epoch 1 Batch 1065/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.883, Loss: 0.104\n", "Epoch 1 Batch 1066/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.887, Loss: 0.091\n", "Epoch 1 Batch 1067/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.879, Loss: 0.102\n", "Epoch 1 Batch 1068/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.893, Loss: 0.084\n", "Epoch 1 Batch 1069/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.884, Loss: 0.075\n", "Epoch 1 Batch 1070/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.886, Loss: 0.092\n", "Epoch 1 Batch 1071/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.885, Loss: 0.096\n", "Epoch 1 Batch 1072/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.893, Loss: 0.093\n", "Epoch 1 Batch 1073/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.891, Loss: 0.110\n", "Epoch 1 Batch 1074/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.886, Loss: 0.109\n", "Epoch 1 Batch 1075/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.897, Loss: 0.097\n", "Epoch 2 Batch 0/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.892, Loss: 0.081\n", "Epoch 2 Batch 1/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.896, Loss: 0.080\n", "Epoch 2 Batch 2/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.899, Loss: 0.095\n", "Epoch 2 Batch 3/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.885, Loss: 0.090\n", "Epoch 2 Batch 4/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.882, Loss: 0.083\n", "Epoch 2 Batch 5/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.876, Loss: 0.113\n", "Epoch 2 Batch 6/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.879, Loss: 0.087\n", "Epoch 2 Batch 7/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.881, Loss: 0.085\n", "Epoch 2 Batch 8/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.873, Loss: 0.093\n", "Epoch 2 Batch 9/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.871, Loss: 0.082\n", "Epoch 2 Batch 10/1077 - Train Accuracy: 0.882, Validation Accuracy: 0.870, Loss: 0.094\n", "Epoch 2 Batch 11/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.876, Loss: 0.097\n", "Epoch 2 Batch 12/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.897, Loss: 0.099\n", "Epoch 2 Batch 13/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.904, Loss: 0.098\n", "Epoch 2 Batch 14/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.901, Loss: 0.074\n", "Epoch 2 Batch 15/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.885, Loss: 0.083\n", "Epoch 2 Batch 16/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.888, Loss: 0.094\n", "Epoch 2 Batch 17/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.897, Loss: 0.087\n", "Epoch 2 Batch 18/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.897, Loss: 0.092\n", "Epoch 2 Batch 19/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.893, Loss: 0.091\n", "Epoch 2 Batch 20/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.890, Loss: 0.081\n", "Epoch 2 Batch 21/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.890, Loss: 0.100\n", "Epoch 2 Batch 22/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.892, Loss: 0.091\n", "Epoch 2 Batch 23/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.888, Loss: 0.090\n", "Epoch 2 Batch 24/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.883, Loss: 0.085\n", "Epoch 2 Batch 25/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.894, Loss: 0.083\n", "Epoch 2 Batch 26/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.877, Loss: 0.096\n", "Epoch 2 Batch 27/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.870, Loss: 0.071\n", "Epoch 2 Batch 28/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.884, Loss: 0.093\n", "Epoch 2 Batch 29/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.892, Loss: 0.083\n", "Epoch 2 Batch 30/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.879, Loss: 0.084\n", "Epoch 2 Batch 31/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.875, Loss: 0.086\n", "Epoch 2 Batch 32/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.890, Loss: 0.086\n", "Epoch 2 Batch 33/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.886, Loss: 0.082\n", "Epoch 2 Batch 34/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.894, Loss: 0.087\n", "Epoch 2 Batch 35/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.900, Loss: 0.086\n", "Epoch 2 Batch 36/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.885, Loss: 0.087\n", "Epoch 2 Batch 37/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.886, Loss: 0.085\n", "Epoch 2 Batch 38/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.895, Loss: 0.114\n", "Epoch 2 Batch 39/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.900, Loss: 0.106\n", "Epoch 2 Batch 40/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.906, Loss: 0.077\n", "Epoch 2 Batch 41/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.898, Loss: 0.081\n", "Epoch 2 Batch 42/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.907, Loss: 0.097\n", "Epoch 2 Batch 43/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.903, Loss: 0.066\n", "Epoch 2 Batch 44/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.896, Loss: 0.077\n", "Epoch 2 Batch 45/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.895, Loss: 0.084\n", "Epoch 2 Batch 46/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.905, Loss: 0.088\n", "Epoch 2 Batch 47/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.909, Loss: 0.087\n", "Epoch 2 Batch 48/1077 - Train Accuracy: 0.875, Validation Accuracy: 0.902, Loss: 0.107\n", "Epoch 2 Batch 49/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.898, Loss: 0.085\n", "Epoch 2 Batch 50/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.903, Loss: 0.077\n", "Epoch 2 Batch 51/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.909, Loss: 0.089\n", "Epoch 2 Batch 52/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.903, Loss: 0.077\n", "Epoch 2 Batch 53/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.902, Loss: 0.082\n", "Epoch 2 Batch 54/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.903, Loss: 0.117\n", "Epoch 2 Batch 55/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.895, Loss: 0.082\n", "Epoch 2 Batch 56/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.884, Loss: 0.075\n", "Epoch 2 Batch 57/1077 - Train Accuracy: 0.869, Validation Accuracy: 0.882, Loss: 0.078\n", "Epoch 2 Batch 58/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.882, Loss: 0.080\n", "Epoch 2 Batch 59/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.876, Loss: 0.085\n", "Epoch 2 Batch 60/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.889, Loss: 0.079\n", "Epoch 2 Batch 61/1077 - Train Accuracy: 0.872, Validation Accuracy: 0.894, Loss: 0.101\n", "Epoch 2 Batch 62/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.904, Loss: 0.090\n", "Epoch 2 Batch 63/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.906, Loss: 0.070\n", "Epoch 2 Batch 64/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.902, Loss: 0.076\n", "Epoch 2 Batch 65/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.901, Loss: 0.081\n", "Epoch 2 Batch 66/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.903, Loss: 0.067\n", "Epoch 2 Batch 67/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.896, Loss: 0.080\n", "Epoch 2 Batch 68/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.896, Loss: 0.097\n", "Epoch 2 Batch 69/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.897, Loss: 0.102\n", "Epoch 2 Batch 70/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.902, Loss: 0.088\n", "Epoch 2 Batch 71/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.902, Loss: 0.066\n", "Epoch 2 Batch 72/1077 - Train Accuracy: 0.877, Validation Accuracy: 0.901, Loss: 0.083\n", "Epoch 2 Batch 73/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.903, Loss: 0.083\n", "Epoch 2 Batch 74/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.906, Loss: 0.081\n", "Epoch 2 Batch 75/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.905, Loss: 0.106\n", "Epoch 2 Batch 76/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.891, Loss: 0.067\n", "Epoch 2 Batch 77/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.892, Loss: 0.086\n", "Epoch 2 Batch 78/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.900, Loss: 0.081\n", "Epoch 2 Batch 79/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.894, Loss: 0.081\n", "Epoch 2 Batch 80/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.901, Loss: 0.079\n", "Epoch 2 Batch 81/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.904, Loss: 0.068\n", "Epoch 2 Batch 82/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.906, Loss: 0.074\n", "Epoch 2 Batch 83/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.903, Loss: 0.077\n", "Epoch 2 Batch 84/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.892, Loss: 0.080\n", "Epoch 2 Batch 85/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.902, Loss: 0.075\n", "Epoch 2 Batch 86/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.899, Loss: 0.075\n", "Epoch 2 Batch 87/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.904, Loss: 0.105\n", "Epoch 2 Batch 88/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.902, Loss: 0.091\n", "Epoch 2 Batch 89/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.891, Loss: 0.088\n", "Epoch 2 Batch 90/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.888, Loss: 0.077\n", "Epoch 2 Batch 91/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.888, Loss: 0.074\n", "Epoch 2 Batch 92/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.892, Loss: 0.087\n", "Epoch 2 Batch 93/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.891, Loss: 0.083\n", "Epoch 2 Batch 94/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.900, Loss: 0.077\n", "Epoch 2 Batch 95/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.885, Loss: 0.093\n", "Epoch 2 Batch 96/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.891, Loss: 0.082\n", "Epoch 2 Batch 97/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.892, Loss: 0.086\n", "Epoch 2 Batch 98/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.892, Loss: 0.083\n", "Epoch 2 Batch 99/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.908, Loss: 0.074\n", "Epoch 2 Batch 100/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.914, Loss: 0.088\n", "Epoch 2 Batch 101/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.913, Loss: 0.073\n", "Epoch 2 Batch 102/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.894, Loss: 0.078\n", "Epoch 2 Batch 103/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.916, Loss: 0.099\n", "Epoch 2 Batch 104/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.909, Loss: 0.090\n", "Epoch 2 Batch 105/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.907, Loss: 0.077\n", "Epoch 2 Batch 106/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.868, Loss: 0.098\n", "Epoch 2 Batch 107/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.867, Loss: 0.089\n", "Epoch 2 Batch 108/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.884, Loss: 0.082\n", "Epoch 2 Batch 109/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.879, Loss: 0.079\n", "Epoch 2 Batch 110/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.890, Loss: 0.068\n", "Epoch 2 Batch 111/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.877, Loss: 0.090\n", "Epoch 2 Batch 112/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.890, Loss: 0.086\n", "Epoch 2 Batch 113/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.895, Loss: 0.094\n", "Epoch 2 Batch 114/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.894, Loss: 0.065\n", "Epoch 2 Batch 115/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.890, Loss: 0.094\n", "Epoch 2 Batch 116/1077 - Train Accuracy: 0.874, Validation Accuracy: 0.896, Loss: 0.104\n", "Epoch 2 Batch 117/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.895, Loss: 0.074\n", "Epoch 2 Batch 118/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.901, Loss: 0.097\n", "Epoch 2 Batch 119/1077 - Train Accuracy: 0.863, Validation Accuracy: 0.901, Loss: 0.083\n", "Epoch 2 Batch 120/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.895, Loss: 0.094\n", "Epoch 2 Batch 121/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.896, Loss: 0.096\n", "Epoch 2 Batch 122/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.896, Loss: 0.077\n", "Epoch 2 Batch 123/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.896, Loss: 0.073\n", "Epoch 2 Batch 124/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.903, Loss: 0.092\n", "Epoch 2 Batch 125/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.897, Loss: 0.088\n", "Epoch 2 Batch 126/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.878, Loss: 0.072\n", "Epoch 2 Batch 127/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.886, Loss: 0.088\n", "Epoch 2 Batch 128/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.897, Loss: 0.090\n", "Epoch 2 Batch 129/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.907, Loss: 0.103\n", "Epoch 2 Batch 130/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.895, Loss: 0.076\n", "Epoch 2 Batch 131/1077 - Train Accuracy: 0.877, Validation Accuracy: 0.892, Loss: 0.082\n", "Epoch 2 Batch 132/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.875, Loss: 0.088\n", "Epoch 2 Batch 133/1077 - Train Accuracy: 0.870, Validation Accuracy: 0.896, Loss: 0.078\n", "Epoch 2 Batch 134/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.881, Loss: 0.083\n", "Epoch 2 Batch 135/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.877, Loss: 0.091\n", "Epoch 2 Batch 136/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.873, Loss: 0.075\n", "Epoch 2 Batch 137/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.875, Loss: 0.064\n", "Epoch 2 Batch 138/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.875, Loss: 0.076\n", "Epoch 2 Batch 139/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.877, Loss: 0.079\n", "Epoch 2 Batch 140/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.865, Loss: 0.079\n", "Epoch 2 Batch 141/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.872, Loss: 0.080\n", "Epoch 2 Batch 142/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.884, Loss: 0.077\n", "Epoch 2 Batch 143/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.882, Loss: 0.091\n", "Epoch 2 Batch 144/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.880, Loss: 0.094\n", "Epoch 2 Batch 145/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.890, Loss: 0.080\n", "Epoch 2 Batch 146/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.889, Loss: 0.097\n", "Epoch 2 Batch 147/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.890, Loss: 0.081\n", "Epoch 2 Batch 148/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.888, Loss: 0.086\n", "Epoch 2 Batch 149/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.896, Loss: 0.078\n", "Epoch 2 Batch 150/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.890, Loss: 0.083\n", "Epoch 2 Batch 151/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.891, Loss: 0.075\n", "Epoch 2 Batch 152/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.884, Loss: 0.101\n", "Epoch 2 Batch 153/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.886, Loss: 0.097\n", "Epoch 2 Batch 154/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.896, Loss: 0.073\n", "Epoch 2 Batch 155/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.905, Loss: 0.076\n", "Epoch 2 Batch 156/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.895, Loss: 0.063\n", "Epoch 2 Batch 157/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.888, Loss: 0.073\n", "Epoch 2 Batch 158/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.902, Loss: 0.092\n", "Epoch 2 Batch 159/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.901, Loss: 0.070\n", "Epoch 2 Batch 160/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.891, Loss: 0.073\n", "Epoch 2 Batch 161/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.891, Loss: 0.064\n", "Epoch 2 Batch 162/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.891, Loss: 0.088\n", "Epoch 2 Batch 163/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.885, Loss: 0.091\n", "Epoch 2 Batch 164/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.895, Loss: 0.076\n", "Epoch 2 Batch 165/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.893, Loss: 0.078\n", "Epoch 2 Batch 166/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.896, Loss: 0.089\n", "Epoch 2 Batch 167/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.898, Loss: 0.073\n", "Epoch 2 Batch 168/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.887, Loss: 0.089\n", "Epoch 2 Batch 169/1077 - Train Accuracy: 0.897, Validation Accuracy: 0.887, Loss: 0.092\n", "Epoch 2 Batch 170/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.892, Loss: 0.082\n", "Epoch 2 Batch 171/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.890, Loss: 0.069\n", "Epoch 2 Batch 172/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.890, Loss: 0.069\n", "Epoch 2 Batch 173/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.906, Loss: 0.098\n", "Epoch 2 Batch 174/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.914, Loss: 0.070\n", "Epoch 2 Batch 175/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.902, Loss: 0.094\n", "Epoch 2 Batch 176/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.908, Loss: 0.074\n", "Epoch 2 Batch 177/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.901, Loss: 0.085\n", "Epoch 2 Batch 178/1077 - Train Accuracy: 0.873, Validation Accuracy: 0.899, Loss: 0.091\n", "Epoch 2 Batch 179/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.918, Loss: 0.090\n", "Epoch 2 Batch 180/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.904, Loss: 0.075\n", "Epoch 2 Batch 181/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.911, Loss: 0.083\n", "Epoch 2 Batch 182/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.907, Loss: 0.090\n", "Epoch 2 Batch 183/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.907, Loss: 0.071\n", "Epoch 2 Batch 184/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.909, Loss: 0.068\n", "Epoch 2 Batch 185/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.912, Loss: 0.091\n", "Epoch 2 Batch 186/1077 - Train Accuracy: 0.897, Validation Accuracy: 0.909, Loss: 0.087\n", "Epoch 2 Batch 187/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.911, Loss: 0.064\n", "Epoch 2 Batch 188/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.914, Loss: 0.084\n", "Epoch 2 Batch 189/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.915, Loss: 0.067\n", "Epoch 2 Batch 190/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.920, Loss: 0.070\n", "Epoch 2 Batch 191/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.909, Loss: 0.062\n", "Epoch 2 Batch 192/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.907, Loss: 0.076\n", "Epoch 2 Batch 193/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.900, Loss: 0.064\n", "Epoch 2 Batch 194/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.900, Loss: 0.066\n", "Epoch 2 Batch 195/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.902, Loss: 0.059\n", "Epoch 2 Batch 196/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.898, Loss: 0.069\n", "Epoch 2 Batch 197/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.898, Loss: 0.091\n", "Epoch 2 Batch 198/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.894, Loss: 0.078\n", "Epoch 2 Batch 199/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.893, Loss: 0.063\n", "Epoch 2 Batch 200/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.880, Loss: 0.081\n", "Epoch 2 Batch 201/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.888, Loss: 0.060\n", "Epoch 2 Batch 202/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.887, Loss: 0.075\n", "Epoch 2 Batch 203/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.903, Loss: 0.074\n", "Epoch 2 Batch 204/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.912, Loss: 0.090\n", "Epoch 2 Batch 205/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.912, Loss: 0.087\n", "Epoch 2 Batch 206/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.913, Loss: 0.070\n", "Epoch 2 Batch 207/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.919, Loss: 0.074\n", "Epoch 2 Batch 208/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.918, Loss: 0.079\n", "Epoch 2 Batch 209/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.919, Loss: 0.061\n", "Epoch 2 Batch 210/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.909, Loss: 0.077\n", "Epoch 2 Batch 211/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.905, Loss: 0.066\n", "Epoch 2 Batch 212/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.904, Loss: 0.068\n", "Epoch 2 Batch 213/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.904, Loss: 0.052\n", "Epoch 2 Batch 214/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.893, Loss: 0.063\n", "Epoch 2 Batch 215/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.902, Loss: 0.074\n", "Epoch 2 Batch 216/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.912, Loss: 0.083\n", "Epoch 2 Batch 217/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.911, Loss: 0.070\n", "Epoch 2 Batch 218/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.902, Loss: 0.094\n", "Epoch 2 Batch 219/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.909, Loss: 0.056\n", "Epoch 2 Batch 220/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.909, Loss: 0.070\n", "Epoch 2 Batch 221/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.906, Loss: 0.075\n", "Epoch 2 Batch 222/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.907, Loss: 0.073\n", "Epoch 2 Batch 223/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.906, Loss: 0.066\n", "Epoch 2 Batch 224/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.909, Loss: 0.078\n", "Epoch 2 Batch 225/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.898, Loss: 0.087\n", "Epoch 2 Batch 226/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.880, Loss: 0.066\n", "Epoch 2 Batch 227/1077 - Train Accuracy: 0.877, Validation Accuracy: 0.887, Loss: 0.097\n", "Epoch 2 Batch 228/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.906, Loss: 0.069\n", "Epoch 2 Batch 229/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.900, Loss: 0.079\n", "Epoch 2 Batch 230/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.895, Loss: 0.068\n", "Epoch 2 Batch 231/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.895, Loss: 0.080\n", "Epoch 2 Batch 232/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.899, Loss: 0.066\n", "Epoch 2 Batch 233/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.906, Loss: 0.097\n", "Epoch 2 Batch 234/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.906, Loss: 0.077\n", "Epoch 2 Batch 235/1077 - Train Accuracy: 0.879, Validation Accuracy: 0.896, Loss: 0.076\n", "Epoch 2 Batch 236/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.887, Loss: 0.080\n", "Epoch 2 Batch 237/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.888, Loss: 0.062\n", "Epoch 2 Batch 238/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.887, Loss: 0.073\n", "Epoch 2 Batch 239/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.900, Loss: 0.061\n", "Epoch 2 Batch 240/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.904, Loss: 0.061\n", "Epoch 2 Batch 241/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.903, Loss: 0.050\n", "Epoch 2 Batch 242/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.909, Loss: 0.062\n", "Epoch 2 Batch 243/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.907, Loss: 0.078\n", "Epoch 2 Batch 244/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.906, Loss: 0.065\n", "Epoch 2 Batch 245/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.912, Loss: 0.057\n", "Epoch 2 Batch 246/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.911, Loss: 0.072\n", "Epoch 2 Batch 247/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.904, Loss: 0.070\n", "Epoch 2 Batch 248/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.910, Loss: 0.071\n", "Epoch 2 Batch 249/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.915, Loss: 0.068\n", "Epoch 2 Batch 250/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.915, Loss: 0.063\n", "Epoch 2 Batch 251/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.925, Loss: 0.080\n", "Epoch 2 Batch 252/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.914, Loss: 0.070\n", "Epoch 2 Batch 253/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.914, Loss: 0.076\n", "Epoch 2 Batch 254/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.917, Loss: 0.082\n", "Epoch 2 Batch 255/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.918, Loss: 0.070\n", "Epoch 2 Batch 256/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.909, Loss: 0.094\n", "Epoch 2 Batch 257/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.900, Loss: 0.070\n", "Epoch 2 Batch 258/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.903, Loss: 0.064\n", "Epoch 2 Batch 259/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.904, Loss: 0.061\n", "Epoch 2 Batch 260/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.908, Loss: 0.056\n", "Epoch 2 Batch 261/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.909, Loss: 0.075\n", "Epoch 2 Batch 262/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.927, Loss: 0.057\n", "Epoch 2 Batch 263/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.922, Loss: 0.061\n", "Epoch 2 Batch 264/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.920, Loss: 0.070\n", "Epoch 2 Batch 265/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.923, Loss: 0.074\n", "Epoch 2 Batch 266/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.931, Loss: 0.068\n", "Epoch 2 Batch 267/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.938, Loss: 0.061\n", "Epoch 2 Batch 268/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.929, Loss: 0.079\n", "Epoch 2 Batch 269/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.913, Loss: 0.084\n", "Epoch 2 Batch 270/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.913, Loss: 0.083\n", "Epoch 2 Batch 271/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.915, Loss: 0.071\n", "Epoch 2 Batch 272/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.895, Loss: 0.099\n", "Epoch 2 Batch 273/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.902, Loss: 0.071\n", "Epoch 2 Batch 274/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.918, Loss: 0.078\n", "Epoch 2 Batch 275/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.913, Loss: 0.076\n", "Epoch 2 Batch 276/1077 - Train Accuracy: 0.880, Validation Accuracy: 0.916, Loss: 0.092\n", "Epoch 2 Batch 277/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.904, Loss: 0.059\n", "Epoch 2 Batch 278/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.903, Loss: 0.093\n", "Epoch 2 Batch 279/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.892, Loss: 0.076\n", "Epoch 2 Batch 280/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.895, Loss: 0.073\n", "Epoch 2 Batch 281/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.898, Loss: 0.085\n", "Epoch 2 Batch 282/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.912, Loss: 0.086\n", "Epoch 2 Batch 283/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.913, Loss: 0.080\n", "Epoch 2 Batch 284/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.912, Loss: 0.082\n", "Epoch 2 Batch 285/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.913, Loss: 0.076\n", "Epoch 2 Batch 286/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.902, Loss: 0.065\n", "Epoch 2 Batch 287/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.904, Loss: 0.074\n", "Epoch 2 Batch 288/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.913, Loss: 0.088\n", "Epoch 2 Batch 289/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.911, Loss: 0.074\n", "Epoch 2 Batch 290/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.904, Loss: 0.091\n", "Epoch 2 Batch 291/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.911, Loss: 0.088\n", "Epoch 2 Batch 292/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.912, Loss: 0.076\n", "Epoch 2 Batch 293/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.910, Loss: 0.080\n", "Epoch 2 Batch 294/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.909, Loss: 0.061\n", "Epoch 2 Batch 295/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.897, Loss: 0.077\n", "Epoch 2 Batch 296/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.912, Loss: 0.068\n", "Epoch 2 Batch 297/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.915, Loss: 0.072\n", "Epoch 2 Batch 298/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.904, Loss: 0.083\n", "Epoch 2 Batch 299/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.904, Loss: 0.080\n", "Epoch 2 Batch 300/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.898, Loss: 0.058\n", "Epoch 2 Batch 301/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.912, Loss: 0.066\n", "Epoch 2 Batch 302/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.909, Loss: 0.071\n", "Epoch 2 Batch 303/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.919, Loss: 0.072\n", "Epoch 2 Batch 304/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.906, Loss: 0.077\n", "Epoch 2 Batch 305/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.912, Loss: 0.069\n", "Epoch 2 Batch 306/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.911, Loss: 0.086\n", "Epoch 2 Batch 307/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.911, Loss: 0.071\n", "Epoch 2 Batch 308/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.903, Loss: 0.079\n", "Epoch 2 Batch 309/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.901, Loss: 0.053\n", "Epoch 2 Batch 310/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.903, Loss: 0.071\n", "Epoch 2 Batch 311/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.906, Loss: 0.062\n", "Epoch 2 Batch 312/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.907, Loss: 0.083\n", "Epoch 2 Batch 313/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.892, Loss: 0.058\n", "Epoch 2 Batch 314/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.898, Loss: 0.067\n", "Epoch 2 Batch 315/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.894, Loss: 0.068\n", "Epoch 2 Batch 316/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.893, Loss: 0.068\n", "Epoch 2 Batch 317/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.902, Loss: 0.094\n", "Epoch 2 Batch 318/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.892, Loss: 0.066\n", "Epoch 2 Batch 319/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.898, Loss: 0.082\n", "Epoch 2 Batch 320/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.883, Loss: 0.077\n", "Epoch 2 Batch 321/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.896, Loss: 0.070\n", "Epoch 2 Batch 322/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.914, Loss: 0.065\n", "Epoch 2 Batch 323/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.898, Loss: 0.068\n", "Epoch 2 Batch 324/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.891, Loss: 0.066\n", "Epoch 2 Batch 325/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.894, Loss: 0.069\n", "Epoch 2 Batch 326/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.912, Loss: 0.063\n", "Epoch 2 Batch 327/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.913, Loss: 0.074\n", "Epoch 2 Batch 328/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.906, Loss: 0.086\n", "Epoch 2 Batch 329/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.902, Loss: 0.073\n", "Epoch 2 Batch 330/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.899, Loss: 0.072\n", "Epoch 2 Batch 331/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.895, Loss: 0.073\n", "Epoch 2 Batch 332/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.894, Loss: 0.049\n", "Epoch 2 Batch 333/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.892, Loss: 0.057\n", "Epoch 2 Batch 334/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.898, Loss: 0.070\n", "Epoch 2 Batch 335/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.898, Loss: 0.070\n", "Epoch 2 Batch 336/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.902, Loss: 0.082\n", "Epoch 2 Batch 337/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.904, Loss: 0.073\n", "Epoch 2 Batch 338/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.912, Loss: 0.085\n", "Epoch 2 Batch 339/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.914, Loss: 0.068\n", "Epoch 2 Batch 340/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.915, Loss: 0.063\n", "Epoch 2 Batch 341/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.915, Loss: 0.079\n", "Epoch 2 Batch 342/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.910, Loss: 0.058\n", "Epoch 2 Batch 343/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.907, Loss: 0.073\n", "Epoch 2 Batch 344/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.908, Loss: 0.064\n", "Epoch 2 Batch 345/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.910, Loss: 0.059\n", "Epoch 2 Batch 346/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.912, Loss: 0.069\n", "Epoch 2 Batch 347/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.908, Loss: 0.058\n", "Epoch 2 Batch 348/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.922, Loss: 0.066\n", "Epoch 2 Batch 349/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.931, Loss: 0.066\n", "Epoch 2 Batch 350/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.931, Loss: 0.067\n", "Epoch 2 Batch 351/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.913, Loss: 0.074\n", "Epoch 2 Batch 352/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.910, Loss: 0.056\n", "Epoch 2 Batch 353/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.912, Loss: 0.076\n", "Epoch 2 Batch 354/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.912, Loss: 0.075\n", "Epoch 2 Batch 355/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.912, Loss: 0.070\n", "Epoch 2 Batch 356/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.902, Loss: 0.069\n", "Epoch 2 Batch 357/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.902, Loss: 0.062\n", "Epoch 2 Batch 358/1077 - Train Accuracy: 0.876, Validation Accuracy: 0.896, Loss: 0.073\n", "Epoch 2 Batch 359/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.892, Loss: 0.069\n", "Epoch 2 Batch 360/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.898, Loss: 0.052\n", "Epoch 2 Batch 361/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.898, Loss: 0.068\n", "Epoch 2 Batch 362/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.893, Loss: 0.071\n", "Epoch 2 Batch 363/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.882, Loss: 0.070\n", "Epoch 2 Batch 364/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.897, Loss: 0.080\n", "Epoch 2 Batch 365/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.905, Loss: 0.058\n", "Epoch 2 Batch 366/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.896, Loss: 0.063\n", "Epoch 2 Batch 367/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.895, Loss: 0.052\n", "Epoch 2 Batch 368/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.897, Loss: 0.064\n", "Epoch 2 Batch 369/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.902, Loss: 0.063\n", "Epoch 2 Batch 370/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.905, Loss: 0.068\n", "Epoch 2 Batch 371/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.904, Loss: 0.050\n", "Epoch 2 Batch 372/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.910, Loss: 0.055\n", "Epoch 2 Batch 373/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.901, Loss: 0.053\n", "Epoch 2 Batch 374/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.896, Loss: 0.063\n", "Epoch 2 Batch 375/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.894, Loss: 0.064\n", "Epoch 2 Batch 376/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.900, Loss: 0.066\n", "Epoch 2 Batch 377/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.905, Loss: 0.062\n", "Epoch 2 Batch 378/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.896, Loss: 0.052\n", "Epoch 2 Batch 379/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.899, Loss: 0.082\n", "Epoch 2 Batch 380/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.896, Loss: 0.062\n", "Epoch 2 Batch 381/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.899, Loss: 0.076\n", "Epoch 2 Batch 382/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.903, Loss: 0.094\n", "Epoch 2 Batch 383/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.911, Loss: 0.066\n", "Epoch 2 Batch 384/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.905, Loss: 0.061\n", "Epoch 2 Batch 385/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.915, Loss: 0.059\n", "Epoch 2 Batch 386/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.910, Loss: 0.072\n", "Epoch 2 Batch 387/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.906, Loss: 0.071\n", "Epoch 2 Batch 388/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.901, Loss: 0.064\n", "Epoch 2 Batch 389/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.898, Loss: 0.067\n", "Epoch 2 Batch 390/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.906, Loss: 0.077\n", "Epoch 2 Batch 391/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.903, Loss: 0.068\n", "Epoch 2 Batch 392/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.906, Loss: 0.076\n", "Epoch 2 Batch 393/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.908, Loss: 0.054\n", "Epoch 2 Batch 394/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.908, Loss: 0.067\n", "Epoch 2 Batch 395/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.916, Loss: 0.069\n", "Epoch 2 Batch 396/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.915, Loss: 0.066\n", "Epoch 2 Batch 397/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.914, Loss: 0.063\n", "Epoch 2 Batch 398/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.910, Loss: 0.069\n", "Epoch 2 Batch 399/1077 - Train Accuracy: 0.897, Validation Accuracy: 0.914, Loss: 0.063\n", "Epoch 2 Batch 400/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.904, Loss: 0.073\n", "Epoch 2 Batch 401/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.900, Loss: 0.067\n", "Epoch 2 Batch 402/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.894, Loss: 0.052\n", "Epoch 2 Batch 403/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.901, Loss: 0.085\n", "Epoch 2 Batch 404/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.903, Loss: 0.064\n", "Epoch 2 Batch 405/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.901, Loss: 0.062\n", "Epoch 2 Batch 406/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.898, Loss: 0.059\n", "Epoch 2 Batch 407/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.892, Loss: 0.066\n", "Epoch 2 Batch 408/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.888, Loss: 0.073\n", "Epoch 2 Batch 409/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.888, Loss: 0.073\n", "Epoch 2 Batch 410/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.896, Loss: 0.069\n", "Epoch 2 Batch 411/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.894, Loss: 0.075\n", "Epoch 2 Batch 412/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.901, Loss: 0.050\n", "Epoch 2 Batch 413/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.902, Loss: 0.057\n", "Epoch 2 Batch 414/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.906, Loss: 0.074\n", "Epoch 2 Batch 415/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.902, Loss: 0.067\n", "Epoch 2 Batch 416/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.906, Loss: 0.062\n", "Epoch 2 Batch 417/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.916, Loss: 0.089\n", "Epoch 2 Batch 418/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.907, Loss: 0.059\n", "Epoch 2 Batch 419/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.916, Loss: 0.060\n", "Epoch 2 Batch 420/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.915, Loss: 0.053\n", "Epoch 2 Batch 421/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.903, Loss: 0.079\n", "Epoch 2 Batch 422/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.906, Loss: 0.057\n", "Epoch 2 Batch 423/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.893, Loss: 0.081\n", "Epoch 2 Batch 424/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.906, Loss: 0.071\n", "Epoch 2 Batch 425/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.923, Loss: 0.059\n", "Epoch 2 Batch 426/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.919, Loss: 0.072\n", "Epoch 2 Batch 427/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.918, Loss: 0.060\n", "Epoch 2 Batch 428/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.923, Loss: 0.055\n", "Epoch 2 Batch 429/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.919, Loss: 0.058\n", "Epoch 2 Batch 430/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.917, Loss: 0.060\n", "Epoch 2 Batch 431/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.922, Loss: 0.053\n", "Epoch 2 Batch 432/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.925, Loss: 0.072\n", "Epoch 2 Batch 433/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.918, Loss: 0.072\n", "Epoch 2 Batch 434/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.918, Loss: 0.058\n", "Epoch 2 Batch 435/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.915, Loss: 0.074\n", "Epoch 2 Batch 436/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.906, Loss: 0.070\n", "Epoch 2 Batch 437/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.890, Loss: 0.053\n", "Epoch 2 Batch 438/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.882, Loss: 0.062\n", "Epoch 2 Batch 439/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.896, Loss: 0.087\n", "Epoch 2 Batch 440/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.896, Loss: 0.073\n", "Epoch 2 Batch 441/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.881, Loss: 0.060\n", "Epoch 2 Batch 442/1077 - Train Accuracy: 0.895, Validation Accuracy: 0.888, Loss: 0.065\n", "Epoch 2 Batch 443/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.890, Loss: 0.057\n", "Epoch 2 Batch 444/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.886, Loss: 0.059\n", "Epoch 2 Batch 445/1077 - Train Accuracy: 0.894, Validation Accuracy: 0.897, Loss: 0.071\n", "Epoch 2 Batch 446/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.896, Loss: 0.055\n", "Epoch 2 Batch 447/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.896, Loss: 0.066\n", "Epoch 2 Batch 448/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.901, Loss: 0.082\n", "Epoch 2 Batch 449/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.908, Loss: 0.065\n", "Epoch 2 Batch 450/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.906, Loss: 0.073\n", "Epoch 2 Batch 451/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.911, Loss: 0.063\n", "Epoch 2 Batch 452/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.912, Loss: 0.059\n", "Epoch 2 Batch 453/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.912, Loss: 0.058\n", "Epoch 2 Batch 454/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.899, Loss: 0.078\n", "Epoch 2 Batch 455/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.912, Loss: 0.062\n", "Epoch 2 Batch 456/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.918, Loss: 0.065\n", "Epoch 2 Batch 457/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.916, Loss: 0.055\n", "Epoch 2 Batch 458/1077 - Train Accuracy: 0.877, Validation Accuracy: 0.908, Loss: 0.075\n", "Epoch 2 Batch 459/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.912, Loss: 0.060\n", "Epoch 2 Batch 460/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.913, Loss: 0.075\n", "Epoch 2 Batch 461/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.913, Loss: 0.053\n", "Epoch 2 Batch 462/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.913, Loss: 0.070\n", "Epoch 2 Batch 463/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.914, Loss: 0.063\n", "Epoch 2 Batch 464/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.904, Loss: 0.064\n", "Epoch 2 Batch 465/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.909, Loss: 0.075\n", "Epoch 2 Batch 466/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.910, Loss: 0.059\n", "Epoch 2 Batch 467/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.897, Loss: 0.066\n", "Epoch 2 Batch 468/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.895, Loss: 0.068\n", "Epoch 2 Batch 469/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.895, Loss: 0.066\n", "Epoch 2 Batch 470/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.899, Loss: 0.061\n", "Epoch 2 Batch 471/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.897, Loss: 0.059\n", "Epoch 2 Batch 472/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.901, Loss: 0.056\n", "Epoch 2 Batch 473/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.894, Loss: 0.062\n", "Epoch 2 Batch 474/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.889, Loss: 0.060\n", "Epoch 2 Batch 475/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.900, Loss: 0.055\n", "Epoch 2 Batch 476/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.899, Loss: 0.055\n", "Epoch 2 Batch 477/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.901, Loss: 0.066\n", "Epoch 2 Batch 478/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.911, Loss: 0.062\n", "Epoch 2 Batch 479/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.914, Loss: 0.070\n", "Epoch 2 Batch 480/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.928, Loss: 0.059\n", "Epoch 2 Batch 481/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.927, Loss: 0.059\n", "Epoch 2 Batch 482/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.910, Loss: 0.075\n", "Epoch 2 Batch 483/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.905, Loss: 0.062\n", "Epoch 2 Batch 484/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.904, Loss: 0.066\n", "Epoch 2 Batch 485/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.915, Loss: 0.075\n", "Epoch 2 Batch 486/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.915, Loss: 0.054\n", "Epoch 2 Batch 487/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.919, Loss: 0.057\n", "Epoch 2 Batch 488/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.917, Loss: 0.058\n", "Epoch 2 Batch 489/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.911, Loss: 0.059\n", "Epoch 2 Batch 490/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.906, Loss: 0.063\n", "Epoch 2 Batch 491/1077 - Train Accuracy: 0.871, Validation Accuracy: 0.890, Loss: 0.072\n", "Epoch 2 Batch 492/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.905, Loss: 0.076\n", "Epoch 2 Batch 493/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.914, Loss: 0.051\n", "Epoch 2 Batch 494/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.914, Loss: 0.059\n", "Epoch 2 Batch 495/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.898, Loss: 0.061\n", "Epoch 2 Batch 496/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.899, Loss: 0.060\n", "Epoch 2 Batch 497/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.898, Loss: 0.064\n", "Epoch 2 Batch 498/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.907, Loss: 0.058\n", "Epoch 2 Batch 499/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.906, Loss: 0.052\n", "Epoch 2 Batch 500/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.902, Loss: 0.052\n", "Epoch 2 Batch 501/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.908, Loss: 0.048\n", "Epoch 2 Batch 502/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.912, Loss: 0.068\n", "Epoch 2 Batch 503/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.912, Loss: 0.059\n", "Epoch 2 Batch 504/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.915, Loss: 0.057\n", "Epoch 2 Batch 505/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.912, Loss: 0.049\n", "Epoch 2 Batch 506/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.906, Loss: 0.065\n", "Epoch 2 Batch 507/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.911, Loss: 0.065\n", "Epoch 2 Batch 508/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.907, Loss: 0.054\n", "Epoch 2 Batch 509/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.912, Loss: 0.067\n", "Epoch 2 Batch 510/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.921, Loss: 0.058\n", "Epoch 2 Batch 511/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.917, Loss: 0.058\n", "Epoch 2 Batch 512/1077 - Train Accuracy: 0.978, Validation Accuracy: 0.913, Loss: 0.047\n", "Epoch 2 Batch 513/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.915, Loss: 0.069\n", "Epoch 2 Batch 514/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.906, Loss: 0.064\n", "Epoch 2 Batch 515/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.906, Loss: 0.072\n", "Epoch 2 Batch 516/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.911, Loss: 0.059\n", "Epoch 2 Batch 517/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.922, Loss: 0.067\n", "Epoch 2 Batch 518/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.923, Loss: 0.056\n", "Epoch 2 Batch 519/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.922, Loss: 0.066\n", "Epoch 2 Batch 520/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.915, Loss: 0.054\n", "Epoch 2 Batch 521/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.919, Loss: 0.056\n", "Epoch 2 Batch 522/1077 - Train Accuracy: 0.853, Validation Accuracy: 0.924, Loss: 0.078\n", "Epoch 2 Batch 523/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.925, Loss: 0.066\n", "Epoch 2 Batch 524/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.924, Loss: 0.058\n", "Epoch 2 Batch 525/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.911, Loss: 0.060\n", "Epoch 2 Batch 526/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.901, Loss: 0.057\n", "Epoch 2 Batch 527/1077 - Train Accuracy: 0.890, Validation Accuracy: 0.901, Loss: 0.067\n", "Epoch 2 Batch 528/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.897, Loss: 0.064\n", "Epoch 2 Batch 529/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.895, Loss: 0.058\n", "Epoch 2 Batch 530/1077 - Train Accuracy: 0.891, Validation Accuracy: 0.893, Loss: 0.074\n", "Epoch 2 Batch 531/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.901, Loss: 0.055\n", "Epoch 2 Batch 532/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.908, Loss: 0.083\n", "Epoch 2 Batch 533/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.902, Loss: 0.062\n", "Epoch 2 Batch 534/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.902, Loss: 0.070\n", "Epoch 2 Batch 535/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.902, Loss: 0.053\n", "Epoch 2 Batch 536/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.908, Loss: 0.067\n", "Epoch 2 Batch 537/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.915, Loss: 0.054\n", "Epoch 2 Batch 538/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.924, Loss: 0.049\n", "Epoch 2 Batch 539/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.909, Loss: 0.075\n", "Epoch 2 Batch 540/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.910, Loss: 0.055\n", "Epoch 2 Batch 541/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.922, Loss: 0.052\n", "Epoch 2 Batch 542/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.906, Loss: 0.061\n", "Epoch 2 Batch 543/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.899, Loss: 0.055\n", "Epoch 2 Batch 544/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.895, Loss: 0.045\n", "Epoch 2 Batch 545/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.899, Loss: 0.073\n", "Epoch 2 Batch 546/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.901, Loss: 0.068\n", "Epoch 2 Batch 547/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.903, Loss: 0.053\n", "Epoch 2 Batch 548/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.898, Loss: 0.080\n", "Epoch 2 Batch 549/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.892, Loss: 0.069\n", "Epoch 2 Batch 550/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.898, Loss: 0.055\n", "Epoch 2 Batch 551/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.892, Loss: 0.066\n", "Epoch 2 Batch 552/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.898, Loss: 0.067\n", "Epoch 2 Batch 553/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.903, Loss: 0.064\n", "Epoch 2 Batch 554/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.900, Loss: 0.057\n", "Epoch 2 Batch 555/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.903, Loss: 0.054\n", "Epoch 2 Batch 556/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.907, Loss: 0.054\n", "Epoch 2 Batch 557/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.903, Loss: 0.055\n", "Epoch 2 Batch 558/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.900, Loss: 0.052\n", "Epoch 2 Batch 559/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.894, Loss: 0.059\n", "Epoch 2 Batch 560/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.898, Loss: 0.055\n", "Epoch 2 Batch 561/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.898, Loss: 0.060\n", "Epoch 2 Batch 562/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.885, Loss: 0.054\n", "Epoch 2 Batch 563/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.882, Loss: 0.063\n", "Epoch 2 Batch 564/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.888, Loss: 0.066\n", "Epoch 2 Batch 565/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.903, Loss: 0.069\n", "Epoch 2 Batch 566/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.893, Loss: 0.070\n", "Epoch 2 Batch 567/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.889, Loss: 0.059\n", "Epoch 2 Batch 568/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.899, Loss: 0.065\n", "Epoch 2 Batch 569/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.903, Loss: 0.063\n", "Epoch 2 Batch 570/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.903, Loss: 0.067\n", "Epoch 2 Batch 571/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.902, Loss: 0.045\n", "Epoch 2 Batch 572/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.902, Loss: 0.053\n", "Epoch 2 Batch 573/1077 - Train Accuracy: 0.889, Validation Accuracy: 0.909, Loss: 0.071\n", "Epoch 2 Batch 574/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.904, Loss: 0.060\n", "Epoch 2 Batch 575/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.908, Loss: 0.046\n", "Epoch 2 Batch 576/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.915, Loss: 0.052\n", "Epoch 2 Batch 577/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.906, Loss: 0.060\n", "Epoch 2 Batch 578/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.905, Loss: 0.054\n", "Epoch 2 Batch 579/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.907, Loss: 0.049\n", "Epoch 2 Batch 580/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.916, Loss: 0.049\n", "Epoch 2 Batch 581/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.916, Loss: 0.041\n", "Epoch 2 Batch 582/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.912, Loss: 0.054\n", "Epoch 2 Batch 583/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.915, Loss: 0.062\n", "Epoch 2 Batch 584/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.915, Loss: 0.054\n", "Epoch 2 Batch 585/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.906, Loss: 0.045\n", "Epoch 2 Batch 586/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.910, Loss: 0.047\n", "Epoch 2 Batch 587/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.910, Loss: 0.068\n", "Epoch 2 Batch 588/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.911, Loss: 0.052\n", "Epoch 2 Batch 589/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.911, Loss: 0.052\n", "Epoch 2 Batch 590/1077 - Train Accuracy: 0.898, Validation Accuracy: 0.910, Loss: 0.065\n", "Epoch 2 Batch 591/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.906, Loss: 0.050\n", "Epoch 2 Batch 592/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.910, Loss: 0.058\n", "Epoch 2 Batch 593/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.906, Loss: 0.077\n", "Epoch 2 Batch 594/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.913, Loss: 0.067\n", "Epoch 2 Batch 595/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.915, Loss: 0.050\n", "Epoch 2 Batch 596/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.920, Loss: 0.062\n", "Epoch 2 Batch 597/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.918, Loss: 0.053\n", "Epoch 2 Batch 598/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.913, Loss: 0.062\n", "Epoch 2 Batch 599/1077 - Train Accuracy: 0.888, Validation Accuracy: 0.917, Loss: 0.082\n", "Epoch 2 Batch 600/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.917, Loss: 0.063\n", "Epoch 2 Batch 601/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.924, Loss: 0.061\n", "Epoch 2 Batch 602/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.936, Loss: 0.055\n", "Epoch 2 Batch 603/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.922, Loss: 0.053\n", "Epoch 2 Batch 604/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.928, Loss: 0.068\n", "Epoch 2 Batch 605/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.933, Loss: 0.074\n", "Epoch 2 Batch 606/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.923, Loss: 0.050\n", "Epoch 2 Batch 607/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.917, Loss: 0.066\n", "Epoch 2 Batch 608/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.919, Loss: 0.061\n", "Epoch 2 Batch 609/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.919, Loss: 0.056\n", "Epoch 2 Batch 610/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.925, Loss: 0.058\n", "Epoch 2 Batch 611/1077 - Train Accuracy: 0.900, Validation Accuracy: 0.925, Loss: 0.052\n", "Epoch 2 Batch 612/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.931, Loss: 0.049\n", "Epoch 2 Batch 613/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.930, Loss: 0.070\n", "Epoch 2 Batch 614/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.916, Loss: 0.059\n", "Epoch 2 Batch 615/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.925, Loss: 0.054\n", "Epoch 2 Batch 616/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.925, Loss: 0.059\n", "Epoch 2 Batch 617/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.928, Loss: 0.051\n", "Epoch 2 Batch 618/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.925, Loss: 0.057\n", "Epoch 2 Batch 619/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.923, Loss: 0.049\n", "Epoch 2 Batch 620/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.928, Loss: 0.054\n", "Epoch 2 Batch 621/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.940, Loss: 0.056\n", "Epoch 2 Batch 622/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.930, Loss: 0.067\n", "Epoch 2 Batch 623/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.930, Loss: 0.055\n", "Epoch 2 Batch 624/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.922, Loss: 0.064\n", "Epoch 2 Batch 625/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.931, Loss: 0.045\n", "Epoch 2 Batch 626/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.922, Loss: 0.053\n", "Epoch 2 Batch 627/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.936, Loss: 0.050\n", "Epoch 2 Batch 628/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.936, Loss: 0.060\n", "Epoch 2 Batch 629/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.942, Loss: 0.062\n", "Epoch 2 Batch 630/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.944, Loss: 0.057\n", "Epoch 2 Batch 631/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.941, Loss: 0.050\n", "Epoch 2 Batch 632/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.936, Loss: 0.051\n", "Epoch 2 Batch 633/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.935, Loss: 0.054\n", "Epoch 2 Batch 634/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.923, Loss: 0.041\n", "Epoch 2 Batch 635/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.915, Loss: 0.062\n", "Epoch 2 Batch 636/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.925, Loss: 0.046\n", "Epoch 2 Batch 637/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.920, Loss: 0.049\n", "Epoch 2 Batch 638/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.925, Loss: 0.051\n", "Epoch 2 Batch 639/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.921, Loss: 0.065\n", "Epoch 2 Batch 640/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.911, Loss: 0.049\n", "Epoch 2 Batch 641/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.911, Loss: 0.046\n", "Epoch 2 Batch 642/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.919, Loss: 0.056\n", "Epoch 2 Batch 643/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.918, Loss: 0.052\n", "Epoch 2 Batch 644/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.919, Loss: 0.054\n", "Epoch 2 Batch 645/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.929, Loss: 0.064\n", "Epoch 2 Batch 646/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.929, Loss: 0.054\n", "Epoch 2 Batch 647/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.916, Loss: 0.065\n", "Epoch 2 Batch 648/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.925, Loss: 0.045\n", "Epoch 2 Batch 649/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.922, Loss: 0.054\n", "Epoch 2 Batch 650/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.911, Loss: 0.054\n", "Epoch 2 Batch 651/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.919, Loss: 0.050\n", "Epoch 2 Batch 652/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.909, Loss: 0.054\n", "Epoch 2 Batch 653/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.919, Loss: 0.056\n", "Epoch 2 Batch 654/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.910, Loss: 0.050\n", "Epoch 2 Batch 655/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.916, Loss: 0.067\n", "Epoch 2 Batch 656/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.909, Loss: 0.058\n", "Epoch 2 Batch 657/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.920, Loss: 0.051\n", "Epoch 2 Batch 658/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.921, Loss: 0.053\n", "Epoch 2 Batch 659/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.923, Loss: 0.061\n", "Epoch 2 Batch 660/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.922, Loss: 0.050\n", "Epoch 2 Batch 661/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.927, Loss: 0.050\n", "Epoch 2 Batch 662/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.931, Loss: 0.054\n", "Epoch 2 Batch 663/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.921, Loss: 0.046\n", "Epoch 2 Batch 664/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.914, Loss: 0.056\n", "Epoch 2 Batch 665/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.923, Loss: 0.046\n", "Epoch 2 Batch 666/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.922, Loss: 0.059\n", "Epoch 2 Batch 667/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.925, Loss: 0.062\n", "Epoch 2 Batch 668/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.929, Loss: 0.054\n", "Epoch 2 Batch 669/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.927, Loss: 0.052\n", "Epoch 2 Batch 670/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.923, Loss: 0.051\n", "Epoch 2 Batch 671/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.913, Loss: 0.061\n", "Epoch 2 Batch 672/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.929, Loss: 0.044\n", "Epoch 2 Batch 673/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.941, Loss: 0.052\n", "Epoch 2 Batch 674/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.928, Loss: 0.049\n", "Epoch 2 Batch 675/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.927, Loss: 0.060\n", "Epoch 2 Batch 676/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.928, Loss: 0.048\n", "Epoch 2 Batch 677/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.915, Loss: 0.066\n", "Epoch 2 Batch 678/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.926, Loss: 0.046\n", "Epoch 2 Batch 679/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.913, Loss: 0.051\n", "Epoch 2 Batch 680/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.911, Loss: 0.052\n", "Epoch 2 Batch 681/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.901, Loss: 0.057\n", "Epoch 2 Batch 682/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.904, Loss: 0.049\n", "Epoch 2 Batch 683/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.895, Loss: 0.047\n", "Epoch 2 Batch 684/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.903, Loss: 0.052\n", "Epoch 2 Batch 685/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.903, Loss: 0.063\n", "Epoch 2 Batch 686/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.914, Loss: 0.046\n", "Epoch 2 Batch 687/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.918, Loss: 0.064\n", "Epoch 2 Batch 688/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.915, Loss: 0.056\n", "Epoch 2 Batch 689/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.919, Loss: 0.040\n", "Epoch 2 Batch 690/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.917, Loss: 0.053\n", "Epoch 2 Batch 691/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.921, Loss: 0.071\n", "Epoch 2 Batch 692/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.935, Loss: 0.047\n", "Epoch 2 Batch 693/1077 - Train Accuracy: 0.878, Validation Accuracy: 0.930, Loss: 0.085\n", "Epoch 2 Batch 694/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.914, Loss: 0.061\n", "Epoch 2 Batch 695/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.922, Loss: 0.050\n", "Epoch 2 Batch 696/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.936, Loss: 0.063\n", "Epoch 2 Batch 697/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.932, Loss: 0.046\n", "Epoch 2 Batch 698/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.931, Loss: 0.047\n", "Epoch 2 Batch 699/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.926, Loss: 0.047\n", "Epoch 2 Batch 700/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.927, Loss: 0.047\n", "Epoch 2 Batch 701/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.922, Loss: 0.060\n", "Epoch 2 Batch 702/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.925, Loss: 0.062\n", "Epoch 2 Batch 703/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.915, Loss: 0.052\n", "Epoch 2 Batch 704/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.916, Loss: 0.064\n", "Epoch 2 Batch 705/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.934, Loss: 0.067\n", "Epoch 2 Batch 706/1077 - Train Accuracy: 0.887, Validation Accuracy: 0.930, Loss: 0.094\n", "Epoch 2 Batch 707/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.926, Loss: 0.053\n", "Epoch 2 Batch 708/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.931, Loss: 0.059\n", "Epoch 2 Batch 709/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.931, Loss: 0.057\n", "Epoch 2 Batch 710/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.919, Loss: 0.046\n", "Epoch 2 Batch 711/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.920, Loss: 0.066\n", "Epoch 2 Batch 712/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.928, Loss: 0.050\n", "Epoch 2 Batch 713/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.923, Loss: 0.048\n", "Epoch 2 Batch 714/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.906, Loss: 0.067\n", "Epoch 2 Batch 715/1077 - Train Accuracy: 0.899, Validation Accuracy: 0.878, Loss: 0.072\n", "Epoch 2 Batch 716/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.898, Loss: 0.057\n", "Epoch 2 Batch 717/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.924, Loss: 0.061\n", "Epoch 2 Batch 718/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.934, Loss: 0.061\n", "Epoch 2 Batch 719/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.917, Loss: 0.063\n", "Epoch 2 Batch 720/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.927, Loss: 0.074\n", "Epoch 2 Batch 721/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.931, Loss: 0.064\n", "Epoch 2 Batch 722/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.934, Loss: 0.054\n", "Epoch 2 Batch 723/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.937, Loss: 0.066\n", "Epoch 2 Batch 724/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.928, Loss: 0.062\n", "Epoch 2 Batch 725/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.933, Loss: 0.049\n", "Epoch 2 Batch 726/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.934, Loss: 0.056\n", "Epoch 2 Batch 727/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.932, Loss: 0.052\n", "Epoch 2 Batch 728/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.929, Loss: 0.064\n", "Epoch 2 Batch 729/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.920, Loss: 0.070\n", "Epoch 2 Batch 730/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.916, Loss: 0.064\n", "Epoch 2 Batch 731/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.913, Loss: 0.047\n", "Epoch 2 Batch 732/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.903, Loss: 0.065\n", "Epoch 2 Batch 733/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.911, Loss: 0.057\n", "Epoch 2 Batch 734/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.913, Loss: 0.060\n", "Epoch 2 Batch 735/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.917, Loss: 0.053\n", "Epoch 2 Batch 736/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.921, Loss: 0.047\n", "Epoch 2 Batch 737/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.918, Loss: 0.057\n", "Epoch 2 Batch 738/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.935, Loss: 0.040\n", "Epoch 2 Batch 739/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.935, Loss: 0.048\n", "Epoch 2 Batch 740/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.942, Loss: 0.046\n", "Epoch 2 Batch 741/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.939, Loss: 0.058\n", "Epoch 2 Batch 742/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.936, Loss: 0.048\n", "Epoch 2 Batch 743/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.936, Loss: 0.061\n", "Epoch 2 Batch 744/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.931, Loss: 0.053\n", "Epoch 2 Batch 745/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.927, Loss: 0.057\n", "Epoch 2 Batch 746/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.932, Loss: 0.049\n", "Epoch 2 Batch 747/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.908, Loss: 0.044\n", "Epoch 2 Batch 748/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.920, Loss: 0.053\n", "Epoch 2 Batch 749/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.919, Loss: 0.050\n", "Epoch 2 Batch 750/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.928, Loss: 0.047\n", "Epoch 2 Batch 751/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.923, Loss: 0.057\n", "Epoch 2 Batch 752/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.918, Loss: 0.049\n", "Epoch 2 Batch 753/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.914, Loss: 0.050\n", "Epoch 2 Batch 754/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.913, Loss: 0.061\n", "Epoch 2 Batch 755/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.914, Loss: 0.064\n", "Epoch 2 Batch 756/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.917, Loss: 0.050\n", "Epoch 2 Batch 757/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.917, Loss: 0.050\n", "Epoch 2 Batch 758/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.921, Loss: 0.049\n", "Epoch 2 Batch 759/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.925, Loss: 0.046\n", "Epoch 2 Batch 760/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.925, Loss: 0.055\n", "Epoch 2 Batch 761/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.924, Loss: 0.057\n", "Epoch 2 Batch 762/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.939, Loss: 0.050\n", "Epoch 2 Batch 763/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.926, Loss: 0.047\n", "Epoch 2 Batch 764/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.913, Loss: 0.049\n", "Epoch 2 Batch 765/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.922, Loss: 0.055\n", "Epoch 2 Batch 766/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.925, Loss: 0.052\n", "Epoch 2 Batch 767/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.940, Loss: 0.058\n", "Epoch 2 Batch 768/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.936, Loss: 0.053\n", "Epoch 2 Batch 769/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.922, Loss: 0.058\n", "Epoch 2 Batch 770/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.926, Loss: 0.049\n", "Epoch 2 Batch 771/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.928, Loss: 0.056\n", "Epoch 2 Batch 772/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.923, Loss: 0.054\n", "Epoch 2 Batch 773/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.924, Loss: 0.052\n", "Epoch 2 Batch 774/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.933, Loss: 0.061\n", "Epoch 2 Batch 775/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.938, Loss: 0.053\n", "Epoch 2 Batch 776/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.934, Loss: 0.041\n", "Epoch 2 Batch 777/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.934, Loss: 0.050\n", "Epoch 2 Batch 778/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.942, Loss: 0.048\n", "Epoch 2 Batch 779/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.940, Loss: 0.054\n", "Epoch 2 Batch 780/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.935, Loss: 0.070\n", "Epoch 2 Batch 781/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.936, Loss: 0.044\n", "Epoch 2 Batch 782/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.929, Loss: 0.045\n", "Epoch 2 Batch 783/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.929, Loss: 0.059\n", "Epoch 2 Batch 784/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.938, Loss: 0.040\n", "Epoch 2 Batch 785/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.936, Loss: 0.047\n", "Epoch 2 Batch 786/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.936, Loss: 0.057\n", "Epoch 2 Batch 787/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.932, Loss: 0.059\n", "Epoch 2 Batch 788/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.931, Loss: 0.048\n", "Epoch 2 Batch 789/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.937, Loss: 0.054\n", "Epoch 2 Batch 790/1077 - Train Accuracy: 0.881, Validation Accuracy: 0.932, Loss: 0.063\n", "Epoch 2 Batch 791/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.932, Loss: 0.055\n", "Epoch 2 Batch 792/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.929, Loss: 0.056\n", "Epoch 2 Batch 793/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.924, Loss: 0.049\n", "Epoch 2 Batch 794/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.928, Loss: 0.043\n", "Epoch 2 Batch 795/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.944, Loss: 0.058\n", "Epoch 2 Batch 796/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.940, Loss: 0.057\n", "Epoch 2 Batch 797/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.936, Loss: 0.053\n", "Epoch 2 Batch 798/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.946, Loss: 0.058\n", "Epoch 2 Batch 799/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.946, Loss: 0.064\n", "Epoch 2 Batch 800/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.937, Loss: 0.056\n", "Epoch 2 Batch 801/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.936, Loss: 0.057\n", "Epoch 2 Batch 802/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.925, Loss: 0.048\n", "Epoch 2 Batch 803/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.925, Loss: 0.056\n", "Epoch 2 Batch 804/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.917, Loss: 0.039\n", "Epoch 2 Batch 805/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.916, Loss: 0.052\n", "Epoch 2 Batch 806/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.921, Loss: 0.046\n", "Epoch 2 Batch 807/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.927, Loss: 0.045\n", "Epoch 2 Batch 808/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.912, Loss: 0.072\n", "Epoch 2 Batch 809/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.918, Loss: 0.076\n", "Epoch 2 Batch 810/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.926, Loss: 0.043\n", "Epoch 2 Batch 811/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.925, Loss: 0.051\n", "Epoch 2 Batch 812/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.936, Loss: 0.052\n", "Epoch 2 Batch 813/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.936, Loss: 0.051\n", "Epoch 2 Batch 814/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.934, Loss: 0.060\n", "Epoch 2 Batch 815/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.934, Loss: 0.044\n", "Epoch 2 Batch 816/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.935, Loss: 0.062\n", "Epoch 2 Batch 817/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.947, Loss: 0.053\n", "Epoch 2 Batch 818/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.949, Loss: 0.045\n", "Epoch 2 Batch 819/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.944, Loss: 0.056\n", "Epoch 2 Batch 820/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.944, Loss: 0.055\n", "Epoch 2 Batch 821/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.949, Loss: 0.050\n", "Epoch 2 Batch 822/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.950, Loss: 0.049\n", "Epoch 2 Batch 823/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.949, Loss: 0.055\n", "Epoch 2 Batch 824/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.931, Loss: 0.055\n", "Epoch 2 Batch 825/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.908, Loss: 0.040\n", "Epoch 2 Batch 826/1077 - Train Accuracy: 0.896, Validation Accuracy: 0.919, Loss: 0.054\n", "Epoch 2 Batch 827/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.918, Loss: 0.049\n", "Epoch 2 Batch 828/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.924, Loss: 0.043\n", "Epoch 2 Batch 829/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.929, Loss: 0.063\n", "Epoch 2 Batch 830/1077 - Train Accuracy: 0.886, Validation Accuracy: 0.930, Loss: 0.059\n", "Epoch 2 Batch 831/1077 - Train Accuracy: 0.884, Validation Accuracy: 0.931, Loss: 0.053\n", "Epoch 2 Batch 832/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.931, Loss: 0.048\n", "Epoch 2 Batch 833/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.926, Loss: 0.053\n", "Epoch 2 Batch 834/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.920, Loss: 0.054\n", "Epoch 2 Batch 835/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.932, Loss: 0.052\n", "Epoch 2 Batch 836/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.937, Loss: 0.053\n", "Epoch 2 Batch 837/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.946, Loss: 0.060\n", "Epoch 2 Batch 838/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.930, Loss: 0.053\n", "Epoch 2 Batch 839/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.928, Loss: 0.035\n", "Epoch 2 Batch 840/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.937, Loss: 0.046\n", "Epoch 2 Batch 841/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.908, Loss: 0.055\n", "Epoch 2 Batch 842/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.913, Loss: 0.047\n", "Epoch 2 Batch 843/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.921, Loss: 0.042\n", "Epoch 2 Batch 844/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.926, Loss: 0.048\n", "Epoch 2 Batch 845/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.936, Loss: 0.040\n", "Epoch 2 Batch 846/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.937, Loss: 0.059\n", "Epoch 2 Batch 847/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.941, Loss: 0.054\n", "Epoch 2 Batch 848/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.940, Loss: 0.043\n", "Epoch 2 Batch 849/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.944, Loss: 0.042\n", "Epoch 2 Batch 850/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.946, Loss: 0.074\n", "Epoch 2 Batch 851/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.933, Loss: 0.066\n", "Epoch 2 Batch 852/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.938, Loss: 0.066\n", "Epoch 2 Batch 853/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.938, Loss: 0.055\n", "Epoch 2 Batch 854/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.942, Loss: 0.058\n", "Epoch 2 Batch 855/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.941, Loss: 0.051\n", "Epoch 2 Batch 856/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.936, Loss: 0.052\n", "Epoch 2 Batch 857/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.936, Loss: 0.048\n", "Epoch 2 Batch 858/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.936, Loss: 0.039\n", "Epoch 2 Batch 859/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.938, Loss: 0.057\n", "Epoch 2 Batch 860/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.931, Loss: 0.055\n", "Epoch 2 Batch 861/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.942, Loss: 0.048\n", "Epoch 2 Batch 862/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.931, Loss: 0.043\n", "Epoch 2 Batch 863/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.922, Loss: 0.043\n", "Epoch 2 Batch 864/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.931, Loss: 0.047\n", "Epoch 2 Batch 865/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.930, Loss: 0.050\n", "Epoch 2 Batch 866/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.930, Loss: 0.048\n", "Epoch 2 Batch 867/1077 - Train Accuracy: 0.893, Validation Accuracy: 0.925, Loss: 0.096\n", "Epoch 2 Batch 868/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.922, Loss: 0.048\n", "Epoch 2 Batch 869/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.936, Loss: 0.049\n", "Epoch 2 Batch 870/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.942, Loss: 0.050\n", "Epoch 2 Batch 871/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.942, Loss: 0.038\n", "Epoch 2 Batch 872/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.938, Loss: 0.054\n", "Epoch 2 Batch 873/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.941, Loss: 0.056\n", "Epoch 2 Batch 874/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.935, Loss: 0.066\n", "Epoch 2 Batch 875/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.949, Loss: 0.052\n", "Epoch 2 Batch 876/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.945, Loss: 0.049\n", "Epoch 2 Batch 877/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.942, Loss: 0.043\n", "Epoch 2 Batch 878/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.938, Loss: 0.046\n", "Epoch 2 Batch 879/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.944, Loss: 0.041\n", "Epoch 2 Batch 880/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.936, Loss: 0.053\n", "Epoch 2 Batch 881/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.939, Loss: 0.055\n", "Epoch 2 Batch 882/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.933, Loss: 0.058\n", "Epoch 2 Batch 883/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.933, Loss: 0.077\n", "Epoch 2 Batch 884/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.933, Loss: 0.042\n", "Epoch 2 Batch 885/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.932, Loss: 0.037\n", "Epoch 2 Batch 886/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.936, Loss: 0.053\n", "Epoch 2 Batch 887/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.936, Loss: 0.063\n", "Epoch 2 Batch 888/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.931, Loss: 0.050\n", "Epoch 2 Batch 889/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.929, Loss: 0.054\n", "Epoch 2 Batch 890/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.931, Loss: 0.051\n", "Epoch 2 Batch 891/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.929, Loss: 0.047\n", "Epoch 2 Batch 892/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.931, Loss: 0.047\n", "Epoch 2 Batch 893/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.930, Loss: 0.051\n", "Epoch 2 Batch 894/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.928, Loss: 0.045\n", "Epoch 2 Batch 895/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.924, Loss: 0.045\n", "Epoch 2 Batch 896/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.930, Loss: 0.050\n", "Epoch 2 Batch 897/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.940, Loss: 0.041\n", "Epoch 2 Batch 898/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.948, Loss: 0.048\n", "Epoch 2 Batch 899/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.948, Loss: 0.057\n", "Epoch 2 Batch 900/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.944, Loss: 0.050\n", "Epoch 2 Batch 901/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.936, Loss: 0.061\n", "Epoch 2 Batch 902/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.938, Loss: 0.061\n", "Epoch 2 Batch 903/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.933, Loss: 0.056\n", "Epoch 2 Batch 904/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.929, Loss: 0.040\n", "Epoch 2 Batch 905/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.933, Loss: 0.042\n", "Epoch 2 Batch 906/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.948, Loss: 0.047\n", "Epoch 2 Batch 907/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.938, Loss: 0.053\n", "Epoch 2 Batch 908/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.935, Loss: 0.057\n", "Epoch 2 Batch 909/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.940, Loss: 0.053\n", "Epoch 2 Batch 910/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.936, Loss: 0.052\n", "Epoch 2 Batch 911/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.925, Loss: 0.055\n", "Epoch 2 Batch 912/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.924, Loss: 0.047\n", "Epoch 2 Batch 913/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.930, Loss: 0.059\n", "Epoch 2 Batch 914/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.930, Loss: 0.083\n", "Epoch 2 Batch 915/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 2 Batch 916/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.930, Loss: 0.047\n", "Epoch 2 Batch 917/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.930, Loss: 0.047\n", "Epoch 2 Batch 918/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.930, Loss: 0.048\n", "Epoch 2 Batch 919/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.939, Loss: 0.049\n", "Epoch 2 Batch 920/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.938, Loss: 0.047\n", "Epoch 2 Batch 921/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.940, Loss: 0.049\n", "Epoch 2 Batch 922/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.937, Loss: 0.059\n", "Epoch 2 Batch 923/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.944, Loss: 0.034\n", "Epoch 2 Batch 924/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.948, Loss: 0.060\n", "Epoch 2 Batch 925/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.956, Loss: 0.052\n", "Epoch 2 Batch 926/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.956, Loss: 0.057\n", "Epoch 2 Batch 927/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.952, Loss: 0.057\n", "Epoch 2 Batch 928/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.946, Loss: 0.052\n", "Epoch 2 Batch 929/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.936, Loss: 0.044\n", "Epoch 2 Batch 930/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.937, Loss: 0.042\n", "Epoch 2 Batch 931/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.935, Loss: 0.050\n", "Epoch 2 Batch 932/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.944, Loss: 0.046\n", "Epoch 2 Batch 933/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.930, Loss: 0.051\n", "Epoch 2 Batch 934/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.935, Loss: 0.043\n", "Epoch 2 Batch 935/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.941, Loss: 0.044\n", "Epoch 2 Batch 936/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.952, Loss: 0.052\n", "Epoch 2 Batch 937/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.953, Loss: 0.065\n", "Epoch 2 Batch 938/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.949, Loss: 0.053\n", "Epoch 2 Batch 939/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.947, Loss: 0.060\n", "Epoch 2 Batch 940/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.934, Loss: 0.041\n", "Epoch 2 Batch 941/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.934, Loss: 0.048\n", "Epoch 2 Batch 942/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.924, Loss: 0.048\n", "Epoch 2 Batch 943/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.930, Loss: 0.046\n", "Epoch 2 Batch 944/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.923, Loss: 0.040\n", "Epoch 2 Batch 945/1077 - Train Accuracy: 0.976, Validation Accuracy: 0.930, Loss: 0.047\n", "Epoch 2 Batch 946/1077 - Train Accuracy: 0.985, Validation Accuracy: 0.929, Loss: 0.048\n", "Epoch 2 Batch 947/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.933, Loss: 0.046\n", "Epoch 2 Batch 948/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.927, Loss: 0.041\n", "Epoch 2 Batch 949/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.931, Loss: 0.035\n", "Epoch 2 Batch 950/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.933, Loss: 0.046\n", "Epoch 2 Batch 951/1077 - Train Accuracy: 0.910, Validation Accuracy: 0.939, Loss: 0.056\n", "Epoch 2 Batch 952/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.941, Loss: 0.035\n", "Epoch 2 Batch 953/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.941, Loss: 0.038\n", "Epoch 2 Batch 954/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.929, Loss: 0.062\n", "Epoch 2 Batch 955/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.935, Loss: 0.058\n", "Epoch 2 Batch 956/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.934, Loss: 0.057\n", "Epoch 2 Batch 957/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.934, Loss: 0.033\n", "Epoch 2 Batch 958/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.913, Loss: 0.048\n", "Epoch 2 Batch 959/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.917, Loss: 0.048\n", "Epoch 2 Batch 960/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.912, Loss: 0.049\n", "Epoch 2 Batch 961/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.908, Loss: 0.047\n", "Epoch 2 Batch 962/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.914, Loss: 0.047\n", "Epoch 2 Batch 963/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.942, Loss: 0.071\n", "Epoch 2 Batch 964/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.940, Loss: 0.041\n", "Epoch 2 Batch 965/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.947, Loss: 0.051\n", "Epoch 2 Batch 966/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 2 Batch 967/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.935, Loss: 0.052\n", "Epoch 2 Batch 968/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.932, Loss: 0.059\n", "Epoch 2 Batch 969/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.924, Loss: 0.059\n", "Epoch 2 Batch 970/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.930, Loss: 0.051\n", "Epoch 2 Batch 971/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.925, Loss: 0.055\n", "Epoch 2 Batch 972/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.920, Loss: 0.045\n", "Epoch 2 Batch 973/1077 - Train Accuracy: 0.974, Validation Accuracy: 0.924, Loss: 0.046\n", "Epoch 2 Batch 974/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.929, Loss: 0.035\n", "Epoch 2 Batch 975/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.931, Loss: 0.049\n", "Epoch 2 Batch 976/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.930, Loss: 0.038\n", "Epoch 2 Batch 977/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.924, Loss: 0.030\n", "Epoch 2 Batch 978/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.930, Loss: 0.044\n", "Epoch 2 Batch 979/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.930, Loss: 0.050\n", "Epoch 2 Batch 980/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.931, Loss: 0.057\n", "Epoch 2 Batch 981/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.933, Loss: 0.045\n", "Epoch 2 Batch 982/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.942, Loss: 0.045\n", "Epoch 2 Batch 983/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.941, Loss: 0.050\n", "Epoch 2 Batch 984/1077 - Train Accuracy: 0.892, Validation Accuracy: 0.934, Loss: 0.056\n", "Epoch 2 Batch 985/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.934, Loss: 0.046\n", "Epoch 2 Batch 986/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.934, Loss: 0.043\n", "Epoch 2 Batch 987/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.929, Loss: 0.039\n", "Epoch 2 Batch 988/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.932, Loss: 0.056\n", "Epoch 2 Batch 989/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.926, Loss: 0.053\n", "Epoch 2 Batch 990/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.931, Loss: 0.049\n", "Epoch 2 Batch 991/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.937, Loss: 0.047\n", "Epoch 2 Batch 992/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.932, Loss: 0.057\n", "Epoch 2 Batch 993/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.937, Loss: 0.034\n", "Epoch 2 Batch 994/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.936, Loss: 0.049\n", "Epoch 2 Batch 995/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.943, Loss: 0.047\n", "Epoch 2 Batch 996/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.944, Loss: 0.038\n", "Epoch 2 Batch 997/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.949, Loss: 0.047\n", "Epoch 2 Batch 998/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.938, Loss: 0.044\n", "Epoch 2 Batch 999/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.930, Loss: 0.050\n", "Epoch 2 Batch 1000/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.930, Loss: 0.045\n", "Epoch 2 Batch 1001/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.935, Loss: 0.044\n", "Epoch 2 Batch 1002/1077 - Train Accuracy: 0.976, Validation Accuracy: 0.944, Loss: 0.028\n", "Epoch 2 Batch 1003/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.943, Loss: 0.047\n", "Epoch 2 Batch 1004/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.935, Loss: 0.053\n", "Epoch 2 Batch 1005/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.933, Loss: 0.042\n", "Epoch 2 Batch 1006/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.939, Loss: 0.045\n", "Epoch 2 Batch 1007/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.941, Loss: 0.042\n", "Epoch 2 Batch 1008/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.933, Loss: 0.057\n", "Epoch 2 Batch 1009/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.940, Loss: 0.041\n", "Epoch 2 Batch 1010/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.938, Loss: 0.044\n", "Epoch 2 Batch 1011/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.928, Loss: 0.045\n", "Epoch 2 Batch 1012/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.926, Loss: 0.037\n", "Epoch 2 Batch 1013/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.927, Loss: 0.033\n", "Epoch 2 Batch 1014/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.924, Loss: 0.046\n", "Epoch 2 Batch 1015/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.920, Loss: 0.059\n", "Epoch 2 Batch 1016/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.923, Loss: 0.043\n", "Epoch 2 Batch 1017/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.917, Loss: 0.047\n", "Epoch 2 Batch 1018/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.925, Loss: 0.049\n", "Epoch 2 Batch 1019/1077 - Train Accuracy: 0.901, Validation Accuracy: 0.936, Loss: 0.056\n", "Epoch 2 Batch 1020/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.934, Loss: 0.045\n", "Epoch 2 Batch 1021/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.931, Loss: 0.042\n", "Epoch 2 Batch 1022/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.931, Loss: 0.039\n", "Epoch 2 Batch 1023/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.930, Loss: 0.046\n", "Epoch 2 Batch 1024/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.926, Loss: 0.062\n", "Epoch 2 Batch 1025/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.927, Loss: 0.040\n", "Epoch 2 Batch 1026/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.938, Loss: 0.049\n", "Epoch 2 Batch 1027/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.937, Loss: 0.043\n", "Epoch 2 Batch 1028/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.929, Loss: 0.051\n", "Epoch 2 Batch 1029/1077 - Train Accuracy: 0.919, Validation Accuracy: 0.941, Loss: 0.046\n", "Epoch 2 Batch 1030/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.934, Loss: 0.048\n", "Epoch 2 Batch 1031/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.926, Loss: 0.046\n", "Epoch 2 Batch 1032/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.926, Loss: 0.044\n", "Epoch 2 Batch 1033/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.922, Loss: 0.054\n", "Epoch 2 Batch 1034/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.925, Loss: 0.049\n", "Epoch 2 Batch 1035/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.936, Loss: 0.030\n", "Epoch 2 Batch 1036/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.928, Loss: 0.057\n", "Epoch 2 Batch 1037/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.923, Loss: 0.043\n", "Epoch 2 Batch 1038/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.932, Loss: 0.050\n", "Epoch 2 Batch 1039/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.941, Loss: 0.046\n", "Epoch 2 Batch 1040/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.931, Loss: 0.051\n", "Epoch 2 Batch 1041/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.938, Loss: 0.048\n", "Epoch 2 Batch 1042/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.931, Loss: 0.042\n", "Epoch 2 Batch 1043/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.936, Loss: 0.062\n", "Epoch 2 Batch 1044/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.944, Loss: 0.054\n", "Epoch 2 Batch 1045/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.941, Loss: 0.042\n", "Epoch 2 Batch 1046/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.946, Loss: 0.037\n", "Epoch 2 Batch 1047/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.945, Loss: 0.042\n", "Epoch 2 Batch 1048/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.945, Loss: 0.048\n", "Epoch 2 Batch 1049/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.943, Loss: 0.051\n", "Epoch 2 Batch 1050/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.944, Loss: 0.038\n", "Epoch 2 Batch 1051/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.945, Loss: 0.045\n", "Epoch 2 Batch 1052/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.945, Loss: 0.040\n", "Epoch 2 Batch 1053/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.927, Loss: 0.055\n", "Epoch 2 Batch 1054/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.934, Loss: 0.046\n", "Epoch 2 Batch 1055/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.921, Loss: 0.057\n", "Epoch 2 Batch 1056/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.930, Loss: 0.048\n", "Epoch 2 Batch 1057/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.935, Loss: 0.050\n", "Epoch 2 Batch 1058/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.931, Loss: 0.047\n", "Epoch 2 Batch 1059/1077 - Train Accuracy: 0.902, Validation Accuracy: 0.936, Loss: 0.057\n", "Epoch 2 Batch 1060/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.937, Loss: 0.038\n", "Epoch 2 Batch 1061/1077 - Train Accuracy: 0.903, Validation Accuracy: 0.934, Loss: 0.065\n", "Epoch 2 Batch 1062/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.938, Loss: 0.048\n", "Epoch 2 Batch 1063/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.937, Loss: 0.050\n", "Epoch 2 Batch 1064/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.940, Loss: 0.042\n", "Epoch 2 Batch 1065/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.930, Loss: 0.046\n", "Epoch 2 Batch 1066/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.940, Loss: 0.037\n", "Epoch 2 Batch 1067/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.930, Loss: 0.054\n", "Epoch 2 Batch 1068/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.935, Loss: 0.036\n", "Epoch 2 Batch 1069/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.928, Loss: 0.037\n", "Epoch 2 Batch 1070/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.938, Loss: 0.046\n", "Epoch 2 Batch 1071/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.925, Loss: 0.042\n", "Epoch 2 Batch 1072/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.935, Loss: 0.052\n", "Epoch 2 Batch 1073/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.926, Loss: 0.057\n", "Epoch 2 Batch 1074/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.926, Loss: 0.059\n", "Epoch 2 Batch 1075/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.927, Loss: 0.059\n", "Epoch 3 Batch 0/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.930, Loss: 0.036\n", "Epoch 3 Batch 1/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.917, Loss: 0.036\n", "Epoch 3 Batch 2/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.922, Loss: 0.041\n", "Epoch 3 Batch 3/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.921, Loss: 0.046\n", "Epoch 3 Batch 4/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.919, Loss: 0.040\n", "Epoch 3 Batch 5/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.911, Loss: 0.061\n", "Epoch 3 Batch 6/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.917, Loss: 0.043\n", "Epoch 3 Batch 7/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.916, Loss: 0.042\n", "Epoch 3 Batch 8/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.925, Loss: 0.046\n", "Epoch 3 Batch 9/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.927, Loss: 0.042\n", "Epoch 3 Batch 10/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.924, Loss: 0.051\n", "Epoch 3 Batch 11/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.924, Loss: 0.053\n", "Epoch 3 Batch 12/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.928, Loss: 0.045\n", "Epoch 3 Batch 13/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.916, Loss: 0.053\n", "Epoch 3 Batch 14/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.911, Loss: 0.034\n", "Epoch 3 Batch 15/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.934, Loss: 0.044\n", "Epoch 3 Batch 16/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.929, Loss: 0.050\n", "Epoch 3 Batch 17/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.929, Loss: 0.042\n", "Epoch 3 Batch 18/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.931, Loss: 0.056\n", "Epoch 3 Batch 19/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.938, Loss: 0.049\n", "Epoch 3 Batch 20/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.942, Loss: 0.038\n", "Epoch 3 Batch 21/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.938, Loss: 0.051\n", "Epoch 3 Batch 22/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.942, Loss: 0.044\n", "Epoch 3 Batch 23/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.926, Loss: 0.043\n", "Epoch 3 Batch 24/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.931, Loss: 0.049\n", "Epoch 3 Batch 25/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.936, Loss: 0.038\n", "Epoch 3 Batch 26/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.930, Loss: 0.045\n", "Epoch 3 Batch 27/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.937, Loss: 0.033\n", "Epoch 3 Batch 28/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.941, Loss: 0.051\n", "Epoch 3 Batch 29/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.950, Loss: 0.045\n", "Epoch 3 Batch 30/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.940, Loss: 0.045\n", "Epoch 3 Batch 31/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.953, Loss: 0.046\n", "Epoch 3 Batch 32/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.953, Loss: 0.043\n", "Epoch 3 Batch 33/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.949, Loss: 0.039\n", "Epoch 3 Batch 34/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.949, Loss: 0.048\n", "Epoch 3 Batch 35/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.953, Loss: 0.042\n", "Epoch 3 Batch 36/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.951, Loss: 0.041\n", "Epoch 3 Batch 37/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.945, Loss: 0.038\n", "Epoch 3 Batch 38/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.944, Loss: 0.063\n", "Epoch 3 Batch 39/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.942, Loss: 0.060\n", "Epoch 3 Batch 40/1077 - Train Accuracy: 0.978, Validation Accuracy: 0.933, Loss: 0.037\n", "Epoch 3 Batch 41/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.928, Loss: 0.043\n", "Epoch 3 Batch 42/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.935, Loss: 0.043\n", "Epoch 3 Batch 43/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.931, Loss: 0.027\n", "Epoch 3 Batch 44/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.922, Loss: 0.039\n", "Epoch 3 Batch 45/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.924, Loss: 0.038\n", "Epoch 3 Batch 46/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.923, Loss: 0.038\n", "Epoch 3 Batch 47/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.927, Loss: 0.053\n", "Epoch 3 Batch 48/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.928, Loss: 0.064\n", "Epoch 3 Batch 49/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.947, Loss: 0.054\n", "Epoch 3 Batch 50/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.949, Loss: 0.041\n", "Epoch 3 Batch 51/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.945, Loss: 0.051\n", "Epoch 3 Batch 52/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.942, Loss: 0.053\n", "Epoch 3 Batch 53/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.929, Loss: 0.038\n", "Epoch 3 Batch 54/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.935, Loss: 0.062\n", "Epoch 3 Batch 55/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.937, Loss: 0.039\n", "Epoch 3 Batch 56/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.940, Loss: 0.034\n", "Epoch 3 Batch 57/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.940, Loss: 0.042\n", "Epoch 3 Batch 58/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 3 Batch 59/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.939, Loss: 0.039\n", "Epoch 3 Batch 60/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.934, Loss: 0.033\n", "Epoch 3 Batch 61/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.933, Loss: 0.058\n", "Epoch 3 Batch 62/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.942, Loss: 0.052\n", "Epoch 3 Batch 63/1077 - Train Accuracy: 0.974, Validation Accuracy: 0.939, Loss: 0.032\n", "Epoch 3 Batch 64/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.939, Loss: 0.039\n", "Epoch 3 Batch 65/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.934, Loss: 0.043\n", "Epoch 3 Batch 66/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.939, Loss: 0.028\n", "Epoch 3 Batch 67/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.940, Loss: 0.039\n", "Epoch 3 Batch 68/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.945, Loss: 0.055\n", "Epoch 3 Batch 69/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.940, Loss: 0.056\n", "Epoch 3 Batch 70/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.942, Loss: 0.053\n", "Epoch 3 Batch 71/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.942, Loss: 0.033\n", "Epoch 3 Batch 72/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.946, Loss: 0.047\n", "Epoch 3 Batch 73/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.944, Loss: 0.038\n", "Epoch 3 Batch 74/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.925, Loss: 0.039\n", "Epoch 3 Batch 75/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.925, Loss: 0.060\n", "Epoch 3 Batch 76/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.929, Loss: 0.030\n", "Epoch 3 Batch 77/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.934, Loss: 0.047\n", "Epoch 3 Batch 78/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.930, Loss: 0.042\n", "Epoch 3 Batch 79/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.925, Loss: 0.045\n", "Epoch 3 Batch 80/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.935, Loss: 0.039\n", "Epoch 3 Batch 81/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.938, Loss: 0.040\n", "Epoch 3 Batch 82/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.928, Loss: 0.039\n", "Epoch 3 Batch 83/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.942, Loss: 0.043\n", "Epoch 3 Batch 84/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.941, Loss: 0.046\n", "Epoch 3 Batch 85/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.930, Loss: 0.039\n", "Epoch 3 Batch 86/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.935, Loss: 0.042\n", "Epoch 3 Batch 87/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.931, Loss: 0.052\n", "Epoch 3 Batch 88/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.933, Loss: 0.046\n", "Epoch 3 Batch 89/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.926, Loss: 0.048\n", "Epoch 3 Batch 90/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.936, Loss: 0.047\n", "Epoch 3 Batch 91/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.937, Loss: 0.041\n", "Epoch 3 Batch 92/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.925, Loss: 0.059\n", "Epoch 3 Batch 93/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.936, Loss: 0.044\n", "Epoch 3 Batch 94/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.934, Loss: 0.046\n", "Epoch 3 Batch 95/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.929, Loss: 0.053\n", "Epoch 3 Batch 96/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.928, Loss: 0.045\n", "Epoch 3 Batch 97/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.930, Loss: 0.047\n", "Epoch 3 Batch 98/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.923, Loss: 0.051\n", "Epoch 3 Batch 99/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.930, Loss: 0.042\n", "Epoch 3 Batch 100/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.915, Loss: 0.042\n", "Epoch 3 Batch 101/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.918, Loss: 0.040\n", "Epoch 3 Batch 102/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.916, Loss: 0.044\n", "Epoch 3 Batch 103/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.925, Loss: 0.054\n", "Epoch 3 Batch 104/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.923, Loss: 0.051\n", "Epoch 3 Batch 105/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.919, Loss: 0.038\n", "Epoch 3 Batch 106/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.914, Loss: 0.056\n", "Epoch 3 Batch 107/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.919, Loss: 0.059\n", "Epoch 3 Batch 108/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.924, Loss: 0.042\n", "Epoch 3 Batch 109/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.904, Loss: 0.041\n", "Epoch 3 Batch 110/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.915, Loss: 0.039\n", "Epoch 3 Batch 111/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.922, Loss: 0.042\n", "Epoch 3 Batch 112/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.925, Loss: 0.040\n", "Epoch 3 Batch 113/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.916, Loss: 0.052\n", "Epoch 3 Batch 114/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.925, Loss: 0.039\n", "Epoch 3 Batch 115/1077 - Train Accuracy: 0.905, Validation Accuracy: 0.920, Loss: 0.057\n", "Epoch 3 Batch 116/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.925, Loss: 0.061\n", "Epoch 3 Batch 117/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.935, Loss: 0.040\n", "Epoch 3 Batch 118/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.920, Loss: 0.043\n", "Epoch 3 Batch 119/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.931, Loss: 0.047\n", "Epoch 3 Batch 120/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.932, Loss: 0.048\n", "Epoch 3 Batch 121/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.936, Loss: 0.048\n", "Epoch 3 Batch 122/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.931, Loss: 0.035\n", "Epoch 3 Batch 123/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.932, Loss: 0.039\n", "Epoch 3 Batch 124/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.933, Loss: 0.052\n", "Epoch 3 Batch 125/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.946, Loss: 0.053\n", "Epoch 3 Batch 126/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.941, Loss: 0.037\n", "Epoch 3 Batch 127/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.942, Loss: 0.035\n", "Epoch 3 Batch 128/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.947, Loss: 0.049\n", "Epoch 3 Batch 129/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.948, Loss: 0.051\n", "Epoch 3 Batch 130/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.948, Loss: 0.040\n", "Epoch 3 Batch 131/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.941, Loss: 0.044\n", "Epoch 3 Batch 132/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.938, Loss: 0.044\n", "Epoch 3 Batch 133/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.938, Loss: 0.036\n", "Epoch 3 Batch 134/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.938, Loss: 0.045\n", "Epoch 3 Batch 135/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.942, Loss: 0.043\n", "Epoch 3 Batch 136/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.947, Loss: 0.044\n", "Epoch 3 Batch 137/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.942, Loss: 0.033\n", "Epoch 3 Batch 138/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.938, Loss: 0.042\n", "Epoch 3 Batch 139/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.932, Loss: 0.050\n", "Epoch 3 Batch 140/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.932, Loss: 0.036\n", "Epoch 3 Batch 141/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.923, Loss: 0.038\n", "Epoch 3 Batch 142/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.928, Loss: 0.042\n", "Epoch 3 Batch 143/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.933, Loss: 0.043\n", "Epoch 3 Batch 144/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.921, Loss: 0.062\n", "Epoch 3 Batch 145/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.911, Loss: 0.044\n", "Epoch 3 Batch 146/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.921, Loss: 0.072\n", "Epoch 3 Batch 147/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.921, Loss: 0.042\n", "Epoch 3 Batch 148/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.924, Loss: 0.053\n", "Epoch 3 Batch 149/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.923, Loss: 0.044\n", "Epoch 3 Batch 150/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.919, Loss: 0.050\n", "Epoch 3 Batch 151/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.941, Loss: 0.038\n", "Epoch 3 Batch 152/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.938, Loss: 0.056\n", "Epoch 3 Batch 153/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.936, Loss: 0.059\n", "Epoch 3 Batch 154/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.936, Loss: 0.037\n", "Epoch 3 Batch 155/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.937, Loss: 0.043\n", "Epoch 3 Batch 156/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.935, Loss: 0.032\n", "Epoch 3 Batch 157/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.934, Loss: 0.040\n", "Epoch 3 Batch 158/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.940, Loss: 0.049\n", "Epoch 3 Batch 159/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 3 Batch 160/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.931, Loss: 0.036\n", "Epoch 3 Batch 161/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.927, Loss: 0.034\n", "Epoch 3 Batch 162/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.930, Loss: 0.055\n", "Epoch 3 Batch 163/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.935, Loss: 0.052\n", "Epoch 3 Batch 164/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.935, Loss: 0.043\n", "Epoch 3 Batch 165/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.940, Loss: 0.043\n", "Epoch 3 Batch 166/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.937, Loss: 0.045\n", "Epoch 3 Batch 167/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.933, Loss: 0.041\n", "Epoch 3 Batch 168/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.935, Loss: 0.045\n", "Epoch 3 Batch 169/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.935, Loss: 0.048\n", "Epoch 3 Batch 170/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.933, Loss: 0.044\n", "Epoch 3 Batch 171/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.939, Loss: 0.038\n", "Epoch 3 Batch 172/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.937, Loss: 0.031\n", "Epoch 3 Batch 173/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.928, Loss: 0.050\n", "Epoch 3 Batch 174/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.922, Loss: 0.038\n", "Epoch 3 Batch 175/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.926, Loss: 0.050\n", "Epoch 3 Batch 176/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.922, Loss: 0.041\n", "Epoch 3 Batch 177/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.925, Loss: 0.048\n", "Epoch 3 Batch 178/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.931, Loss: 0.044\n", "Epoch 3 Batch 179/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.931, Loss: 0.043\n", "Epoch 3 Batch 180/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.927, Loss: 0.038\n", "Epoch 3 Batch 181/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.933, Loss: 0.048\n", "Epoch 3 Batch 182/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.924, Loss: 0.046\n", "Epoch 3 Batch 183/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.923, Loss: 0.043\n", "Epoch 3 Batch 184/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.929, Loss: 0.041\n", "Epoch 3 Batch 185/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.936, Loss: 0.045\n", "Epoch 3 Batch 186/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.929, Loss: 0.040\n", "Epoch 3 Batch 187/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.926, Loss: 0.029\n", "Epoch 3 Batch 188/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.930, Loss: 0.044\n", "Epoch 3 Batch 189/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.939, Loss: 0.044\n", "Epoch 3 Batch 190/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.949, Loss: 0.037\n", "Epoch 3 Batch 191/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.949, Loss: 0.032\n", "Epoch 3 Batch 192/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.940, Loss: 0.045\n", "Epoch 3 Batch 193/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.930, Loss: 0.034\n", "Epoch 3 Batch 194/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.941, Loss: 0.033\n", "Epoch 3 Batch 195/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.936, Loss: 0.029\n", "Epoch 3 Batch 196/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.940, Loss: 0.038\n", "Epoch 3 Batch 197/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.951, Loss: 0.047\n", "Epoch 3 Batch 198/1077 - Train Accuracy: 0.974, Validation Accuracy: 0.946, Loss: 0.042\n", "Epoch 3 Batch 199/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.936, Loss: 0.035\n", "Epoch 3 Batch 200/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.928, Loss: 0.041\n", "Epoch 3 Batch 201/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.923, Loss: 0.034\n", "Epoch 3 Batch 202/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.923, Loss: 0.043\n", "Epoch 3 Batch 203/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.923, Loss: 0.040\n", "Epoch 3 Batch 204/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.926, Loss: 0.062\n", "Epoch 3 Batch 205/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.930, Loss: 0.048\n", "Epoch 3 Batch 206/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.930, Loss: 0.034\n", "Epoch 3 Batch 207/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.930, Loss: 0.035\n", "Epoch 3 Batch 208/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.930, Loss: 0.044\n", "Epoch 3 Batch 209/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.930, Loss: 0.032\n", "Epoch 3 Batch 210/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.935, Loss: 0.042\n", "Epoch 3 Batch 211/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.939, Loss: 0.040\n", "Epoch 3 Batch 212/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.928, Loss: 0.037\n", "Epoch 3 Batch 213/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.930, Loss: 0.032\n", "Epoch 3 Batch 214/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.930, Loss: 0.036\n", "Epoch 3 Batch 215/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.935, Loss: 0.042\n", "Epoch 3 Batch 216/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.925, Loss: 0.045\n", "Epoch 3 Batch 217/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.914, Loss: 0.034\n", "Epoch 3 Batch 218/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.916, Loss: 0.053\n", "Epoch 3 Batch 219/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.927, Loss: 0.036\n", "Epoch 3 Batch 220/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.946, Loss: 0.040\n", "Epoch 3 Batch 221/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.946, Loss: 0.046\n", "Epoch 3 Batch 222/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.940, Loss: 0.037\n", "Epoch 3 Batch 223/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.940, Loss: 0.035\n", "Epoch 3 Batch 224/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.939, Loss: 0.048\n", "Epoch 3 Batch 225/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.929, Loss: 0.052\n", "Epoch 3 Batch 226/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.920, Loss: 0.038\n", "Epoch 3 Batch 227/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.918, Loss: 0.057\n", "Epoch 3 Batch 228/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.918, Loss: 0.035\n", "Epoch 3 Batch 229/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.918, Loss: 0.043\n", "Epoch 3 Batch 230/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.911, Loss: 0.036\n", "Epoch 3 Batch 231/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.914, Loss: 0.049\n", "Epoch 3 Batch 232/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.923, Loss: 0.040\n", "Epoch 3 Batch 233/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.928, Loss: 0.057\n", "Epoch 3 Batch 234/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.933, Loss: 0.041\n", "Epoch 3 Batch 235/1077 - Train Accuracy: 0.912, Validation Accuracy: 0.928, Loss: 0.042\n", "Epoch 3 Batch 236/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.938, Loss: 0.054\n", "Epoch 3 Batch 237/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.933, Loss: 0.034\n", "Epoch 3 Batch 238/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.928, Loss: 0.042\n", "Epoch 3 Batch 239/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.921, Loss: 0.026\n", "Epoch 3 Batch 240/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.920, Loss: 0.037\n", "Epoch 3 Batch 241/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.924, Loss: 0.033\n", "Epoch 3 Batch 242/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.930, Loss: 0.035\n", "Epoch 3 Batch 243/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.930, Loss: 0.046\n", "Epoch 3 Batch 244/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.927, Loss: 0.037\n", "Epoch 3 Batch 245/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.937, Loss: 0.037\n", "Epoch 3 Batch 246/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.942, Loss: 0.045\n", "Epoch 3 Batch 247/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.936, Loss: 0.042\n", "Epoch 3 Batch 248/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.942, Loss: 0.046\n", "Epoch 3 Batch 249/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.933, Loss: 0.049\n", "Epoch 3 Batch 250/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.928, Loss: 0.037\n", "Epoch 3 Batch 251/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.925, Loss: 0.052\n", "Epoch 3 Batch 252/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.941, Loss: 0.044\n", "Epoch 3 Batch 253/1077 - Train Accuracy: 0.928, Validation Accuracy: 0.933, Loss: 0.039\n", "Epoch 3 Batch 254/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.919, Loss: 0.046\n", "Epoch 3 Batch 255/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.918, Loss: 0.039\n", "Epoch 3 Batch 256/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.918, Loss: 0.057\n", "Epoch 3 Batch 257/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.928, Loss: 0.040\n", "Epoch 3 Batch 258/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.923, Loss: 0.044\n", "Epoch 3 Batch 259/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.931, Loss: 0.045\n", "Epoch 3 Batch 260/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.934, Loss: 0.035\n", "Epoch 3 Batch 261/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.931, Loss: 0.044\n", "Epoch 3 Batch 262/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.936, Loss: 0.034\n", "Epoch 3 Batch 263/1077 - Train Accuracy: 0.982, Validation Accuracy: 0.927, Loss: 0.029\n", "Epoch 3 Batch 264/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.928, Loss: 0.038\n", "Epoch 3 Batch 265/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.934, Loss: 0.045\n", "Epoch 3 Batch 266/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.934, Loss: 0.041\n", "Epoch 3 Batch 267/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.933, Loss: 0.038\n", "Epoch 3 Batch 268/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.941, Loss: 0.050\n", "Epoch 3 Batch 269/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.939, Loss: 0.053\n", "Epoch 3 Batch 270/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.931, Loss: 0.048\n", "Epoch 3 Batch 271/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.931, Loss: 0.035\n", "Epoch 3 Batch 272/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.926, Loss: 0.060\n", "Epoch 3 Batch 273/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.929, Loss: 0.038\n", "Epoch 3 Batch 274/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.929, Loss: 0.042\n", "Epoch 3 Batch 275/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.928, Loss: 0.043\n", "Epoch 3 Batch 276/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.929, Loss: 0.066\n", "Epoch 3 Batch 277/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.930, Loss: 0.031\n", "Epoch 3 Batch 278/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.933, Loss: 0.052\n", "Epoch 3 Batch 279/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.931, Loss: 0.043\n", "Epoch 3 Batch 280/1077 - Train Accuracy: 0.906, Validation Accuracy: 0.937, Loss: 0.051\n", "Epoch 3 Batch 281/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.946, Loss: 0.055\n", "Epoch 3 Batch 282/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.944, Loss: 0.049\n", "Epoch 3 Batch 283/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.941, Loss: 0.049\n", "Epoch 3 Batch 284/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.942, Loss: 0.042\n", "Epoch 3 Batch 285/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.942, Loss: 0.043\n", "Epoch 3 Batch 286/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.945, Loss: 0.032\n", "Epoch 3 Batch 287/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.938, Loss: 0.040\n", "Epoch 3 Batch 288/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.944, Loss: 0.044\n", "Epoch 3 Batch 289/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.935, Loss: 0.038\n", "Epoch 3 Batch 290/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.929, Loss: 0.049\n", "Epoch 3 Batch 291/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.927, Loss: 0.060\n", "Epoch 3 Batch 292/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.927, Loss: 0.044\n", "Epoch 3 Batch 293/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.928, Loss: 0.040\n", "Epoch 3 Batch 294/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.934, Loss: 0.037\n", "Epoch 3 Batch 295/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.927, Loss: 0.045\n", "Epoch 3 Batch 296/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.932, Loss: 0.040\n", "Epoch 3 Batch 297/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.929, Loss: 0.041\n", "Epoch 3 Batch 298/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.935, Loss: 0.055\n", "Epoch 3 Batch 299/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.928, Loss: 0.047\n", "Epoch 3 Batch 300/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.926, Loss: 0.033\n", "Epoch 3 Batch 301/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.922, Loss: 0.040\n", "Epoch 3 Batch 302/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.920, Loss: 0.036\n", "Epoch 3 Batch 303/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.929, Loss: 0.048\n", "Epoch 3 Batch 304/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.930, Loss: 0.043\n", "Epoch 3 Batch 305/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.930, Loss: 0.038\n", "Epoch 3 Batch 306/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.935, Loss: 0.047\n", "Epoch 3 Batch 307/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.926, Loss: 0.038\n", "Epoch 3 Batch 308/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.931, Loss: 0.044\n", "Epoch 3 Batch 309/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.930, Loss: 0.036\n", "Epoch 3 Batch 310/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.932, Loss: 0.044\n", "Epoch 3 Batch 311/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.932, Loss: 0.035\n", "Epoch 3 Batch 312/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.932, Loss: 0.056\n", "Epoch 3 Batch 313/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.925, Loss: 0.030\n", "Epoch 3 Batch 314/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.922, Loss: 0.039\n", "Epoch 3 Batch 315/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.922, Loss: 0.037\n", "Epoch 3 Batch 316/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.932, Loss: 0.046\n", "Epoch 3 Batch 317/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.945, Loss: 0.052\n", "Epoch 3 Batch 318/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.940, Loss: 0.040\n", "Epoch 3 Batch 319/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.943, Loss: 0.050\n", "Epoch 3 Batch 320/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.934, Loss: 0.048\n", "Epoch 3 Batch 321/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.938, Loss: 0.038\n", "Epoch 3 Batch 322/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.938, Loss: 0.037\n", "Epoch 3 Batch 323/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.937, Loss: 0.040\n", "Epoch 3 Batch 324/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.942, Loss: 0.036\n", "Epoch 3 Batch 325/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.948, Loss: 0.037\n", "Epoch 3 Batch 326/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.950, Loss: 0.036\n", "Epoch 3 Batch 327/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.940, Loss: 0.054\n", "Epoch 3 Batch 328/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.941, Loss: 0.048\n", "Epoch 3 Batch 329/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.941, Loss: 0.048\n", "Epoch 3 Batch 330/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.941, Loss: 0.040\n", "Epoch 3 Batch 331/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.945, Loss: 0.044\n", "Epoch 3 Batch 332/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.942, Loss: 0.034\n", "Epoch 3 Batch 333/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.946, Loss: 0.039\n", "Epoch 3 Batch 334/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.942, Loss: 0.039\n", "Epoch 3 Batch 335/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.942, Loss: 0.033\n", "Epoch 3 Batch 336/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.942, Loss: 0.065\n", "Epoch 3 Batch 337/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.941, Loss: 0.042\n", "Epoch 3 Batch 338/1077 - Train Accuracy: 0.904, Validation Accuracy: 0.941, Loss: 0.051\n", "Epoch 3 Batch 339/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.941, Loss: 0.035\n", "Epoch 3 Batch 340/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.929, Loss: 0.038\n", "Epoch 3 Batch 341/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.929, Loss: 0.053\n", "Epoch 3 Batch 342/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.933, Loss: 0.036\n", "Epoch 3 Batch 343/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.938, Loss: 0.038\n", "Epoch 3 Batch 344/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.938, Loss: 0.044\n", "Epoch 3 Batch 345/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.932, Loss: 0.033\n", "Epoch 3 Batch 346/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.932, Loss: 0.044\n", "Epoch 3 Batch 347/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.936, Loss: 0.036\n", "Epoch 3 Batch 348/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.939, Loss: 0.036\n", "Epoch 3 Batch 349/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.930, Loss: 0.043\n", "Epoch 3 Batch 350/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.930, Loss: 0.034\n", "Epoch 3 Batch 351/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.930, Loss: 0.044\n", "Epoch 3 Batch 352/1077 - Train Accuracy: 0.974, Validation Accuracy: 0.931, Loss: 0.031\n", "Epoch 3 Batch 353/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.927, Loss: 0.047\n", "Epoch 3 Batch 354/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.922, Loss: 0.041\n", "Epoch 3 Batch 355/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.926, Loss: 0.034\n", "Epoch 3 Batch 356/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.937, Loss: 0.045\n", "Epoch 3 Batch 357/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.940, Loss: 0.039\n", "Epoch 3 Batch 358/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.942, Loss: 0.053\n", "Epoch 3 Batch 359/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.957, Loss: 0.039\n", "Epoch 3 Batch 360/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.957, Loss: 0.032\n", "Epoch 3 Batch 361/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.958, Loss: 0.039\n", "Epoch 3 Batch 362/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.951, Loss: 0.040\n", "Epoch 3 Batch 363/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.947, Loss: 0.046\n", "Epoch 3 Batch 364/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.947, Loss: 0.045\n", "Epoch 3 Batch 365/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.951, Loss: 0.037\n", "Epoch 3 Batch 366/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.950, Loss: 0.032\n", "Epoch 3 Batch 367/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.946, Loss: 0.030\n", "Epoch 3 Batch 368/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.942, Loss: 0.046\n", "Epoch 3 Batch 369/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.942, Loss: 0.041\n", "Epoch 3 Batch 370/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.945, Loss: 0.037\n", "Epoch 3 Batch 371/1077 - Train Accuracy: 0.982, Validation Accuracy: 0.945, Loss: 0.029\n", "Epoch 3 Batch 372/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.950, Loss: 0.036\n", "Epoch 3 Batch 373/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.942, Loss: 0.033\n", "Epoch 3 Batch 374/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.943, Loss: 0.038\n", "Epoch 3 Batch 375/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.943, Loss: 0.040\n", "Epoch 3 Batch 376/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.942, Loss: 0.040\n", "Epoch 3 Batch 377/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.942, Loss: 0.036\n", "Epoch 3 Batch 378/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.952, Loss: 0.031\n", "Epoch 3 Batch 379/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.952, Loss: 0.048\n", "Epoch 3 Batch 380/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.961, Loss: 0.034\n", "Epoch 3 Batch 381/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.953, Loss: 0.045\n", "Epoch 3 Batch 382/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.958, Loss: 0.061\n", "Epoch 3 Batch 383/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.959, Loss: 0.043\n", "Epoch 3 Batch 384/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.955, Loss: 0.037\n", "Epoch 3 Batch 385/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.954, Loss: 0.032\n", "Epoch 3 Batch 386/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.951, Loss: 0.038\n", "Epoch 3 Batch 387/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.951, Loss: 0.034\n", "Epoch 3 Batch 388/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.951, Loss: 0.039\n", "Epoch 3 Batch 389/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.947, Loss: 0.038\n", "Epoch 3 Batch 390/1077 - Train Accuracy: 0.914, Validation Accuracy: 0.948, Loss: 0.047\n", "Epoch 3 Batch 391/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.948, Loss: 0.050\n", "Epoch 3 Batch 392/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.947, Loss: 0.048\n", "Epoch 3 Batch 393/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.942, Loss: 0.037\n", "Epoch 3 Batch 394/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.942, Loss: 0.042\n", "Epoch 3 Batch 395/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.949, Loss: 0.043\n", "Epoch 3 Batch 396/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.949, Loss: 0.043\n", "Epoch 3 Batch 397/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.953, Loss: 0.037\n", "Epoch 3 Batch 398/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.932, Loss: 0.040\n", "Epoch 3 Batch 399/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.941, Loss: 0.047\n", "Epoch 3 Batch 400/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.939, Loss: 0.045\n", "Epoch 3 Batch 401/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.928, Loss: 0.035\n", "Epoch 3 Batch 402/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.934, Loss: 0.033\n", "Epoch 3 Batch 403/1077 - Train Accuracy: 0.918, Validation Accuracy: 0.937, Loss: 0.054\n", "Epoch 3 Batch 404/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.942, Loss: 0.042\n", "Epoch 3 Batch 405/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.935, Loss: 0.038\n", "Epoch 3 Batch 406/1077 - Train Accuracy: 0.981, Validation Accuracy: 0.929, Loss: 0.040\n", "Epoch 3 Batch 407/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.936, Loss: 0.048\n", "Epoch 3 Batch 408/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.936, Loss: 0.040\n", "Epoch 3 Batch 409/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.939, Loss: 0.044\n", "Epoch 3 Batch 410/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.938, Loss: 0.055\n", "Epoch 3 Batch 411/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.934, Loss: 0.046\n", "Epoch 3 Batch 412/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.930, Loss: 0.038\n", "Epoch 3 Batch 413/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.929, Loss: 0.035\n", "Epoch 3 Batch 414/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.924, Loss: 0.043\n", "Epoch 3 Batch 415/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.925, Loss: 0.048\n", "Epoch 3 Batch 416/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.936, Loss: 0.039\n", "Epoch 3 Batch 417/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.940, Loss: 0.063\n", "Epoch 3 Batch 418/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.936, Loss: 0.036\n", "Epoch 3 Batch 419/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.935, Loss: 0.034\n", "Epoch 3 Batch 420/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.930, Loss: 0.028\n", "Epoch 3 Batch 421/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.926, Loss: 0.051\n", "Epoch 3 Batch 422/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.926, Loss: 0.042\n", "Epoch 3 Batch 423/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.928, Loss: 0.056\n", "Epoch 3 Batch 424/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.926, Loss: 0.049\n", "Epoch 3 Batch 425/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.931, Loss: 0.031\n", "Epoch 3 Batch 426/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.931, Loss: 0.044\n", "Epoch 3 Batch 427/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.938, Loss: 0.039\n", "Epoch 3 Batch 428/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.942, Loss: 0.028\n", "Epoch 3 Batch 429/1077 - Train Accuracy: 0.975, Validation Accuracy: 0.940, Loss: 0.034\n", "Epoch 3 Batch 430/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.947, Loss: 0.036\n", "Epoch 3 Batch 431/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.952, Loss: 0.036\n", "Epoch 3 Batch 432/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.952, Loss: 0.039\n", "Epoch 3 Batch 433/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.956, Loss: 0.041\n", "Epoch 3 Batch 434/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.949, Loss: 0.041\n", "Epoch 3 Batch 435/1077 - Train Accuracy: 0.977, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 3 Batch 436/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.939, Loss: 0.050\n", "Epoch 3 Batch 437/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.944, Loss: 0.033\n", "Epoch 3 Batch 438/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 3 Batch 439/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.925, Loss: 0.054\n", "Epoch 3 Batch 440/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.921, Loss: 0.037\n", "Epoch 3 Batch 441/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.919, Loss: 0.038\n", "Epoch 3 Batch 442/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.909, Loss: 0.048\n", "Epoch 3 Batch 443/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.920, Loss: 0.033\n", "Epoch 3 Batch 444/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.934, Loss: 0.038\n", "Epoch 3 Batch 445/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.948, Loss: 0.047\n", "Epoch 3 Batch 446/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.953, Loss: 0.030\n", "Epoch 3 Batch 447/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.955, Loss: 0.035\n", "Epoch 3 Batch 448/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.956, Loss: 0.051\n", "Epoch 3 Batch 449/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.946, Loss: 0.040\n", "Epoch 3 Batch 450/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.942, Loss: 0.040\n", "Epoch 3 Batch 451/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.936, Loss: 0.037\n", "Epoch 3 Batch 452/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.929, Loss: 0.039\n", "Epoch 3 Batch 453/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.934, Loss: 0.039\n", "Epoch 3 Batch 454/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.938, Loss: 0.045\n", "Epoch 3 Batch 455/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.938, Loss: 0.040\n", "Epoch 3 Batch 456/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.936, Loss: 0.040\n", "Epoch 3 Batch 457/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.942, Loss: 0.035\n", "Epoch 3 Batch 458/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.942, Loss: 0.049\n", "Epoch 3 Batch 459/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.942, Loss: 0.041\n", "Epoch 3 Batch 460/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.951, Loss: 0.038\n", "Epoch 3 Batch 461/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.951, Loss: 0.036\n", "Epoch 3 Batch 462/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.951, Loss: 0.043\n", "Epoch 3 Batch 463/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.948, Loss: 0.036\n", "Epoch 3 Batch 464/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.959, Loss: 0.041\n", "Epoch 3 Batch 465/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.950, Loss: 0.040\n", "Epoch 3 Batch 466/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.943, Loss: 0.035\n", "Epoch 3 Batch 467/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.937, Loss: 0.038\n", "Epoch 3 Batch 468/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.937, Loss: 0.036\n", "Epoch 3 Batch 469/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.925, Loss: 0.038\n", "Epoch 3 Batch 470/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.920, Loss: 0.038\n", "Epoch 3 Batch 471/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.920, Loss: 0.031\n", "Epoch 3 Batch 472/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.924, Loss: 0.033\n", "Epoch 3 Batch 473/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.924, Loss: 0.041\n", "Epoch 3 Batch 474/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.929, Loss: 0.034\n", "Epoch 3 Batch 475/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.927, Loss: 0.039\n", "Epoch 3 Batch 476/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.923, Loss: 0.023\n", "Epoch 3 Batch 477/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.924, Loss: 0.036\n", "Epoch 3 Batch 478/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.926, Loss: 0.038\n", "Epoch 3 Batch 479/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.928, Loss: 0.039\n", "Epoch 3 Batch 480/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.929, Loss: 0.037\n", "Epoch 3 Batch 481/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.929, Loss: 0.034\n", "Epoch 3 Batch 482/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.929, Loss: 0.036\n", "Epoch 3 Batch 483/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.927, Loss: 0.042\n", "Epoch 3 Batch 484/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.937, Loss: 0.044\n", "Epoch 3 Batch 485/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.947, Loss: 0.047\n", "Epoch 3 Batch 486/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.938, Loss: 0.033\n", "Epoch 3 Batch 487/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.933, Loss: 0.030\n", "Epoch 3 Batch 488/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.933, Loss: 0.033\n", "Epoch 3 Batch 489/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.933, Loss: 0.037\n", "Epoch 3 Batch 490/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.930, Loss: 0.035\n", "Epoch 3 Batch 491/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.938, Loss: 0.044\n", "Epoch 3 Batch 492/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.942, Loss: 0.043\n", "Epoch 3 Batch 493/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.938, Loss: 0.033\n", "Epoch 3 Batch 494/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.930, Loss: 0.028\n", "Epoch 3 Batch 495/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.930, Loss: 0.040\n", "Epoch 3 Batch 496/1077 - Train Accuracy: 0.927, Validation Accuracy: 0.924, Loss: 0.041\n", "Epoch 3 Batch 497/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.932, Loss: 0.040\n", "Epoch 3 Batch 498/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.932, Loss: 0.039\n", "Epoch 3 Batch 499/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.931, Loss: 0.030\n", "Epoch 3 Batch 500/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.938, Loss: 0.030\n", "Epoch 3 Batch 501/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.942, Loss: 0.028\n", "Epoch 3 Batch 502/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.947, Loss: 0.048\n", "Epoch 3 Batch 503/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.941, Loss: 0.037\n", "Epoch 3 Batch 504/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.945, Loss: 0.034\n", "Epoch 3 Batch 505/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.955, Loss: 0.028\n", "Epoch 3 Batch 506/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.960, Loss: 0.042\n", "Epoch 3 Batch 507/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.966, Loss: 0.050\n", "Epoch 3 Batch 508/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.961, Loss: 0.024\n", "Epoch 3 Batch 509/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.957, Loss: 0.041\n", "Epoch 3 Batch 510/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.952, Loss: 0.037\n", "Epoch 3 Batch 511/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.956, Loss: 0.033\n", "Epoch 3 Batch 512/1077 - Train Accuracy: 0.986, Validation Accuracy: 0.955, Loss: 0.032\n", "Epoch 3 Batch 513/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.952, Loss: 0.040\n", "Epoch 3 Batch 514/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.952, Loss: 0.033\n", "Epoch 3 Batch 515/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.955, Loss: 0.042\n", "Epoch 3 Batch 516/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.955, Loss: 0.043\n", "Epoch 3 Batch 517/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.953, Loss: 0.042\n", "Epoch 3 Batch 518/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.952, Loss: 0.040\n", "Epoch 3 Batch 519/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.951, Loss: 0.030\n", "Epoch 3 Batch 520/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.946, Loss: 0.032\n", "Epoch 3 Batch 521/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.946, Loss: 0.037\n", "Epoch 3 Batch 522/1077 - Train Accuracy: 0.913, Validation Accuracy: 0.941, Loss: 0.047\n", "Epoch 3 Batch 523/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.946, Loss: 0.043\n", "Epoch 3 Batch 524/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.954, Loss: 0.037\n", "Epoch 3 Batch 525/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.966, Loss: 0.034\n", "Epoch 3 Batch 526/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.955, Loss: 0.030\n", "Epoch 3 Batch 527/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.950, Loss: 0.042\n", "Epoch 3 Batch 528/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.951, Loss: 0.042\n", "Epoch 3 Batch 529/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.957, Loss: 0.034\n", "Epoch 3 Batch 530/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.957, Loss: 0.046\n", "Epoch 3 Batch 531/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.958, Loss: 0.038\n", "Epoch 3 Batch 532/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.953, Loss: 0.051\n", "Epoch 3 Batch 533/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.947, Loss: 0.046\n", "Epoch 3 Batch 534/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.947, Loss: 0.046\n", "Epoch 3 Batch 535/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.947, Loss: 0.041\n", "Epoch 3 Batch 536/1077 - Train Accuracy: 0.915, Validation Accuracy: 0.949, Loss: 0.036\n", "Epoch 3 Batch 537/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.949, Loss: 0.033\n", "Epoch 3 Batch 538/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.943, Loss: 0.034\n", "Epoch 3 Batch 539/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.938, Loss: 0.050\n", "Epoch 3 Batch 540/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.939, Loss: 0.032\n", "Epoch 3 Batch 541/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.939, Loss: 0.034\n", "Epoch 3 Batch 542/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.939, Loss: 0.039\n", "Epoch 3 Batch 543/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.944, Loss: 0.032\n", "Epoch 3 Batch 544/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.941, Loss: 0.028\n", "Epoch 3 Batch 545/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.945, Loss: 0.043\n", "Epoch 3 Batch 546/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.941, Loss: 0.047\n", "Epoch 3 Batch 547/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.944, Loss: 0.038\n", "Epoch 3 Batch 548/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.944, Loss: 0.052\n", "Epoch 3 Batch 549/1077 - Train Accuracy: 0.911, Validation Accuracy: 0.944, Loss: 0.048\n", "Epoch 3 Batch 550/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.949, Loss: 0.033\n", "Epoch 3 Batch 551/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.948, Loss: 0.042\n", "Epoch 3 Batch 552/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.953, Loss: 0.044\n", "Epoch 3 Batch 553/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.953, Loss: 0.042\n", "Epoch 3 Batch 554/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.953, Loss: 0.030\n", "Epoch 3 Batch 555/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.952, Loss: 0.034\n", "Epoch 3 Batch 556/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.952, Loss: 0.029\n", "Epoch 3 Batch 557/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.948, Loss: 0.032\n", "Epoch 3 Batch 558/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.948, Loss: 0.033\n", "Epoch 3 Batch 559/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.948, Loss: 0.037\n", "Epoch 3 Batch 560/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.949, Loss: 0.038\n", "Epoch 3 Batch 561/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.950, Loss: 0.033\n", "Epoch 3 Batch 562/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.947, Loss: 0.034\n", "Epoch 3 Batch 563/1077 - Train Accuracy: 0.907, Validation Accuracy: 0.951, Loss: 0.033\n", "Epoch 3 Batch 564/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.940, Loss: 0.049\n", "Epoch 3 Batch 565/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.945, Loss: 0.038\n", "Epoch 3 Batch 566/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 3 Batch 567/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.937, Loss: 0.040\n", "Epoch 3 Batch 568/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.937, Loss: 0.034\n", "Epoch 3 Batch 569/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.939, Loss: 0.035\n", "Epoch 3 Batch 570/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.939, Loss: 0.052\n", "Epoch 3 Batch 571/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.939, Loss: 0.032\n", "Epoch 3 Batch 572/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.929, Loss: 0.031\n", "Epoch 3 Batch 573/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.924, Loss: 0.057\n", "Epoch 3 Batch 574/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.926, Loss: 0.038\n", "Epoch 3 Batch 575/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.931, Loss: 0.031\n", "Epoch 3 Batch 576/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.930, Loss: 0.033\n", "Epoch 3 Batch 577/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.928, Loss: 0.039\n", "Epoch 3 Batch 578/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.932, Loss: 0.031\n", "Epoch 3 Batch 579/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.932, Loss: 0.033\n", "Epoch 3 Batch 580/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.922, Loss: 0.029\n", "Epoch 3 Batch 581/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.936, Loss: 0.026\n", "Epoch 3 Batch 582/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.932, Loss: 0.037\n", "Epoch 3 Batch 583/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.942, Loss: 0.041\n", "Epoch 3 Batch 584/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.953, Loss: 0.035\n", "Epoch 3 Batch 585/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.958, Loss: 0.027\n", "Epoch 3 Batch 586/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.958, Loss: 0.035\n", "Epoch 3 Batch 587/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.957, Loss: 0.042\n", "Epoch 3 Batch 588/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.958, Loss: 0.027\n", "Epoch 3 Batch 589/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.958, Loss: 0.028\n", "Epoch 3 Batch 590/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.952, Loss: 0.045\n", "Epoch 3 Batch 591/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 592/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.952, Loss: 0.036\n", "Epoch 3 Batch 593/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.942, Loss: 0.047\n", "Epoch 3 Batch 594/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.945, Loss: 0.046\n", "Epoch 3 Batch 595/1077 - Train Accuracy: 0.983, Validation Accuracy: 0.945, Loss: 0.029\n", "Epoch 3 Batch 596/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.944, Loss: 0.038\n", "Epoch 3 Batch 597/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.940, Loss: 0.034\n", "Epoch 3 Batch 598/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.943, Loss: 0.036\n", "Epoch 3 Batch 599/1077 - Train Accuracy: 0.922, Validation Accuracy: 0.943, Loss: 0.052\n", "Epoch 3 Batch 600/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.949, Loss: 0.046\n", "Epoch 3 Batch 601/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.946, Loss: 0.032\n", "Epoch 3 Batch 602/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.950, Loss: 0.036\n", "Epoch 3 Batch 603/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.949, Loss: 0.030\n", "Epoch 3 Batch 604/1077 - Train Accuracy: 0.917, Validation Accuracy: 0.949, Loss: 0.055\n", "Epoch 3 Batch 605/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.948, Loss: 0.047\n", "Epoch 3 Batch 606/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 607/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.946, Loss: 0.040\n", "Epoch 3 Batch 608/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.953, Loss: 0.036\n", "Epoch 3 Batch 609/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.951, Loss: 0.045\n", "Epoch 3 Batch 610/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.940, Loss: 0.035\n", "Epoch 3 Batch 611/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.939, Loss: 0.029\n", "Epoch 3 Batch 612/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.939, Loss: 0.031\n", "Epoch 3 Batch 613/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.939, Loss: 0.045\n", "Epoch 3 Batch 614/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.939, Loss: 0.031\n", "Epoch 3 Batch 615/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.939, Loss: 0.033\n", "Epoch 3 Batch 616/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.955, Loss: 0.037\n", "Epoch 3 Batch 617/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.945, Loss: 0.033\n", "Epoch 3 Batch 618/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.939, Loss: 0.031\n", "Epoch 3 Batch 619/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.934, Loss: 0.036\n", "Epoch 3 Batch 620/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.939, Loss: 0.032\n", "Epoch 3 Batch 621/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.936, Loss: 0.041\n", "Epoch 3 Batch 622/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.931, Loss: 0.048\n", "Epoch 3 Batch 623/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.933, Loss: 0.042\n", "Epoch 3 Batch 624/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.941, Loss: 0.041\n", "Epoch 3 Batch 625/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.950, Loss: 0.039\n", "Epoch 3 Batch 626/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.940, Loss: 0.036\n", "Epoch 3 Batch 627/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.947, Loss: 0.039\n", "Epoch 3 Batch 628/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.954, Loss: 0.041\n", "Epoch 3 Batch 629/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.965, Loss: 0.047\n", "Epoch 3 Batch 630/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.951, Loss: 0.037\n", "Epoch 3 Batch 631/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.946, Loss: 0.038\n", "Epoch 3 Batch 632/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.947, Loss: 0.037\n", "Epoch 3 Batch 633/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.951, Loss: 0.036\n", "Epoch 3 Batch 634/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.946, Loss: 0.032\n", "Epoch 3 Batch 635/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.941, Loss: 0.040\n", "Epoch 3 Batch 636/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.940, Loss: 0.032\n", "Epoch 3 Batch 637/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.932, Loss: 0.046\n", "Epoch 3 Batch 638/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.933, Loss: 0.034\n", "Epoch 3 Batch 639/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.937, Loss: 0.048\n", "Epoch 3 Batch 640/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 641/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.951, Loss: 0.034\n", "Epoch 3 Batch 642/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.951, Loss: 0.034\n", "Epoch 3 Batch 643/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.951, Loss: 0.032\n", "Epoch 3 Batch 644/1077 - Train Accuracy: 0.929, Validation Accuracy: 0.950, Loss: 0.036\n", "Epoch 3 Batch 645/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.954, Loss: 0.041\n", "Epoch 3 Batch 646/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.954, Loss: 0.036\n", "Epoch 3 Batch 647/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.939, Loss: 0.041\n", "Epoch 3 Batch 648/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.939, Loss: 0.025\n", "Epoch 3 Batch 649/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.939, Loss: 0.036\n", "Epoch 3 Batch 650/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.944, Loss: 0.038\n", "Epoch 3 Batch 651/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.942, Loss: 0.032\n", "Epoch 3 Batch 652/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.942, Loss: 0.033\n", "Epoch 3 Batch 653/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.939, Loss: 0.042\n", "Epoch 3 Batch 654/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.944, Loss: 0.032\n", "Epoch 3 Batch 655/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.936, Loss: 0.044\n", "Epoch 3 Batch 656/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.932, Loss: 0.039\n", "Epoch 3 Batch 657/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.934, Loss: 0.033\n", "Epoch 3 Batch 658/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.934, Loss: 0.027\n", "Epoch 3 Batch 659/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.940, Loss: 0.036\n", "Epoch 3 Batch 660/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.931, Loss: 0.036\n", "Epoch 3 Batch 661/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.931, Loss: 0.036\n", "Epoch 3 Batch 662/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.930, Loss: 0.031\n", "Epoch 3 Batch 663/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.929, Loss: 0.028\n", "Epoch 3 Batch 664/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.928, Loss: 0.033\n", "Epoch 3 Batch 665/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.932, Loss: 0.032\n", "Epoch 3 Batch 666/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.934, Loss: 0.040\n", "Epoch 3 Batch 667/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.927, Loss: 0.043\n", "Epoch 3 Batch 668/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.928, Loss: 0.034\n", "Epoch 3 Batch 669/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.928, Loss: 0.037\n", "Epoch 3 Batch 670/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.926, Loss: 0.034\n", "Epoch 3 Batch 671/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.939, Loss: 0.035\n", "Epoch 3 Batch 672/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.943, Loss: 0.030\n", "Epoch 3 Batch 673/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.944, Loss: 0.035\n", "Epoch 3 Batch 674/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.944, Loss: 0.032\n", "Epoch 3 Batch 675/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.949, Loss: 0.039\n", "Epoch 3 Batch 676/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.959, Loss: 0.030\n", "Epoch 3 Batch 677/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.962, Loss: 0.040\n", "Epoch 3 Batch 678/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.962, Loss: 0.031\n", "Epoch 3 Batch 679/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.952, Loss: 0.034\n", "Epoch 3 Batch 680/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.952, Loss: 0.032\n", "Epoch 3 Batch 681/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.943, Loss: 0.044\n", "Epoch 3 Batch 682/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.942, Loss: 0.035\n", "Epoch 3 Batch 683/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.942, Loss: 0.028\n", "Epoch 3 Batch 684/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.939, Loss: 0.033\n", "Epoch 3 Batch 685/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.931, Loss: 0.042\n", "Epoch 3 Batch 686/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.929, Loss: 0.027\n", "Epoch 3 Batch 687/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.926, Loss: 0.035\n", "Epoch 3 Batch 688/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.931, Loss: 0.031\n", "Epoch 3 Batch 689/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.936, Loss: 0.023\n", "Epoch 3 Batch 690/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.935, Loss: 0.040\n", "Epoch 3 Batch 691/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.933, Loss: 0.048\n", "Epoch 3 Batch 692/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.924, Loss: 0.027\n", "Epoch 3 Batch 693/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.938, Loss: 0.055\n", "Epoch 3 Batch 694/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.933, Loss: 0.033\n", "Epoch 3 Batch 695/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.918, Loss: 0.037\n", "Epoch 3 Batch 696/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.928, Loss: 0.035\n", "Epoch 3 Batch 697/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.933, Loss: 0.034\n", "Epoch 3 Batch 698/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.929, Loss: 0.034\n", "Epoch 3 Batch 699/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.939, Loss: 0.030\n", "Epoch 3 Batch 700/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.934, Loss: 0.028\n", "Epoch 3 Batch 701/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.938, Loss: 0.036\n", "Epoch 3 Batch 702/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.942, Loss: 0.042\n", "Epoch 3 Batch 703/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.942, Loss: 0.041\n", "Epoch 3 Batch 704/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.942, Loss: 0.036\n", "Epoch 3 Batch 705/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.941, Loss: 0.047\n", "Epoch 3 Batch 706/1077 - Train Accuracy: 0.921, Validation Accuracy: 0.936, Loss: 0.065\n", "Epoch 3 Batch 707/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.942, Loss: 0.039\n", "Epoch 3 Batch 708/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.942, Loss: 0.036\n", "Epoch 3 Batch 709/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.936, Loss: 0.042\n", "Epoch 3 Batch 710/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.951, Loss: 0.029\n", "Epoch 3 Batch 711/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.946, Loss: 0.042\n", "Epoch 3 Batch 712/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.955, Loss: 0.028\n", "Epoch 3 Batch 713/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.945, Loss: 0.031\n", "Epoch 3 Batch 714/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.945, Loss: 0.042\n", "Epoch 3 Batch 715/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.932, Loss: 0.044\n", "Epoch 3 Batch 716/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.926, Loss: 0.034\n", "Epoch 3 Batch 717/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.943, Loss: 0.034\n", "Epoch 3 Batch 718/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.958, Loss: 0.044\n", "Epoch 3 Batch 719/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.957, Loss: 0.039\n", "Epoch 3 Batch 720/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.960, Loss: 0.041\n", "Epoch 3 Batch 721/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.958, Loss: 0.046\n", "Epoch 3 Batch 722/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.962, Loss: 0.028\n", "Epoch 3 Batch 723/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.960, Loss: 0.038\n", "Epoch 3 Batch 724/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.956, Loss: 0.036\n", "Epoch 3 Batch 725/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.956, Loss: 0.037\n", "Epoch 3 Batch 726/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.955, Loss: 0.033\n", "Epoch 3 Batch 727/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.951, Loss: 0.035\n", "Epoch 3 Batch 728/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.951, Loss: 0.040\n", "Epoch 3 Batch 729/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.951, Loss: 0.045\n", "Epoch 3 Batch 730/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.954, Loss: 0.048\n", "Epoch 3 Batch 731/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.954, Loss: 0.035\n", "Epoch 3 Batch 732/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.945, Loss: 0.042\n", "Epoch 3 Batch 733/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.933, Loss: 0.040\n", "Epoch 3 Batch 734/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.922, Loss: 0.042\n", "Epoch 3 Batch 735/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.924, Loss: 0.034\n", "Epoch 3 Batch 736/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.924, Loss: 0.031\n", "Epoch 3 Batch 737/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.934, Loss: 0.039\n", "Epoch 3 Batch 738/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.954, Loss: 0.031\n", "Epoch 3 Batch 739/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.950, Loss: 0.033\n", "Epoch 3 Batch 740/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.950, Loss: 0.029\n", "Epoch 3 Batch 741/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.943, Loss: 0.038\n", "Epoch 3 Batch 742/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.941, Loss: 0.024\n", "Epoch 3 Batch 743/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.941, Loss: 0.034\n", "Epoch 3 Batch 744/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.936, Loss: 0.030\n", "Epoch 3 Batch 745/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.938, Loss: 0.039\n", "Epoch 3 Batch 746/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.934, Loss: 0.033\n", "Epoch 3 Batch 747/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.930, Loss: 0.028\n", "Epoch 3 Batch 748/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.934, Loss: 0.035\n", "Epoch 3 Batch 749/1077 - Train Accuracy: 0.975, Validation Accuracy: 0.935, Loss: 0.028\n", "Epoch 3 Batch 750/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.942, Loss: 0.032\n", "Epoch 3 Batch 751/1077 - Train Accuracy: 0.977, Validation Accuracy: 0.946, Loss: 0.031\n", "Epoch 3 Batch 752/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.939, Loss: 0.029\n", "Epoch 3 Batch 753/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.948, Loss: 0.031\n", "Epoch 3 Batch 754/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.950, Loss: 0.043\n", "Epoch 3 Batch 755/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.936, Loss: 0.040\n", "Epoch 3 Batch 756/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.940, Loss: 0.034\n", "Epoch 3 Batch 757/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.941, Loss: 0.029\n", "Epoch 3 Batch 758/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.945, Loss: 0.031\n", "Epoch 3 Batch 759/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.945, Loss: 0.032\n", "Epoch 3 Batch 760/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.949, Loss: 0.034\n", "Epoch 3 Batch 761/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.953, Loss: 0.038\n", "Epoch 3 Batch 762/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.951, Loss: 0.023\n", "Epoch 3 Batch 763/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.955, Loss: 0.034\n", "Epoch 3 Batch 764/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.965, Loss: 0.033\n", "Epoch 3 Batch 765/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.961, Loss: 0.042\n", "Epoch 3 Batch 766/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.961, Loss: 0.032\n", "Epoch 3 Batch 767/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.952, Loss: 0.032\n", "Epoch 3 Batch 768/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.954, Loss: 0.038\n", "Epoch 3 Batch 769/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.938, Loss: 0.037\n", "Epoch 3 Batch 770/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.938, Loss: 0.034\n", "Epoch 3 Batch 771/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.949, Loss: 0.038\n", "Epoch 3 Batch 772/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.953, Loss: 0.033\n", "Epoch 3 Batch 773/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.955, Loss: 0.030\n", "Epoch 3 Batch 774/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.960, Loss: 0.032\n", "Epoch 3 Batch 775/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.955, Loss: 0.031\n", "Epoch 3 Batch 776/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.959, Loss: 0.028\n", "Epoch 3 Batch 777/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.964, Loss: 0.031\n", "Epoch 3 Batch 778/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.964, Loss: 0.038\n", "Epoch 3 Batch 779/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.959, Loss: 0.040\n", "Epoch 3 Batch 780/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.954, Loss: 0.049\n", "Epoch 3 Batch 781/1077 - Train Accuracy: 0.977, Validation Accuracy: 0.944, Loss: 0.025\n", "Epoch 3 Batch 782/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.941, Loss: 0.034\n", "Epoch 3 Batch 783/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.942, Loss: 0.036\n", "Epoch 3 Batch 784/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.940, Loss: 0.028\n", "Epoch 3 Batch 785/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.938, Loss: 0.032\n", "Epoch 3 Batch 786/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.943, Loss: 0.026\n", "Epoch 3 Batch 787/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.943, Loss: 0.033\n", "Epoch 3 Batch 788/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.943, Loss: 0.036\n", "Epoch 3 Batch 789/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.940, Loss: 0.036\n", "Epoch 3 Batch 790/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.940, Loss: 0.038\n", "Epoch 3 Batch 791/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.960, Loss: 0.039\n", "Epoch 3 Batch 792/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.952, Loss: 0.041\n", "Epoch 3 Batch 793/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.951, Loss: 0.031\n", "Epoch 3 Batch 794/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.953, Loss: 0.026\n", "Epoch 3 Batch 795/1077 - Train Accuracy: 0.933, Validation Accuracy: 0.949, Loss: 0.046\n", "Epoch 3 Batch 796/1077 - Train Accuracy: 0.975, Validation Accuracy: 0.951, Loss: 0.035\n", "Epoch 3 Batch 797/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.956, Loss: 0.032\n", "Epoch 3 Batch 798/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.952, Loss: 0.031\n", "Epoch 3 Batch 799/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.951, Loss: 0.052\n", "Epoch 3 Batch 800/1077 - Train Accuracy: 0.930, Validation Accuracy: 0.956, Loss: 0.035\n", "Epoch 3 Batch 801/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.953, Loss: 0.035\n", "Epoch 3 Batch 802/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.947, Loss: 0.028\n", "Epoch 3 Batch 803/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.933, Loss: 0.030\n", "Epoch 3 Batch 804/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.938, Loss: 0.026\n", "Epoch 3 Batch 805/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.947, Loss: 0.037\n", "Epoch 3 Batch 806/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.952, Loss: 0.033\n", "Epoch 3 Batch 807/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.948, Loss: 0.023\n", "Epoch 3 Batch 808/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.948, Loss: 0.048\n", "Epoch 3 Batch 809/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.953, Loss: 0.047\n", "Epoch 3 Batch 810/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.953, Loss: 0.024\n", "Epoch 3 Batch 811/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.952, Loss: 0.033\n", "Epoch 3 Batch 812/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.949, Loss: 0.031\n", "Epoch 3 Batch 813/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.948, Loss: 0.036\n", "Epoch 3 Batch 814/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.941, Loss: 0.037\n", "Epoch 3 Batch 815/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.941, Loss: 0.033\n", "Epoch 3 Batch 816/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.941, Loss: 0.037\n", "Epoch 3 Batch 817/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.943, Loss: 0.034\n", "Epoch 3 Batch 818/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.953, Loss: 0.035\n", "Epoch 3 Batch 819/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.950, Loss: 0.037\n", "Epoch 3 Batch 820/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.950, Loss: 0.028\n", "Epoch 3 Batch 821/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.946, Loss: 0.036\n", "Epoch 3 Batch 822/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.944, Loss: 0.035\n", "Epoch 3 Batch 823/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.949, Loss: 0.034\n", "Epoch 3 Batch 824/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.953, Loss: 0.033\n", "Epoch 3 Batch 825/1077 - Train Accuracy: 0.984, Validation Accuracy: 0.949, Loss: 0.024\n", "Epoch 3 Batch 826/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.947, Loss: 0.035\n", "Epoch 3 Batch 827/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.947, Loss: 0.034\n", "Epoch 3 Batch 828/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.955, Loss: 0.031\n", "Epoch 3 Batch 829/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.951, Loss: 0.040\n", "Epoch 3 Batch 830/1077 - Train Accuracy: 0.909, Validation Accuracy: 0.955, Loss: 0.041\n", "Epoch 3 Batch 831/1077 - Train Accuracy: 0.916, Validation Accuracy: 0.955, Loss: 0.038\n", "Epoch 3 Batch 832/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.960, Loss: 0.034\n", "Epoch 3 Batch 833/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.959, Loss: 0.039\n", "Epoch 3 Batch 834/1077 - Train Accuracy: 0.982, Validation Accuracy: 0.955, Loss: 0.030\n", "Epoch 3 Batch 835/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.950, Loss: 0.032\n", "Epoch 3 Batch 836/1077 - Train Accuracy: 0.974, Validation Accuracy: 0.940, Loss: 0.030\n", "Epoch 3 Batch 837/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.936, Loss: 0.047\n", "Epoch 3 Batch 838/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.946, Loss: 0.031\n", "Epoch 3 Batch 839/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.941, Loss: 0.027\n", "Epoch 3 Batch 840/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.938, Loss: 0.035\n", "Epoch 3 Batch 841/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.950, Loss: 0.040\n", "Epoch 3 Batch 842/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.938, Loss: 0.029\n", "Epoch 3 Batch 843/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.938, Loss: 0.031\n", "Epoch 3 Batch 844/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.933, Loss: 0.034\n", "Epoch 3 Batch 845/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.943, Loss: 0.029\n", "Epoch 3 Batch 846/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.943, Loss: 0.040\n", "Epoch 3 Batch 847/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.944, Loss: 0.039\n", "Epoch 3 Batch 848/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.944, Loss: 0.030\n", "Epoch 3 Batch 849/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.947, Loss: 0.024\n", "Epoch 3 Batch 850/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.947, Loss: 0.044\n", "Epoch 3 Batch 851/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.947, Loss: 0.045\n", "Epoch 3 Batch 852/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.938, Loss: 0.050\n", "Epoch 3 Batch 853/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.936, Loss: 0.032\n", "Epoch 3 Batch 854/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.933, Loss: 0.033\n", "Epoch 3 Batch 855/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.931, Loss: 0.036\n", "Epoch 3 Batch 856/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.927, Loss: 0.038\n", "Epoch 3 Batch 857/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.932, Loss: 0.035\n", "Epoch 3 Batch 858/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.938, Loss: 0.028\n", "Epoch 3 Batch 859/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.943, Loss: 0.041\n", "Epoch 3 Batch 860/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.948, Loss: 0.038\n", "Epoch 3 Batch 861/1077 - Train Accuracy: 0.920, Validation Accuracy: 0.946, Loss: 0.033\n", "Epoch 3 Batch 862/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.961, Loss: 0.033\n", "Epoch 3 Batch 863/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.961, Loss: 0.033\n", "Epoch 3 Batch 864/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.955, Loss: 0.037\n", "Epoch 3 Batch 865/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.955, Loss: 0.038\n", "Epoch 3 Batch 866/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.951, Loss: 0.035\n", "Epoch 3 Batch 867/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.949, Loss: 0.078\n", "Epoch 3 Batch 868/1077 - Train Accuracy: 0.978, Validation Accuracy: 0.952, Loss: 0.033\n", "Epoch 3 Batch 869/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.956, Loss: 0.039\n", "Epoch 3 Batch 870/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.953, Loss: 0.032\n", "Epoch 3 Batch 871/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.944, Loss: 0.026\n", "Epoch 3 Batch 872/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.944, Loss: 0.034\n", "Epoch 3 Batch 873/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.944, Loss: 0.030\n", "Epoch 3 Batch 874/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.945, Loss: 0.045\n", "Epoch 3 Batch 875/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.947, Loss: 0.035\n", "Epoch 3 Batch 876/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.947, Loss: 0.029\n", "Epoch 3 Batch 877/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.942, Loss: 0.025\n", "Epoch 3 Batch 878/1077 - Train Accuracy: 0.943, Validation Accuracy: 0.942, Loss: 0.031\n", "Epoch 3 Batch 879/1077 - Train Accuracy: 0.983, Validation Accuracy: 0.938, Loss: 0.026\n", "Epoch 3 Batch 880/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.942, Loss: 0.041\n", "Epoch 3 Batch 881/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.942, Loss: 0.038\n", "Epoch 3 Batch 882/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.948, Loss: 0.028\n", "Epoch 3 Batch 883/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.953, Loss: 0.047\n", "Epoch 3 Batch 884/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 885/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.935, Loss: 0.026\n", "Epoch 3 Batch 886/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.941, Loss: 0.037\n", "Epoch 3 Batch 887/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.946, Loss: 0.038\n", "Epoch 3 Batch 888/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.939, Loss: 0.029\n", "Epoch 3 Batch 889/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.936, Loss: 0.033\n", "Epoch 3 Batch 890/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.938, Loss: 0.032\n", "Epoch 3 Batch 891/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.938, Loss: 0.028\n", "Epoch 3 Batch 892/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.943, Loss: 0.029\n", "Epoch 3 Batch 893/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.945, Loss: 0.039\n", "Epoch 3 Batch 894/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.936, Loss: 0.028\n", "Epoch 3 Batch 895/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.940, Loss: 0.030\n", "Epoch 3 Batch 896/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.955, Loss: 0.034\n", "Epoch 3 Batch 897/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.951, Loss: 0.028\n", "Epoch 3 Batch 898/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.946, Loss: 0.029\n", "Epoch 3 Batch 899/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.951, Loss: 0.031\n", "Epoch 3 Batch 900/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.940, Loss: 0.039\n", "Epoch 3 Batch 901/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.944, Loss: 0.043\n", "Epoch 3 Batch 902/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.935, Loss: 0.038\n", "Epoch 3 Batch 903/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.935, Loss: 0.038\n", "Epoch 3 Batch 904/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.939, Loss: 0.031\n", "Epoch 3 Batch 905/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.944, Loss: 0.026\n", "Epoch 3 Batch 906/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.940, Loss: 0.028\n", "Epoch 3 Batch 907/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.938, Loss: 0.037\n", "Epoch 3 Batch 908/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.940, Loss: 0.041\n", "Epoch 3 Batch 909/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.940, Loss: 0.042\n", "Epoch 3 Batch 910/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.951, Loss: 0.036\n", "Epoch 3 Batch 911/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.946, Loss: 0.043\n", "Epoch 3 Batch 912/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.944, Loss: 0.034\n", "Epoch 3 Batch 913/1077 - Train Accuracy: 0.936, Validation Accuracy: 0.944, Loss: 0.043\n", "Epoch 3 Batch 914/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.943, Loss: 0.072\n", "Epoch 3 Batch 915/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.935, Loss: 0.029\n", "Epoch 3 Batch 916/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.936, Loss: 0.038\n", "Epoch 3 Batch 917/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.945, Loss: 0.033\n", "Epoch 3 Batch 918/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.942, Loss: 0.034\n", "Epoch 3 Batch 919/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.942, Loss: 0.032\n", "Epoch 3 Batch 920/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.939, Loss: 0.031\n", "Epoch 3 Batch 921/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.953, Loss: 0.032\n", "Epoch 3 Batch 922/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.949, Loss: 0.030\n", "Epoch 3 Batch 923/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.953, Loss: 0.026\n", "Epoch 3 Batch 924/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.954, Loss: 0.049\n", "Epoch 3 Batch 925/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.965, Loss: 0.030\n", "Epoch 3 Batch 926/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.961, Loss: 0.037\n", "Epoch 3 Batch 927/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.960, Loss: 0.039\n", "Epoch 3 Batch 928/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.951, Loss: 0.036\n", "Epoch 3 Batch 929/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.946, Loss: 0.029\n", "Epoch 3 Batch 930/1077 - Train Accuracy: 0.924, Validation Accuracy: 0.941, Loss: 0.030\n", "Epoch 3 Batch 931/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.957, Loss: 0.032\n", "Epoch 3 Batch 932/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.957, Loss: 0.034\n", "Epoch 3 Batch 933/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.952, Loss: 0.030\n", "Epoch 3 Batch 934/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.952, Loss: 0.033\n", "Epoch 3 Batch 935/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.952, Loss: 0.029\n", "Epoch 3 Batch 936/1077 - Train Accuracy: 0.931, Validation Accuracy: 0.952, Loss: 0.038\n", "Epoch 3 Batch 937/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.952, Loss: 0.045\n", "Epoch 3 Batch 938/1077 - Train Accuracy: 0.975, Validation Accuracy: 0.953, Loss: 0.037\n", "Epoch 3 Batch 939/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.952, Loss: 0.037\n", "Epoch 3 Batch 940/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.951, Loss: 0.028\n", "Epoch 3 Batch 941/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.946, Loss: 0.032\n", "Epoch 3 Batch 942/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.952, Loss: 0.034\n", "Epoch 3 Batch 943/1077 - Train Accuracy: 0.976, Validation Accuracy: 0.952, Loss: 0.029\n", "Epoch 3 Batch 944/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.955, Loss: 0.032\n", "Epoch 3 Batch 945/1077 - Train Accuracy: 0.988, Validation Accuracy: 0.951, Loss: 0.027\n", "Epoch 3 Batch 946/1077 - Train Accuracy: 0.988, Validation Accuracy: 0.944, Loss: 0.026\n", "Epoch 3 Batch 947/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.943, Loss: 0.034\n", "Epoch 3 Batch 948/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.941, Loss: 0.031\n", "Epoch 3 Batch 949/1077 - Train Accuracy: 0.977, Validation Accuracy: 0.936, Loss: 0.032\n", "Epoch 3 Batch 950/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.930, Loss: 0.031\n", "Epoch 3 Batch 951/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.931, Loss: 0.038\n", "Epoch 3 Batch 952/1077 - Train Accuracy: 0.977, Validation Accuracy: 0.931, Loss: 0.020\n", "Epoch 3 Batch 953/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.936, Loss: 0.023\n", "Epoch 3 Batch 954/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.935, Loss: 0.041\n", "Epoch 3 Batch 955/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.938, Loss: 0.037\n", "Epoch 3 Batch 956/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.936, Loss: 0.037\n", "Epoch 3 Batch 957/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.947, Loss: 0.020\n", "Epoch 3 Batch 958/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.937, Loss: 0.034\n", "Epoch 3 Batch 959/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.941, Loss: 0.031\n", "Epoch 3 Batch 960/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.950, Loss: 0.031\n", "Epoch 3 Batch 961/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.950, Loss: 0.028\n", "Epoch 3 Batch 962/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.949, Loss: 0.036\n", "Epoch 3 Batch 963/1077 - Train Accuracy: 0.947, Validation Accuracy: 0.953, Loss: 0.042\n", "Epoch 3 Batch 964/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.953, Loss: 0.030\n", "Epoch 3 Batch 965/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.948, Loss: 0.036\n", "Epoch 3 Batch 966/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.953, Loss: 0.026\n", "Epoch 3 Batch 967/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.953, Loss: 0.043\n", "Epoch 3 Batch 968/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.953, Loss: 0.037\n", "Epoch 3 Batch 969/1077 - Train Accuracy: 0.942, Validation Accuracy: 0.952, Loss: 0.038\n", "Epoch 3 Batch 970/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.938, Loss: 0.040\n", "Epoch 3 Batch 971/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.935, Loss: 0.036\n", "Epoch 3 Batch 972/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.924, Loss: 0.035\n", "Epoch 3 Batch 973/1077 - Train Accuracy: 0.978, Validation Accuracy: 0.941, Loss: 0.023\n", "Epoch 3 Batch 974/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.946, Loss: 0.024\n", "Epoch 3 Batch 975/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.946, Loss: 0.030\n", "Epoch 3 Batch 976/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.951, Loss: 0.028\n", "Epoch 3 Batch 977/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.949, Loss: 0.026\n", "Epoch 3 Batch 978/1077 - Train Accuracy: 0.977, Validation Accuracy: 0.944, Loss: 0.026\n", "Epoch 3 Batch 979/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.949, Loss: 0.034\n", "Epoch 3 Batch 980/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.952, Loss: 0.030\n", "Epoch 3 Batch 981/1077 - Train Accuracy: 0.935, Validation Accuracy: 0.947, Loss: 0.034\n", "Epoch 3 Batch 982/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.947, Loss: 0.033\n", "Epoch 3 Batch 983/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.951, Loss: 0.037\n", "Epoch 3 Batch 984/1077 - Train Accuracy: 0.923, Validation Accuracy: 0.951, Loss: 0.046\n", "Epoch 3 Batch 985/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.952, Loss: 0.032\n", "Epoch 3 Batch 986/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.961, Loss: 0.028\n", "Epoch 3 Batch 987/1077 - Train Accuracy: 0.951, Validation Accuracy: 0.952, Loss: 0.025\n", "Epoch 3 Batch 988/1077 - Train Accuracy: 0.962, Validation Accuracy: 0.949, Loss: 0.044\n", "Epoch 3 Batch 989/1077 - Train Accuracy: 0.937, Validation Accuracy: 0.951, Loss: 0.039\n", "Epoch 3 Batch 990/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.946, Loss: 0.040\n", "Epoch 3 Batch 991/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 992/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.944, Loss: 0.042\n", "Epoch 3 Batch 993/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.934, Loss: 0.025\n", "Epoch 3 Batch 994/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.939, Loss: 0.032\n", "Epoch 3 Batch 995/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.934, Loss: 0.029\n", "Epoch 3 Batch 996/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.934, Loss: 0.030\n", "Epoch 3 Batch 997/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.938, Loss: 0.034\n", "Epoch 3 Batch 998/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.943, Loss: 0.028\n", "Epoch 3 Batch 999/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.948, Loss: 0.035\n", "Epoch 3 Batch 1000/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.948, Loss: 0.035\n", "Epoch 3 Batch 1001/1077 - Train Accuracy: 0.963, Validation Accuracy: 0.953, Loss: 0.028\n", "Epoch 3 Batch 1002/1077 - Train Accuracy: 0.990, Validation Accuracy: 0.953, Loss: 0.017\n", "Epoch 3 Batch 1003/1077 - Train Accuracy: 0.969, Validation Accuracy: 0.953, Loss: 0.030\n", "Epoch 3 Batch 1004/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.942, Loss: 0.032\n", "Epoch 3 Batch 1005/1077 - Train Accuracy: 0.960, Validation Accuracy: 0.938, Loss: 0.028\n", "Epoch 3 Batch 1006/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.935, Loss: 0.030\n", "Epoch 3 Batch 1007/1077 - Train Accuracy: 0.973, Validation Accuracy: 0.930, Loss: 0.031\n", "Epoch 3 Batch 1008/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.936, Loss: 0.040\n", "Epoch 3 Batch 1009/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.940, Loss: 0.024\n", "Epoch 3 Batch 1010/1077 - Train Accuracy: 0.970, Validation Accuracy: 0.946, Loss: 0.029\n", "Epoch 3 Batch 1011/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.949, Loss: 0.027\n", "Epoch 3 Batch 1012/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.945, Loss: 0.026\n", "Epoch 3 Batch 1013/1077 - Train Accuracy: 0.979, Validation Accuracy: 0.951, Loss: 0.027\n", "Epoch 3 Batch 1014/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.945, Loss: 0.027\n", "Epoch 3 Batch 1015/1077 - Train Accuracy: 0.925, Validation Accuracy: 0.944, Loss: 0.036\n", "Epoch 3 Batch 1016/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.953, Loss: 0.031\n", "Epoch 3 Batch 1017/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.955, Loss: 0.034\n", "Epoch 3 Batch 1018/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.955, Loss: 0.035\n", "Epoch 3 Batch 1019/1077 - Train Accuracy: 0.934, Validation Accuracy: 0.957, Loss: 0.048\n", "Epoch 3 Batch 1020/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.956, Loss: 0.033\n", "Epoch 3 Batch 1021/1077 - Train Accuracy: 0.967, Validation Accuracy: 0.956, Loss: 0.028\n", "Epoch 3 Batch 1022/1077 - Train Accuracy: 0.961, Validation Accuracy: 0.956, Loss: 0.024\n", "Epoch 3 Batch 1023/1077 - Train Accuracy: 0.938, Validation Accuracy: 0.950, Loss: 0.037\n", "Epoch 3 Batch 1024/1077 - Train Accuracy: 0.926, Validation Accuracy: 0.951, Loss: 0.042\n", "Epoch 3 Batch 1025/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.950, Loss: 0.026\n", "Epoch 3 Batch 1026/1077 - Train Accuracy: 0.983, Validation Accuracy: 0.950, Loss: 0.029\n", "Epoch 3 Batch 1027/1077 - Train Accuracy: 0.941, Validation Accuracy: 0.948, Loss: 0.025\n", "Epoch 3 Batch 1028/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.946, Loss: 0.029\n", "Epoch 3 Batch 1029/1077 - Train Accuracy: 0.932, Validation Accuracy: 0.944, Loss: 0.028\n", "Epoch 3 Batch 1030/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.939, Loss: 0.030\n", "Epoch 3 Batch 1031/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.944, Loss: 0.037\n", "Epoch 3 Batch 1032/1077 - Train Accuracy: 0.946, Validation Accuracy: 0.944, Loss: 0.032\n", "Epoch 3 Batch 1033/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 1034/1077 - Train Accuracy: 0.955, Validation Accuracy: 0.945, Loss: 0.033\n", "Epoch 3 Batch 1035/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.946, Loss: 0.021\n", "Epoch 3 Batch 1036/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.941, Loss: 0.040\n", "Epoch 3 Batch 1037/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.948, Loss: 0.031\n", "Epoch 3 Batch 1038/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.943, Loss: 0.031\n", "Epoch 3 Batch 1039/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.943, Loss: 0.027\n", "Epoch 3 Batch 1040/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.942, Loss: 0.038\n", "Epoch 3 Batch 1041/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.940, Loss: 0.042\n", "Epoch 3 Batch 1042/1077 - Train Accuracy: 0.957, Validation Accuracy: 0.947, Loss: 0.037\n", "Epoch 3 Batch 1043/1077 - Train Accuracy: 0.953, Validation Accuracy: 0.940, Loss: 0.039\n", "Epoch 3 Batch 1044/1077 - Train Accuracy: 0.968, Validation Accuracy: 0.942, Loss: 0.040\n", "Epoch 3 Batch 1045/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.944, Loss: 0.034\n", "Epoch 3 Batch 1046/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.942, Loss: 0.029\n", "Epoch 3 Batch 1047/1077 - Train Accuracy: 0.971, Validation Accuracy: 0.941, Loss: 0.028\n", "Epoch 3 Batch 1048/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.940, Loss: 0.034\n", "Epoch 3 Batch 1049/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.947, Loss: 0.031\n", "Epoch 3 Batch 1050/1077 - Train Accuracy: 0.966, Validation Accuracy: 0.947, Loss: 0.026\n", "Epoch 3 Batch 1051/1077 - Train Accuracy: 0.940, Validation Accuracy: 0.947, Loss: 0.036\n", "Epoch 3 Batch 1052/1077 - Train Accuracy: 0.964, Validation Accuracy: 0.949, Loss: 0.033\n", "Epoch 3 Batch 1053/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.944, Loss: 0.039\n", "Epoch 3 Batch 1054/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.951, Loss: 0.033\n", "Epoch 3 Batch 1055/1077 - Train Accuracy: 0.949, Validation Accuracy: 0.961, Loss: 0.036\n", "Epoch 3 Batch 1056/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.955, Loss: 0.030\n", "Epoch 3 Batch 1057/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.952, Loss: 0.035\n", "Epoch 3 Batch 1058/1077 - Train Accuracy: 0.958, Validation Accuracy: 0.952, Loss: 0.031\n", "Epoch 3 Batch 1059/1077 - Train Accuracy: 0.908, Validation Accuracy: 0.947, Loss: 0.049\n", "Epoch 3 Batch 1060/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.942, Loss: 0.026\n", "Epoch 3 Batch 1061/1077 - Train Accuracy: 0.939, Validation Accuracy: 0.941, Loss: 0.049\n", "Epoch 3 Batch 1062/1077 - Train Accuracy: 0.950, Validation Accuracy: 0.946, Loss: 0.034\n", "Epoch 3 Batch 1063/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.944, Loss: 0.040\n", "Epoch 3 Batch 1064/1077 - Train Accuracy: 0.993, Validation Accuracy: 0.939, Loss: 0.030\n", "Epoch 3 Batch 1065/1077 - Train Accuracy: 0.948, Validation Accuracy: 0.948, Loss: 0.036\n", "Epoch 3 Batch 1066/1077 - Train Accuracy: 0.952, Validation Accuracy: 0.943, Loss: 0.035\n", "Epoch 3 Batch 1067/1077 - Train Accuracy: 0.959, Validation Accuracy: 0.942, Loss: 0.035\n", "Epoch 3 Batch 1068/1077 - Train Accuracy: 0.965, Validation Accuracy: 0.942, Loss: 0.028\n", "Epoch 3 Batch 1069/1077 - Train Accuracy: 0.975, Validation Accuracy: 0.949, Loss: 0.025\n", "Epoch 3 Batch 1070/1077 - Train Accuracy: 0.954, Validation Accuracy: 0.945, Loss: 0.034\n", "Epoch 3 Batch 1071/1077 - Train Accuracy: 0.945, Validation Accuracy: 0.947, Loss: 0.033\n", "Epoch 3 Batch 1072/1077 - Train Accuracy: 0.972, Validation Accuracy: 0.949, Loss: 0.041\n", "Epoch 3 Batch 1073/1077 - Train Accuracy: 0.956, Validation Accuracy: 0.944, Loss: 0.035\n", "Epoch 3 Batch 1074/1077 - Train Accuracy: 0.974, Validation Accuracy: 0.944, Loss: 0.041\n", "Epoch 3 Batch 1075/1077 - Train Accuracy: 0.944, Validation Accuracy: 0.950, Loss: 0.040\n", "Model Trained and Saved\n" ] } ], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "import time\n", "\n", "def get_accuracy(target, logits):\n", " \"\"\"\n", " Calculate accuracy\n", " \"\"\"\n", " max_seq = max(target.shape[1], logits.shape[1])\n", " if max_seq - target.shape[1]:\n", " target = np.pad(\n", " target,\n", " [(0,0),(0,max_seq - target.shape[1])],\n", " 'constant')\n", " if max_seq - logits.shape[1]:\n", " logits = np.pad(\n", " logits,\n", " [(0,0),(0,max_seq - logits.shape[1]), (0,0)],\n", " 'constant')\n", "\n", " return np.mean(np.equal(target, np.argmax(logits, 2)))\n", "\n", "train_source = source_int_text[batch_size:]\n", "train_target = target_int_text[batch_size:]\n", "\n", "valid_source = helper.pad_sentence_batch(source_int_text[:batch_size])\n", "valid_target = helper.pad_sentence_batch(target_int_text[:batch_size])\n", "\n", "with tf.Session(graph=train_graph) as sess:\n", " sess.run(tf.global_variables_initializer())\n", "\n", " for epoch_i in range(epochs):\n", " for batch_i, (source_batch, target_batch) in enumerate(\n", " helper.batch_data(train_source, train_target, batch_size)):\n", " start_time = time.time()\n", " \n", " _, loss = sess.run(\n", " [train_op, cost],\n", " {input_data: source_batch,\n", " targets: target_batch,\n", " lr: learning_rate,\n", " sequence_length: target_batch.shape[1],\n", " keep_prob: keep_probability})\n", " \n", " batch_train_logits = sess.run(\n", " inference_logits,\n", " {input_data: source_batch, keep_prob: 1.0})\n", " batch_valid_logits = sess.run(\n", " inference_logits,\n", " {input_data: valid_source, keep_prob: 1.0})\n", " \n", " train_acc = get_accuracy(target_batch, batch_train_logits)\n", " valid_acc = get_accuracy(np.array(valid_target), batch_valid_logits)\n", " end_time = time.time()\n", " print('Epoch {:>3} Batch {:>4}/{} - Train Accuracy: {:>6.3f}, Validation Accuracy: {:>6.3f}, Loss: {:>6.3f}'\n", " .format(epoch_i, batch_i, len(source_int_text) // batch_size, train_acc, valid_acc, loss))\n", "\n", " # Save Model\n", " saver = tf.train.Saver()\n", " saver.save(sess, save_path)\n", " print('Model Trained and Saved')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Save Parameters\n", "Save the `batch_size` and `save_path` parameters for inference." ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "# Save parameters for checkpoint\n", "helper.save_params(save_path)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# Checkpoint" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "import tensorflow as tf\n", "import numpy as np\n", "import helper\n", "import problem_unittests as tests\n", "\n", "_, (source_vocab_to_int, target_vocab_to_int), (source_int_to_vocab, target_int_to_vocab) = helper.load_preprocess()\n", "load_path = helper.load_params()" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Sentence to Sequence\n", "To feed a sentence into the model for translation, you first need to preprocess it. Implement the function `sentence_to_seq()` to preprocess new sentences.\n", "\n", "- Convert the sentence to lowercase\n", "- Convert words into ids using `vocab_to_int`\n", " - Convert words not in the vocabulary, to the `<UNK>` word id." ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests Passed\n" ] } ], "source": [ "def sentence_to_seq(sentence, vocab_to_int):\n", " \"\"\"\n", " Convert a sentence to a sequence of ids\n", " :param sentence: String\n", " :param vocab_to_int: Dictionary to go from the words to an id\n", " :return: List of word ids\n", " \"\"\" \n", " return [vocab_to_int[word] if word in vocab_to_int else vocab_to_int['<UNK>'] \n", " for word in sentence.lower().split()]\n", " \n", "\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL THAT IS BELOW THIS LINE\n", "\"\"\"\n", "tests.test_sentence_to_seq(sentence_to_seq)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Translate\n", "This will translate `translate_sentence` from English to French." ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Input\n", " Word Ids: [134, 179, 22, 58, 43, 6, 200]\n", " English Words: ['he', 'saw', 'a', 'old', 'yellow', 'truck', '.']\n", "\n", "Prediction\n", " Word Ids: [60, 196, 105, 346, 273, 28, 356, 261, 1]\n", " French Words: ['il', 'a', 'vu', 'un', 'camion', 'jaune', 'brillant', '.', '<EOS>']\n" ] } ], "source": [ "translate_sentence = 'he saw a old yellow truck .'\n", "\n", "\n", "\"\"\"\n", "DON'T MODIFY ANYTHING IN THIS CELL\n", "\"\"\"\n", "translate_sentence = sentence_to_seq(translate_sentence, source_vocab_to_int)\n", "\n", "loaded_graph = tf.Graph()\n", "with tf.Session(graph=loaded_graph) as sess:\n", " # Load saved model\n", " loader = tf.train.import_meta_graph(load_path + '.meta')\n", " loader.restore(sess, load_path)\n", "\n", " input_data = loaded_graph.get_tensor_by_name('input:0')\n", " logits = loaded_graph.get_tensor_by_name('logits:0')\n", " keep_prob = loaded_graph.get_tensor_by_name('keep_prob:0')\n", "\n", " translate_logits = sess.run(logits, {input_data: [translate_sentence], keep_prob: 1.0})[0]\n", "\n", "print('Input')\n", "print(' Word Ids: {}'.format([i for i in translate_sentence]))\n", "print(' English Words: {}'.format([source_int_to_vocab[i] for i in translate_sentence]))\n", "\n", "print('\\nPrediction')\n", "print(' Word Ids: {}'.format([i for i in np.argmax(translate_logits, 1)]))\n", "print(' French Words: {}'.format([target_int_to_vocab[i] for i in np.argmax(translate_logits, 1)]))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Imperfect Translation\n", "You might notice that some sentences translate better than others. Since the dataset you're using only has a vocabulary of 227 English words of the thousands that you use, you're only going to see good results using these words. For this project, you don't need a perfect translation. However, if you want to create a better translation model, you'll need better data.\n", "\n", "You can train on the [WMT10 French-English corpus](http://www.statmt.org/wmt10/training-giga-fren.tar). This dataset has more vocabulary and richer in topics discussed. However, this will take you days to train, so make sure you've a GPU and the neural network is performing well on dataset we provided. Just make sure you play with the WMT10 corpus after you've submitted this project.\n", "## Submitting This Project\n", "When submitting this project, make sure to run all the cells before saving the notebook. Save the notebook file as \"dlnd_language_translation.ipynb\" and save it as a HTML file under \"File\" -> \"Download as\". Include the \"helper.py\" and \"problem_unittests.py\" files in your submission." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
unlicense
csaladenes/blog
airports/airportia_hu_merger.ipynb
2
57248
{ "cells": [ { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd, json, numpy as np\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from pygeocoder import Geocoder\n", "apik='AIzaSyDybC2OroTE_XDJTuxjKruxFpby5VDhEGk'" ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "collapsed": true }, "outputs": [], "source": [ "locations=json.loads(file('locations_hu.json','r').read())" ] }, { "cell_type": "code", "execution_count": 74, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mdf_dest=pd.read_json(json.loads(file('mdf_hu_dest.json','r').read()))\n", "mdf_arrv=pd.read_json(json.loads(file('mdf_hu_arrv.json','r').read()))" ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "collapsed": true }, "outputs": [], "source": [ "citysave_dest=json.loads(file('citysave_hu_dest.json','r').read())\n", "citysave_arrv=json.loads(file('citysave_hu_arrv.json','r').read())" ] }, { "cell_type": "code", "execution_count": 76, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Airline</th>\n", " <th>Airport</th>\n", " <th>City</th>\n", " <th>Date</th>\n", " <th>From</th>\n", " <th>To</th>\n", " <th>index</th>\n", " <th>ID</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Wizz Air</td>\n", " <td>LTN</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>DEB</td>\n", " <td>London LTN</td>\n", " <td>0</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Wizz Air</td>\n", " <td>EIN</td>\n", " <td>Eindhoven</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>DEB</td>\n", " <td>Eindhoven EIN</td>\n", " <td>1</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>DEB</td>\n", " <td>Munich MUC</td>\n", " <td>1</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>100</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Munich MUC</td>\n", " <td>68</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1000</th>\n", " <td>Brussels Airlines</td>\n", " <td>BRU</td>\n", " <td>Brussels</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Brussels BRU</td>\n", " <td>92</td>\n", " <td>BUD</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Airline Airport City \\\n", "0 Wizz Air LTN London \n", "1 Wizz Air EIN Eindhoven \n", "10 Lufthansa MUC Munich \n", "100 Lufthansa MUC Munich \n", "1000 Brussels Airlines BRU Brussels \n", "\n", " Date From To \\\n", "0 https://www.airportia.com/hungary/debrecen-int... DEB London LTN \n", "1 https://www.airportia.com/hungary/debrecen-int... DEB Eindhoven EIN \n", "10 https://www.airportia.com/hungary/debrecen-int... DEB Munich MUC \n", "100 https://www.airportia.com/hungary/budapest-lis... BUD Munich MUC \n", "1000 https://www.airportia.com/hungary/budapest-lis... BUD Brussels BRU \n", "\n", " index ID \n", "0 0 DEB \n", "1 1 DEB \n", "10 1 DEB \n", "100 68 BUD \n", "1000 92 BUD " ] }, "execution_count": 76, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mdf_dest['ID']=mdf_dest['From']\n", "mdf_dest.head()" ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Airline</th>\n", " <th>Airport</th>\n", " <th>City</th>\n", " <th>Date</th>\n", " <th>From</th>\n", " <th>To</th>\n", " <th>index</th>\n", " <th>ID</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>Munich MUC</td>\n", " <td>DEB</td>\n", " <td>0</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Wizz Air</td>\n", " <td>BGY</td>\n", " <td>Milan</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>Milan BGY</td>\n", " <td>DEB</td>\n", " <td>1</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>Wizz Air</td>\n", " <td>EIN</td>\n", " <td>Eindhoven</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>Eindhoven EIN</td>\n", " <td>DEB</td>\n", " <td>1</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>100</th>\n", " <td>EasyJet</td>\n", " <td>GVA</td>\n", " <td>Geneva</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Geneva GVA</td>\n", " <td>BUD</td>\n", " <td>68</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1000</th>\n", " <td>Germanwings</td>\n", " <td>DUS</td>\n", " <td>Dusseldorf</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Dusseldorf DUS</td>\n", " <td>BUD</td>\n", " <td>79</td>\n", " <td>BUD</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Airline Airport City \\\n", "0 Lufthansa MUC Munich \n", "1 Wizz Air BGY Milan \n", "10 Wizz Air EIN Eindhoven \n", "100 EasyJet GVA Geneva \n", "1000 Germanwings DUS Dusseldorf \n", "\n", " Date From To \\\n", "0 https://www.airportia.com/hungary/debrecen-int... Munich MUC DEB \n", "1 https://www.airportia.com/hungary/debrecen-int... Milan BGY DEB \n", "10 https://www.airportia.com/hungary/debrecen-int... Eindhoven EIN DEB \n", "100 https://www.airportia.com/hungary/budapest-lis... Geneva GVA BUD \n", "1000 https://www.airportia.com/hungary/budapest-lis... Dusseldorf DUS BUD \n", "\n", " index ID \n", "0 0 DEB \n", "1 1 DEB \n", "10 1 DEB \n", "100 68 BUD \n", "1000 79 BUD " ] }, "execution_count": 77, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mdf_arrv['ID']=mdf_arrv['To']\n", "mdf_arrv.head()" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": true }, "outputs": [], "source": [ "mdf=pd.concat([mdf_dest,mdf_arrv])" ] }, { "cell_type": "code", "execution_count": 79, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1572" ] }, "execution_count": 79, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(mdf_dest)" ] }, { "cell_type": "code", "execution_count": 80, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1584" ] }, "execution_count": 80, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(mdf_arrv)" ] }, { "cell_type": "code", "execution_count": 81, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Airline</th>\n", " <th>Airport</th>\n", " <th>City</th>\n", " <th>Date</th>\n", " <th>From</th>\n", " <th>To</th>\n", " <th>index</th>\n", " <th>ID</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Wizz Air</td>\n", " <td>LTN</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>DEB</td>\n", " <td>London LTN</td>\n", " <td>0</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Wizz Air</td>\n", " <td>EIN</td>\n", " <td>Eindhoven</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>DEB</td>\n", " <td>Eindhoven EIN</td>\n", " <td>1</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/debrecen-int...</td>\n", " <td>DEB</td>\n", " <td>Munich MUC</td>\n", " <td>1</td>\n", " <td>DEB</td>\n", " </tr>\n", " <tr>\n", " <th>100</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Munich MUC</td>\n", " <td>68</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1000</th>\n", " <td>Brussels Airlines</td>\n", " <td>BRU</td>\n", " <td>Brussels</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Brussels BRU</td>\n", " <td>92</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1001</th>\n", " <td>British Airways</td>\n", " <td>LHR</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>London LHR</td>\n", " <td>93</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1002</th>\n", " <td>Qatar Airways</td>\n", " <td>DOH</td>\n", " <td>Doha</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Doha DOH</td>\n", " <td>94</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1003</th>\n", " <td>Ryanair</td>\n", " <td>CIA</td>\n", " <td>Rome</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Rome CIA</td>\n", " <td>95</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1004</th>\n", " <td>Wizz Air</td>\n", " <td>CRL</td>\n", " <td>Brussels</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Brussels CRL</td>\n", " <td>96</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1005</th>\n", " <td>Jet2</td>\n", " <td>EMA</td>\n", " <td>East Midlands</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>East Midlands EMA</td>\n", " <td>97</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1006</th>\n", " <td>Austrian Airlines</td>\n", " <td>VIE</td>\n", " <td>Vienna</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Vienna VIE</td>\n", " <td>98</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1007</th>\n", " <td>Wizz Air</td>\n", " <td>LTN</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>London LTN</td>\n", " <td>99</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1008</th>\n", " <td>Lufthansa</td>\n", " <td>FRA</td>\n", " <td>Frankfurt</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Frankfurt FRA</td>\n", " <td>100</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1009</th>\n", " <td>Air Berlin</td>\n", " <td>TXL</td>\n", " <td>Berlin</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Berlin TXL</td>\n", " <td>101</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>101</th>\n", " <td>BH Air</td>\n", " <td>CDG</td>\n", " <td>Paris</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Paris CDG</td>\n", " <td>69</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1010</th>\n", " <td>Jet2</td>\n", " <td>LBA</td>\n", " <td>Leeds</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Leeds LBA</td>\n", " <td>102</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1011</th>\n", " <td>Wizz Air</td>\n", " <td>NCE</td>\n", " <td>Nice</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Nice NCE</td>\n", " <td>103</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1012</th>\n", " <td>Czech Airlines</td>\n", " <td>PRG</td>\n", " <td>Prague</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Prague PRG</td>\n", " <td>104</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1013</th>\n", " <td>Wizz Air</td>\n", " <td>MXP</td>\n", " <td>Milan</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Milan MXP</td>\n", " <td>105</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1014</th>\n", " <td>Ryanair</td>\n", " <td>ATH</td>\n", " <td>Athens</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Athens ATH</td>\n", " <td>106</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1015</th>\n", " <td>Germanwings</td>\n", " <td>STR</td>\n", " <td>Stuttgart</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Stuttgart STR</td>\n", " <td>107</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1016</th>\n", " <td>Ryanair</td>\n", " <td>BCN</td>\n", " <td>Barcelona</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Barcelona BCN</td>\n", " <td>108</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1017</th>\n", " <td>Swiss</td>\n", " <td>ZRH</td>\n", " <td>Zurich</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Zurich ZRH</td>\n", " <td>109</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1018</th>\n", " <td>Air China</td>\n", " <td>PEK</td>\n", " <td>Beijing</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Beijing PEK</td>\n", " <td>110</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1019</th>\n", " <td>Transavia</td>\n", " <td>ORY</td>\n", " <td>Paris</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Paris ORY</td>\n", " <td>111</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>102</th>\n", " <td>Wizz Air</td>\n", " <td>AGP</td>\n", " <td>Malaga</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Malaga AGP</td>\n", " <td>70</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1020</th>\n", " <td>Ryanair</td>\n", " <td>CRL</td>\n", " <td>Brussels</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Brussels CRL</td>\n", " <td>112</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1021</th>\n", " <td>Eurowings</td>\n", " <td>DUS</td>\n", " <td>Dusseldorf</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Dusseldorf DUS</td>\n", " <td>113</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1022</th>\n", " <td>Turkish Airlines</td>\n", " <td>IST</td>\n", " <td>Istanbul</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Istanbul IST</td>\n", " <td>114</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>1023</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Munich MUC</td>\n", " <td>115</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>972</th>\n", " <td>EasyJet</td>\n", " <td>SXF</td>\n", " <td>Berlin</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Berlin SXF</td>\n", " <td>BUD</td>\n", " <td>51</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>973</th>\n", " <td>EasyJet</td>\n", " <td>GVA</td>\n", " <td>Geneva</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Geneva GVA</td>\n", " <td>BUD</td>\n", " <td>52</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>974</th>\n", " <td>Lufthansa</td>\n", " <td>MUC</td>\n", " <td>Munich</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Munich MUC</td>\n", " <td>BUD</td>\n", " <td>53</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>975</th>\n", " <td>Air France</td>\n", " <td>CDG</td>\n", " <td>Paris</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Paris CDG</td>\n", " <td>BUD</td>\n", " <td>54</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>976</th>\n", " <td>EasyJet</td>\n", " <td>LGW</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>London LGW</td>\n", " <td>BUD</td>\n", " <td>55</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>977</th>\n", " <td>Wizz Air</td>\n", " <td>FCO</td>\n", " <td>Rome</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Rome FCO</td>\n", " <td>BUD</td>\n", " <td>56</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>978</th>\n", " <td>KLM</td>\n", " <td>AMS</td>\n", " <td>Amsterdam</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Amsterdam AMS</td>\n", " <td>BUD</td>\n", " <td>57</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>979</th>\n", " <td>Wizz Air</td>\n", " <td>CLJ</td>\n", " <td>Cluj-Napoca</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Cluj-Napoca CLJ</td>\n", " <td>BUD</td>\n", " <td>58</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>98</th>\n", " <td>EasyJet</td>\n", " <td>CDG</td>\n", " <td>Paris</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Paris CDG</td>\n", " <td>BUD</td>\n", " <td>66</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>980</th>\n", " <td>Ryanair</td>\n", " <td>BRS</td>\n", " <td>Bristol</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Bristol BRS</td>\n", " <td>BUD</td>\n", " <td>59</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>981</th>\n", " <td>LOT</td>\n", " <td>WAW</td>\n", " <td>Warsaw</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Warsaw WAW</td>\n", " <td>BUD</td>\n", " <td>60</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>982</th>\n", " <td>Ryanair</td>\n", " <td>BLL</td>\n", " <td>Billund</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Billund BLL</td>\n", " <td>BUD</td>\n", " <td>61</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>983</th>\n", " <td>Alitalia</td>\n", " <td>FCO</td>\n", " <td>Rome</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Rome FCO</td>\n", " <td>BUD</td>\n", " <td>62</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>984</th>\n", " <td>Cargolux</td>\n", " <td>HKG</td>\n", " <td>Hong Kong</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Hong Kong HKG</td>\n", " <td>BUD</td>\n", " <td>63</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>985</th>\n", " <td>British Airways</td>\n", " <td>LHR</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>London LHR</td>\n", " <td>BUD</td>\n", " <td>64</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>986</th>\n", " <td>Brussels Airlines</td>\n", " <td>BRU</td>\n", " <td>Brussels</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Brussels BRU</td>\n", " <td>BUD</td>\n", " <td>65</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>987</th>\n", " <td>Wizz Air</td>\n", " <td>MLA</td>\n", " <td>Luqa</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Luqa MLA</td>\n", " <td>BUD</td>\n", " <td>66</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>988</th>\n", " <td>Lufthansa</td>\n", " <td>FRA</td>\n", " <td>Frankfurt</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Frankfurt FRA</td>\n", " <td>BUD</td>\n", " <td>67</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>989</th>\n", " <td>Wizz Air</td>\n", " <td>LTN</td>\n", " <td>London</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>London LTN</td>\n", " <td>BUD</td>\n", " <td>68</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>99</th>\n", " <td>Ryanair</td>\n", " <td>BVA</td>\n", " <td>Paris</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Paris BVA</td>\n", " <td>BUD</td>\n", " <td>67</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>990</th>\n", " <td>Austrian Airlines</td>\n", " <td>VIE</td>\n", " <td>Vienna</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Vienna VIE</td>\n", " <td>BUD</td>\n", " <td>69</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>991</th>\n", " <td>Finnair</td>\n", " <td>HEL</td>\n", " <td>Helsinki</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Helsinki HEL</td>\n", " <td>BUD</td>\n", " <td>70</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>992</th>\n", " <td>Air Berlin</td>\n", " <td>TXL</td>\n", " <td>Berlin</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Berlin TXL</td>\n", " <td>BUD</td>\n", " <td>71</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>993</th>\n", " <td>Wizz Air</td>\n", " <td>HHN</td>\n", " <td>Frankfurt</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Frankfurt HHN</td>\n", " <td>BUD</td>\n", " <td>72</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>994</th>\n", " <td>Wizz Air</td>\n", " <td>SOF</td>\n", " <td>Sofia</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Sofia SOF</td>\n", " <td>BUD</td>\n", " <td>73</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>995</th>\n", " <td>Swiss</td>\n", " <td>ZRH</td>\n", " <td>Zurich</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Zurich ZRH</td>\n", " <td>BUD</td>\n", " <td>74</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>996</th>\n", " <td>Tarom</td>\n", " <td>OTP</td>\n", " <td>Bucharest</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Bucharest OTP</td>\n", " <td>BUD</td>\n", " <td>75</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>997</th>\n", " <td>Eurowings</td>\n", " <td>STR</td>\n", " <td>Stuttgart</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Stuttgart STR</td>\n", " <td>BUD</td>\n", " <td>76</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>998</th>\n", " <td>Czech Airlines</td>\n", " <td>PRG</td>\n", " <td>Prague</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Prague PRG</td>\n", " <td>BUD</td>\n", " <td>77</td>\n", " <td>BUD</td>\n", " </tr>\n", " <tr>\n", " <th>999</th>\n", " <td>Turkish Airlines</td>\n", " <td>IST</td>\n", " <td>Istanbul</td>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Istanbul IST</td>\n", " <td>BUD</td>\n", " <td>78</td>\n", " <td>BUD</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3156 rows × 8 columns</p>\n", "</div>" ], "text/plain": [ " Airline Airport City \\\n", "0 Wizz Air LTN London \n", "1 Wizz Air EIN Eindhoven \n", "10 Lufthansa MUC Munich \n", "100 Lufthansa MUC Munich \n", "1000 Brussels Airlines BRU Brussels \n", "1001 British Airways LHR London \n", "1002 Qatar Airways DOH Doha \n", "1003 Ryanair CIA Rome \n", "1004 Wizz Air CRL Brussels \n", "1005 Jet2 EMA East Midlands \n", "1006 Austrian Airlines VIE Vienna \n", "1007 Wizz Air LTN London \n", "1008 Lufthansa FRA Frankfurt \n", "1009 Air Berlin TXL Berlin \n", "101 BH Air CDG Paris \n", "1010 Jet2 LBA Leeds \n", "1011 Wizz Air NCE Nice \n", "1012 Czech Airlines PRG Prague \n", "1013 Wizz Air MXP Milan \n", "1014 Ryanair ATH Athens \n", "1015 Germanwings STR Stuttgart \n", "1016 Ryanair BCN Barcelona \n", "1017 Swiss ZRH Zurich \n", "1018 Air China PEK Beijing \n", "1019 Transavia ORY Paris \n", "102 Wizz Air AGP Malaga \n", "1020 Ryanair CRL Brussels \n", "1021 Eurowings DUS Dusseldorf \n", "1022 Turkish Airlines IST Istanbul \n", "1023 Lufthansa MUC Munich \n", "... ... ... ... \n", "972 EasyJet SXF Berlin \n", "973 EasyJet GVA Geneva \n", "974 Lufthansa MUC Munich \n", "975 Air France CDG Paris \n", "976 EasyJet LGW London \n", "977 Wizz Air FCO Rome \n", "978 KLM AMS Amsterdam \n", "979 Wizz Air CLJ Cluj-Napoca \n", "98 EasyJet CDG Paris \n", "980 Ryanair BRS Bristol \n", "981 LOT WAW Warsaw \n", "982 Ryanair BLL Billund \n", "983 Alitalia FCO Rome \n", "984 Cargolux HKG Hong Kong \n", "985 British Airways LHR London \n", "986 Brussels Airlines BRU Brussels \n", "987 Wizz Air MLA Luqa \n", "988 Lufthansa FRA Frankfurt \n", "989 Wizz Air LTN London \n", "99 Ryanair BVA Paris \n", "990 Austrian Airlines VIE Vienna \n", "991 Finnair HEL Helsinki \n", "992 Air Berlin TXL Berlin \n", "993 Wizz Air HHN Frankfurt \n", "994 Wizz Air SOF Sofia \n", "995 Swiss ZRH Zurich \n", "996 Tarom OTP Bucharest \n", "997 Eurowings STR Stuttgart \n", "998 Czech Airlines PRG Prague \n", "999 Turkish Airlines IST Istanbul \n", "\n", " Date From \\\n", "0 https://www.airportia.com/hungary/debrecen-int... DEB \n", "1 https://www.airportia.com/hungary/debrecen-int... DEB \n", "10 https://www.airportia.com/hungary/debrecen-int... DEB \n", "100 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1000 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1001 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1002 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1003 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1004 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1005 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1006 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1007 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1008 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1009 https://www.airportia.com/hungary/budapest-lis... BUD \n", "101 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1010 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1011 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1012 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1013 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1014 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1015 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1016 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1017 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1018 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1019 https://www.airportia.com/hungary/budapest-lis... BUD \n", "102 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1020 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1021 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1022 https://www.airportia.com/hungary/budapest-lis... BUD \n", "1023 https://www.airportia.com/hungary/budapest-lis... BUD \n", "... ... ... \n", "972 https://www.airportia.com/hungary/budapest-lis... Berlin SXF \n", "973 https://www.airportia.com/hungary/budapest-lis... Geneva GVA \n", "974 https://www.airportia.com/hungary/budapest-lis... Munich MUC \n", "975 https://www.airportia.com/hungary/budapest-lis... Paris CDG \n", "976 https://www.airportia.com/hungary/budapest-lis... London LGW \n", "977 https://www.airportia.com/hungary/budapest-lis... Rome FCO \n", "978 https://www.airportia.com/hungary/budapest-lis... Amsterdam AMS \n", "979 https://www.airportia.com/hungary/budapest-lis... Cluj-Napoca CLJ \n", "98 https://www.airportia.com/hungary/budapest-lis... Paris CDG \n", "980 https://www.airportia.com/hungary/budapest-lis... Bristol BRS \n", "981 https://www.airportia.com/hungary/budapest-lis... Warsaw WAW \n", "982 https://www.airportia.com/hungary/budapest-lis... Billund BLL \n", "983 https://www.airportia.com/hungary/budapest-lis... Rome FCO \n", "984 https://www.airportia.com/hungary/budapest-lis... Hong Kong HKG \n", "985 https://www.airportia.com/hungary/budapest-lis... London LHR \n", "986 https://www.airportia.com/hungary/budapest-lis... Brussels BRU \n", "987 https://www.airportia.com/hungary/budapest-lis... Luqa MLA \n", "988 https://www.airportia.com/hungary/budapest-lis... Frankfurt FRA \n", "989 https://www.airportia.com/hungary/budapest-lis... London LTN \n", "99 https://www.airportia.com/hungary/budapest-lis... Paris BVA \n", "990 https://www.airportia.com/hungary/budapest-lis... Vienna VIE \n", "991 https://www.airportia.com/hungary/budapest-lis... Helsinki HEL \n", "992 https://www.airportia.com/hungary/budapest-lis... Berlin TXL \n", "993 https://www.airportia.com/hungary/budapest-lis... Frankfurt HHN \n", "994 https://www.airportia.com/hungary/budapest-lis... Sofia SOF \n", "995 https://www.airportia.com/hungary/budapest-lis... Zurich ZRH \n", "996 https://www.airportia.com/hungary/budapest-lis... Bucharest OTP \n", "997 https://www.airportia.com/hungary/budapest-lis... Stuttgart STR \n", "998 https://www.airportia.com/hungary/budapest-lis... Prague PRG \n", "999 https://www.airportia.com/hungary/budapest-lis... Istanbul IST \n", "\n", " To index ID \n", "0 London LTN 0 DEB \n", "1 Eindhoven EIN 1 DEB \n", "10 Munich MUC 1 DEB \n", "100 Munich MUC 68 BUD \n", "1000 Brussels BRU 92 BUD \n", "1001 London LHR 93 BUD \n", "1002 Doha DOH 94 BUD \n", "1003 Rome CIA 95 BUD \n", "1004 Brussels CRL 96 BUD \n", "1005 East Midlands EMA 97 BUD \n", "1006 Vienna VIE 98 BUD \n", "1007 London LTN 99 BUD \n", "1008 Frankfurt FRA 100 BUD \n", "1009 Berlin TXL 101 BUD \n", "101 Paris CDG 69 BUD \n", "1010 Leeds LBA 102 BUD \n", "1011 Nice NCE 103 BUD \n", "1012 Prague PRG 104 BUD \n", "1013 Milan MXP 105 BUD \n", "1014 Athens ATH 106 BUD \n", "1015 Stuttgart STR 107 BUD \n", "1016 Barcelona BCN 108 BUD \n", "1017 Zurich ZRH 109 BUD \n", "1018 Beijing PEK 110 BUD \n", "1019 Paris ORY 111 BUD \n", "102 Malaga AGP 70 BUD \n", "1020 Brussels CRL 112 BUD \n", "1021 Dusseldorf DUS 113 BUD \n", "1022 Istanbul IST 114 BUD \n", "1023 Munich MUC 115 BUD \n", "... ... ... ... \n", "972 BUD 51 BUD \n", "973 BUD 52 BUD \n", "974 BUD 53 BUD \n", "975 BUD 54 BUD \n", "976 BUD 55 BUD \n", "977 BUD 56 BUD \n", "978 BUD 57 BUD \n", "979 BUD 58 BUD \n", "98 BUD 66 BUD \n", "980 BUD 59 BUD \n", "981 BUD 60 BUD \n", "982 BUD 61 BUD \n", "983 BUD 62 BUD \n", "984 BUD 63 BUD \n", "985 BUD 64 BUD \n", "986 BUD 65 BUD \n", "987 BUD 66 BUD \n", "988 BUD 67 BUD \n", "989 BUD 68 BUD \n", "99 BUD 67 BUD \n", "990 BUD 69 BUD \n", "991 BUD 70 BUD \n", "992 BUD 71 BUD \n", "993 BUD 72 BUD \n", "994 BUD 73 BUD \n", "995 BUD 74 BUD \n", "996 BUD 75 BUD \n", "997 BUD 76 BUD \n", "998 BUD 77 BUD \n", "999 BUD 78 BUD \n", "\n", "[3156 rows x 8 columns]" ] }, "execution_count": 81, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mdf" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mdg=mdf.set_index(['ID','City','Airport','Airline'])" ] }, { "cell_type": "code", "execution_count": 83, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>From</th>\n", " <th>To</th>\n", " <th>index</th>\n", " </tr>\n", " <tr>\n", " <th>Airline</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Frankfurt FRA</td>\n", " <td>79</td>\n", " </tr>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Frankfurt FRA</td>\n", " <td>12</td>\n", " </tr>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Frankfurt FRA</td>\n", " <td>30</td>\n", " </tr>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>BUD</td>\n", " <td>Frankfurt FRA</td>\n", " <td>57</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date From \\\n", "Airline \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... BUD \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... BUD \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... BUD \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... BUD \n", "\n", " To index \n", "Airline \n", "Lufthansa Frankfurt FRA 79 \n", "Lufthansa Frankfurt FRA 12 \n", "Lufthansa Frankfurt FRA 30 \n", "Lufthansa Frankfurt FRA 57 " ] }, "execution_count": 83, "metadata": {}, "output_type": "execute_result" } ], "source": [ "k=mdg.loc['BUD'].loc['Frankfurt'].loc['FRA']\n", "testurl=u'https://www.airportia.com/hungary/budapest-liszt-ferenc-international-airport/departures/20170318'\n", "k[k['Date']==testurl]" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Date</th>\n", " <th>From</th>\n", " <th>To</th>\n", " <th>index</th>\n", " </tr>\n", " <tr>\n", " <th>Airline</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Frankfurt FRA</td>\n", " <td>BUD</td>\n", " <td>14</td>\n", " </tr>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Frankfurt FRA</td>\n", " <td>BUD</td>\n", " <td>41</td>\n", " </tr>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Frankfurt FRA</td>\n", " <td>BUD</td>\n", " <td>61</td>\n", " </tr>\n", " <tr>\n", " <th>Lufthansa</th>\n", " <td>https://www.airportia.com/hungary/budapest-lis...</td>\n", " <td>Frankfurt FRA</td>\n", " <td>BUD</td>\n", " <td>79</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Date From \\\n", "Airline \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... Frankfurt FRA \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... Frankfurt FRA \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... Frankfurt FRA \n", "Lufthansa https://www.airportia.com/hungary/budapest-lis... Frankfurt FRA \n", "\n", " To index \n", "Airline \n", "Lufthansa BUD 14 \n", "Lufthansa BUD 41 \n", "Lufthansa BUD 61 \n", "Lufthansa BUD 79 " ] }, "execution_count": 84, "metadata": {}, "output_type": "execute_result" } ], "source": [ "k=mdg.loc['BUD'].loc['Frankfurt'].loc['FRA']\n", "testurl=u'https://www.airportia.com/hungary/budapest-liszt-ferenc-international-airport/arrivals/20170318'\n", "k[k['Date']==testurl]" ] }, { "cell_type": "code", "execution_count": 85, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "BUD-FRA March 11 departures 4 arrivals 4\n", "BUD-FRA March 12 departures 4 arrivals 4\n", "BUD-FRA March 13 departures 4 arrivals 4\n", "BUD-FRA March 14 departures 4 arrivals 4\n", "BUD-FRA March 15 departures 4 arrivals 4\n", "BUD-FRA March 16 departures 4 arrivals 4\n", "BUD-FRA March 17 departures 4 arrivals 4\n", "BUD-FRA March 18 departures 4 arrivals 4\n", "BUD-FRA March 19 departures 4 arrivals 4\n", "BUD-FRA March 20 departures 4 arrivals 4\n", "BUD-FRA March 21 departures 4 arrivals 4\n", "BUD-FRA March 22 departures 4 arrivals 4\n", "BUD-FRA March 23 departures 4 arrivals 4\n", "BUD-FRA March 24 departures 4 arrivals 4\n" ] } ], "source": [ "k=mdg.loc['BUD'].loc['Frankfurt'].loc['FRA']\n", "for i in range(11,25):\n", " testurl=u'https://www.airportia.com/hungary/budapest-liszt-ferenc-international-airport/departures/201703'+str(i)\n", " print 'BUD-FRA March',i, 'departures',len(k[k['Date']==testurl]),\n", " testurl=u'https://www.airportia.com/hungary/budapest-liszt-ferenc-international-airport/arrivals/201703'+str(i)\n", " print 'arrivals', len(k[k['Date']==testurl])" ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "8" ] }, "execution_count": 88, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(k)/14" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "`mdg` checks out with source" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [], "source": [ "flights={}\n", "minn=1.0 #want to see minimum 1 flight in the past 2 weeks\n", "for i in mdg.index.get_level_values(0).unique():\n", " #2 weeks downloaded. want to get weekly freq. but multi by 2 dept+arrv\n", " d=4.0\n", " if i not in flights:flights[i]={}\n", " for j in mdg.loc[i].index.get_level_values(0).unique():\n", " if len(mdg.loc[i].loc[j])>minn: #minimum 1 flights required in this period at least once every 2 weeks\n", " if j not in flights[i]:flights[i][j]={'airports':{},'7freq':0}\n", " flights[i][j]['7freq']=len(mdg.loc[i].loc[j])/d \n", " for k in mdg.loc[i].loc[j].index.get_level_values(0).unique():\n", " if len(mdg.loc[i].loc[j].loc[k])>minn:\n", " if k not in flights[i][j]['airports']:flights[i][j]['airports'][k]={'airlines':{},'7freq':0}\n", " flights[i][j]['airports'][k]['7freq']=len(mdg.loc[i].loc[j].loc[k])/d\n", " for l in mdg.loc[i].loc[j].loc[k].index.get_level_values(0).unique():\n", " try:\n", " if len(mdg.loc[i].loc[j].loc[k].loc[l])>minn: \n", " if l not in flights[i][j]['airports'][k]['airlines']:flights[i][j]['airports'][k]['airlines'][l]={'7freq':0}\n", " flights[i][j]['airports'][k]['airlines'][l]['7freq']=len(mdg.loc[i].loc[j].loc[k].loc[l])/d\n", " except:pass" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": true }, "outputs": [], "source": [ "file(\"flights_hu.json\",'w').write(json.dumps(flights))" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
lilvinz/deco
gourneyras_decoplan_1.ipynb
1
133288
{ "metadata": { "name": "", "signature": "sha256:eba5f52b7452c39b754ca8dc4531c78c9a4238a74df90c10d4f6869172fb83f7" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import deco\n", "import deco_mix\n", "import deco_helper\n", "import numpy\n", "import untangle\n", "import copy\n", "import matplotlib\n", "\n", "# This line configures matplotlib to show figures embedded in the notebook, \n", "# instead of opening a new window for each figure. More about that later. \n", "# If you are using an old version of IPython, try using '%pylab inline' instead.\n", "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "# Globals\n", "settings = deco.deco_settings(\n", " last_stop = 9,\n", " gf_low = 0.1,\n", " gf_high = 0.90)\n", "precision = numpy.float32\n", "profile_time_factor = 1.0\n", "sac_bottom = 18\n", "sac_deco = 15\n", "xml_file = \"profiles/gourneyras_plan_1.uddf\"" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "doc = untangle.parse(xml_file)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "mix_ctx = deco_mix.deco_mix_ctx()\n", "\n", "gasmixes = dict()\n", "\n", "imix = 0\n", "for mix in doc.uddf.gasdefinitions.mix:\n", " try:\n", " switchdepth = int(mix.switchdepth.cdata)\n", " except:\n", " switchdepth = 0\n", " gasmixes.update({ mix['id']: {\n", " 'imix': imix,\n", " 'f_he': float(mix.he.cdata),\n", " 'f_o2': float(mix.o2.cdata),\n", " 'f_n2': 1 - float(mix.o2.cdata) - float(mix.he.cdata) }})\n", " mix_ctx.set_mix(\n", " imix,\n", " deco_mix.deco_mix(f_o2 = float(mix.o2.cdata),\n", " f_he = float(mix.he.cdata),\n", " switch_depth = switchdepth,\n", " enabled = True))\n", " imix += 1\n", "\n", "#print(gasmixes)\n", "#print(mix_ctx)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "# Initialize decompression context\n", "try:\n", " p_surface_in = float(doc.uddf.profiledata.repetitiongroup.dive.informationbeforedive.surfacepressure.cdata) / 100000\n", "except:\n", " p_surface_in = 1.0\n", "\n", "deco_ctx = deco.deco_zhl16b(\n", " settings = settings,\n", " mix_ctx = mix_ctx,\n", " p_surface = p_surface_in,\n", " salinity = 1.0)\n", "\n", "#print(deco_ctx)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "dive_profile = deco_helper.profile(\n", " runtime = 0,\n", " ctx = deco_ctx,\n", " maxentries = len(doc.uddf.profiledata.repetitiongroup.dive.samples.waypoint))\n", "\n", "# Read profile\n", "runtime = 0\n", "depth = 0\n", "lastruntime = 0\n", "lastdepth = 0\n", "for waypoint in doc.uddf.profiledata.repetitiongroup.dive.samples.waypoint:\n", " try:\n", " activemix = waypoint.switchmix['ref']\n", " # eventually switch mix\n", " dive_profile.ctx.mix_ctx.set_active_mix(gasmixes[activemix]['imix'])\n", " #print(\"switch mix: %s\" % (dive_profile.ctx.mix_ctx.get_mix(dive_profile.ctx.mix_ctx.get_active_mix())))\n", " except:\n", " pass\n", " \n", " runtime = float(waypoint.divetime.cdata) / 60.0 * profile_time_factor\n", " depth = float(waypoint.depth.cdata)\n", "\n", " #print(\"runtime: %.1f min. depth: %.1f m lastdepth %.1f m\" % (runtime, depth, lastdepth))\n", " \n", " if (depth != 0 and runtime - lastruntime > 0):\n", " #%lprun -s -m deco dive_profile.update(time = runtime - lastruntime, depth_begin = lastdepth, depth_end = depth, sac = sac_bottom)\n", " dive_profile.update(\n", " time = runtime - lastruntime,\n", " depth_begin = lastdepth,\n", " depth_end = depth,\n", " sac = sac_bottom)\n", " \n", " lastruntime = runtime\n", " lastdepth = depth\n", "\n", "# Strip data array to number of actually valid records\n", "dive_profile.strip()\n", "\n", "#print(dive_profile.di)\n", "#print(dive_profile.ctx.mix_ctx)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "deco_profile = deco_helper.profile(\n", " runtime = dive_profile.data[len(dive_profile.data) - 1]['time'],\n", " ctx = dive_profile.ctx,\n", " maxentries = len(dive_profile.di.stops) + 1)\n", "\n", "depth = dive_profile.data[len(dive_profile.data) - 1]['depth']\n", "\n", "if (dive_profile.di.nostop_time == 0):\n", " # simulate calculated decoplan\n", " \n", " # if we are currently deeper, simulate ascent to first stop.\n", " if (depth != dive_profile.di.stops[0]['depth']):\n", " deco_profile.update(\n", " time = abs(depth - dive_profile.di.stops[0]['depth']) / deco_profile.ctx.settings.ascent_rate,\n", " depth_begin = depth,\n", " depth_end = dive_profile.di.stops[0]['depth'],\n", " sac = sac_bottom)\n", " \n", " for istop in range(0, len(dive_profile.di.stops)):\n", " if (dive_profile.di.stops[istop]['depth'] == 0 and dive_profile.di.stops[istop + 1]['depth'] == 0):\n", " break\n", " \n", " # eventually switch mix\n", " deco_profile.ctx.mix_ctx.set_active_mix(dive_profile.di.stops[istop]['mix'])\n", " \n", " # simulate stop\n", " deco_profile.update(\n", " time = dive_profile.di.stops[istop]['time'],\n", " depth_begin = dive_profile.di.stops[istop]['depth'],\n", " depth_end = dive_profile.di.stops[istop]['depth'],\n", " sac = sac_deco)\n", " \n", " # simulate ascent to next stop (which might be the surface as well)\n", " deco_profile.update(\n", " time = (dive_profile.di.stops[istop]['depth'] - dive_profile.di.stops[istop + 1]['depth']) / deco_profile.ctx.settings.ascent_rate,\n", " depth_begin = dive_profile.di.stops[istop]['depth'],\n", " depth_end = dive_profile.di.stops[istop + 1]['depth'],\n", " sac = sac_deco)\n", " \n", " #print(\"runtime: %.1f depth: %f mix %s\\n\" %\n", " # (deco_profile.runtime,\n", " # dive_profile.di.stops[istop]['depth'],\n", " # deco_profile.ctx.mix_ctx.get_mix(deco_profile.ctx.mix_ctx.get_active_mix()))) \n", "else:\n", " pass\n", "\n", "# Strip data array to number of actually valid records\n", "deco_profile.strip()\n", "\n", "#print(deco_profile.ctx.mix_ctx)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "/home/vke/development/deco/deco.py:196: RuntimeWarning: divide by zero encountered in true_divide\n", " return ((self.p_tissues_n2 + self.p_tissues_he - self.a * gf) / (gf / self.b - gf + 1)).max()\n", "/home/vke/development/deco/deco.py:207: RuntimeWarning: divide by zero encountered in true_divide\n", " gf = ((self.p_tissues_n2 + self.p_tissues_he - p_amb) / ((p_amb / self.b + self.a) - p_amb)).max()\n" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "#data = numpy.append(dive_profile.data, deco_profile.data)\n", "data = dive_profile.data" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "fig, ax = matplotlib.pyplot.subplots(figsize = (18, 12))\n", "\n", "matplotlib.pyplot.title(\n", " 'ZHL16B-GF {:d}/{:d}'.format(int(deco_ctx.settings.gf_low * 100), int(deco_ctx.settings.gf_high * 100)))\n", "\n", "matplotlib.pyplot.xlabel('Time in min.')\n", "\n", "matplotlib.pyplot.ylabel('Pressure in mfw\\nGF')\n", "\n", "ax.plot(\n", " data['time'],\n", " data['depth'],\n", " label = 'Depth in m',\n", " color = 'Blue',\n", " lw = 1)\n", "\n", "#ax.set_color_cycle([matplotlib.pyplot.cm.Oranges_r(i) for i in numpy.linspace(0, 0.8, 16)])\n", "#ax.plot(\n", "# data['time'],\n", "# data['p_tissues_n2'] * 10,\n", "# lw = 0.3)\n", "\n", "#ax.set_color_cycle([matplotlib.pyplot.cm.Greens_r(i) for i in numpy.linspace(0, 0.8, 16)])\n", "#ax.plot(\n", "# data['time'],\n", "# data['p_tissues_he'] * 10,\n", "# lw = 0.3)\n", "\n", "ax.plot(\n", " data['time'],\n", " data['p_ceiling'] * 10 - 10,\n", " label = 'Decompression Ceiling in m',\n", " color = 'Red',\n", " lw = 2)\n", "\n", "ax.plot(\n", " data['time'],\n", " data['gf_allowed'] * 100,\n", " label = 'Permitted GF',\n", " color = 'Purple',\n", " lw = 2)\n", "\n", "ax.plot(\n", " data['time'],\n", " data['gf_current'] * 100,\n", " label = 'Current GF',\n", " color = 'Purple',\n", " lw = 1)\n", "\n", "legend = ax.legend(loc = 'upper right', shadow = True)\n", "\n", "ax.grid()\n", "ax.invert_yaxis()\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABDcAAALYCAYAAABojxm6AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAACGprgBAAAADE1xAwAAABja/wedNYNpr8ESXgAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x7fd03c104358>" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "print(\"*************** SETTINGS ******************\")\n", "print(settings)\n", "\n", "print(\"*************** DIVEPROFILE ***************\")\n", "print(\"Speed: %u m/min.\" % (20 / profile_time_factor))\n", "print(dive_profile.ctx.mix_ctx)\n", "\n", "print(\"*************** DECOPROFILE ***************\")\n", "print(dive_profile.di)\n", "print(deco_profile.ctx.mix_ctx)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "*************** SETTINGS ******************\n", "gf: 10/90\n", "nofly_pressure: 0.600000\n", "ascent_rate: 10\n", "stop_size: 3\n", "last_stop: 9\n", "\n", "*************** DIVEPROFILE ***************\n", "Speed: 20 m/min.\n", "Mix 0: AIR @ 0 m 0 l\n", "Mix 1: NX100 @ 9 m 0 l\n", "Mix 2: TX50/25 @ 21 m 0 l\n", "Mix 3: TX35/35 @ 36 m 59 l\n", "Mix 4: TX20/40 @ 57 m 0 l\n", "Mix 5: TX16/60 @ 0 m 13090 l\n", "Mix 6: TX10/70 @ 0 m 8551 l\n", "Mix 7: AIR @ 0 m 0 l\n", "Mix 8: AIR @ 0 m 0 l\n", "Mix 9: AIR @ 0 m 0 l\n", "Mix 10: AIR @ 0 m 0 l\n", "Mix 11: AIR @ 0 m 0 l\n", "\n", "*************** DECOPROFILE ***************\n", "TX20/40 @ 51 m for 1 min.\n", "TX20/40 @ 48 m for 1 min.\n", "TX20/40 @ 45 m for 3 min.\n", "TX20/40 @ 42 m for 7 min.\n", "TX20/40 @ 39 m for 9 min.\n", "TX35/35 @ 36 m for 9 min.\n", "TX35/35 @ 33 m for 11 min.\n", "TX35/35 @ 30 m for 13 min.\n", "TX35/35 @ 27 m for 17 min.\n", "TX35/35 @ 24 m for 21 min.\n", "TX50/25 @ 21 m for 23 min.\n", "TX50/25 @ 18 m for 28 min.\n", "TX50/25 @ 15 m for 38 min.\n", "TX50/25 @ 12 m for 56 min.\n", "NX100 @ 9 m for 234 min.\n", "Total deco time: 473 min.\n", "\n", "Mix 0: AIR @ 0 m 0 l\n", "Mix 1: NX100 @ 9 m 6623 l\n", "Mix 2: TX50/25 @ 21 m 5520 l\n", "Mix 3: TX35/35 @ 36 m 4194 l\n", "Mix 4: TX20/40 @ 57 m 1753 l\n", "Mix 5: TX16/60 @ 0 m 153 l\n", "Mix 6: TX10/70 @ 0 m 0 l\n", "Mix 7: AIR @ 0 m 0 l\n", "Mix 8: AIR @ 0 m 0 l\n", "Mix 9: AIR @ 0 m 0 l\n", "Mix 10: AIR @ 0 m 0 l\n", "Mix 11: AIR @ 0 m 0 l\n", "\n" ] } ], "prompt_number": 10 } ], "metadata": {} } ] }
gpl-2.0
hainm/scikit-xray-examples
demos/powder_calibration/D_estimate_demo.ipynb
1
17160
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# [broken] An **almost** correct diffraction calibration notebook" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import matplotlib\n", "%matplotlib notebook\n", "import matplotlib.pyplot as plt\n", "from matplotlib.patches import Circle\n", "from matplotlib.colors import LogNorm\n", "from copy import copy" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import skxray\n", "from skxray.core.image import find_ring_center_acorr_1D\n", "from skxray.core.utils import bin_1D, radial_grid, bin_edges_to_centers\n", "from skxray.core.calibration import estimate_d_blind, refine_center\n", "import numpy as np\n", "from pims.tiff_stack import TiffStack_tifffile as TiffStack\n", "import os.path\n", "pixel_size = (.2, .2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Define some helpful functions" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def estimate_d(img, name, wavelength, pixel_size):\n", " # find the\n", " res = find_ring_center_acorr_1D(img)\n", " res2 = refine_center(img, res, pixel_size, 25, 5, thresh=0.1, window_size=5)\n", " bins, sums, counts = img_to_relative_xyi(img, res2, radial_grid)\n", "\n", " mask = counts > 10\n", " bin_centers = bin_edges_to_centers(bins)[mask]\n", " ring_averages = sums[mask] / counts[mask]\n", "\n", " d_mean, d_std = estimate_d_blind(name,wavelength, bin_centers,\n", " ring_averages, 5, 7, thresh=0.03)\n", " return d_mean, d_std, res2\n", "\n", "\n", "def show_rings_on_image(ax, image, ring_radius, center):\n", " vmin, vmax = np.percentile(image, [80, 100])\n", " my_cmap = copy(matplotlib.cm.get_cmap('gray'))\n", " my_cmap.set_bad('k')\n", " im = ax.imshow(image, cmap=my_cmap, interpolation='none', norm=LogNorm(), vmin=vmin, vmax=vmax)\n", " for r in ring_radius:\n", " c = Circle(center[::-1], r , facecolor='none', edgecolor='r', lw=2, linestyle='dashed')\n", " ax.add_patch(c)\n", " ax.axhline(center[0], color='r')\n", " ax.axvline(center[1], color='r')\n", "\n", " ax.set_ylim([center[0] - ring_radius[-1], center[0] + ring_radius[-1]])\n", " ax.set_xlim([center[1] - ring_radius[-1], center[1] + ring_radius[-1]])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Setup data for Si standard sample" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "si_fname = 'Si_STD_d204-00002.tif'\n", "si_name = 'Si'\n", "si_wavelength = 0.1839\n", "si_data = TiffStack(si_fname)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Setup data for LaB6 calibration standard\n" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "lab6_fname = 'LaB6_d500-0p72959-2Kx2K_pix200.tif'\n", "lab6_name = 'LaB6'\n", "lab6_wavelength = .72959\n", "lab6_data = TiffStack(lab6_fname)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Calibrate Si data" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "ename": "NameError", "evalue": "name 'img_to_relative_xyi' is not defined", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-7-23f8c796d9eb>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mcalib_si\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mestimate_d\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0msi_data\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msi_name\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msi_wavelength\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpixel_size\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"D: {} ± {}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcalib_si\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcalib_si\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"center: {}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcalib_si\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m<ipython-input-3-5285f337cdcd>\u001b[0m in \u001b[0;36mestimate_d\u001b[1;34m(img, name, wavelength, pixel_size)\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[0mres\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mfind_ring_center_acorr_1D\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mimg\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mres2\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mrefine_center\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mimg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mres\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpixel_size\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m25\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m5\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mthresh\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m0.1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mwindow_size\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m5\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 5\u001b[1;33m \u001b[0mbins\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msums\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcounts\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mimg_to_relative_xyi\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mimg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mres2\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mradial_grid\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 6\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 7\u001b[0m \u001b[0mmask\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mcounts\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m10\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mNameError\u001b[0m: name 'img_to_relative_xyi' is not defined" ] }, { "name": "stdout", "output_type": "stream", "text": [ "> \u001b[1;32m<ipython-input-3-5285f337cdcd>\u001b[0m(5)\u001b[0;36mestimate_d\u001b[1;34m()\u001b[0m\n", "\u001b[1;32m 4 \u001b[1;33m \u001b[0mres2\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mrefine_center\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mimg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mres\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpixel_size\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m25\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m5\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mthresh\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m0.1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mwindow_size\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m5\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0m\u001b[1;32m----> 5 \u001b[1;33m \u001b[0mbins\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msums\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcounts\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mimg_to_relative_xyi\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mimg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mres2\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mradial_grid\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0m\u001b[1;32m 6 \u001b[1;33m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0m\n", "ipdb> exit\n" ] } ], "source": [ "calib_si = estimate_d(si_data[0], si_name, si_wavelength, pixel_size)\n", "print(\"D: {} ± {}\".format(calib_si[0], calib_si[1]))\n", "print(\"center: {}\".format(calib_si[2]))\n" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "ename": "NameError", "evalue": "name 'calib_si' is not defined", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-19-5678e9ce36f3>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[0mcal_si\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mskxray\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcore\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcalibration\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcalibration_standards\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Si'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[0msi_rings\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mcalib_si\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m*\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtan\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcal_si\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mconvert_2theta\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0msi_wavelength\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m/\u001b[0m \u001b[1;36m.2\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0max\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msubplots\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mshow_rings_on_image\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msi_data\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msi_rings\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcalib_si\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mshow\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mNameError\u001b[0m: name 'calib_si' is not defined" ] } ], "source": [ "cal_si = skxray.core.calibration.calibration_standards['Si']\n", "si_rings = calib_si[0] * np.tan(cal_si.convert_2theta(si_wavelength)) / .2\n", "fig, ax = plt.subplots()\n", "show_rings_on_image(ax, si_data[0], si_rings, calib_si[2])\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Calibrate using Lab 6 data\n" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "ename": "TypeError", "evalue": "unsupported operand type(s) for +: 'function' and 'int'", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-20-0b8ac6a41d12>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mcalib_lab6\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mestimate_d\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlab6_data\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlab6_name\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlab6_wavelength\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpixel_size\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"D: {} ± {}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcalib_lab6\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcalib_lab6\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"center: {}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcalib_lab6\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m<ipython-input-9-cafae1b71ba8>\u001b[0m in \u001b[0;36mestimate_d\u001b[1;34m(img, name, wavelength, pixel_size)\u001b[0m\n\u001b[0;32m 5\u001b[0m bins, sums, counts = bin_1D(img,\n\u001b[0;32m 6\u001b[0m \u001b[0mres2\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 7\u001b[1;33m radial_grid)\n\u001b[0m\u001b[0;32m 8\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 9\u001b[0m \u001b[0mmask\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mcounts\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m10\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m/home/edill/dev/python/scikit-xray/skxray/core/utils.py\u001b[0m in \u001b[0;36mbin_1D\u001b[1;34m(x, y, nx, min_x, max_x)\u001b[0m\n\u001b[0;32m 594\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 595\u001b[0m \u001b[1;31m# use a weighted histogram to get the bin sum\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 596\u001b[1;33m \u001b[0mbins\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlinspace\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mstart\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mmin_x\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mstop\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mmax_x\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mnum\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mnx\u001b[0m\u001b[1;33m+\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mendpoint\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mTrue\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 597\u001b[0m \u001b[0mval\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0m_\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mhistogram\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mx\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mbins\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mbins\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mweights\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0my\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 598\u001b[0m \u001b[1;31m# use an un-weighted histogram to get the counts\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mTypeError\u001b[0m: unsupported operand type(s) for +: 'function' and 'int'" ] } ], "source": [ "calib_lab6 = estimate_d(lab6_data[0], lab6_name, lab6_wavelength, pixel_size)\n", "print(\"D: {} ± {}\".format(calib_lab6[0], calib_lab6[1]))\n", "print(\"center: {}\".format(calib_lab6[2]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cal_lab6 = nsls2.calibration.calibration_standards['LaB6']\\n\",\n", "lab6_rings = calib_lab6[0] * np.tan(cal_lab6.convert_2theta(lab6_wavelength)) / .2\\n\",\n", "fig, ax = plt.subplots()\\n\",\n", "show_rings_on_image(ax, lab6_data[0], lab6_rings, calib_lab6[2])\\n\",\n", "ax.set_xlim([0, lab6_data.frame_shape[0]])\\n\",\n", "ax.set_ylim([0, lab6_data.frame_shape[1]])\\n\",\n", "plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
QuLogic/folium
examples/Polyline_text_path.ipynb
1
15572
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.5.0+27.g2d457b0.dirty\n" ] } ], "source": [ "import os\n", "import folium\n", "\n", "print(folium.__version__)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Demostrate PolylineTextPath plugin" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div style=\"width:100%;\"><div style=\"position:relative;width:100%;height:0;padding-bottom:60%;\"><iframe src=\"data:text/html;charset=utf-8;base64,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\" style=\"position:absolute;width:100%;height:100%;left:0;top:0;border:none !important;\" allowfullscreen webkitallowfullscreen mozallowfullscreen></iframe></div></div>" ], "text/plain": [ "<folium.folium.Map at 0x7f51ed3bf6a0>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from folium import plugins\n", "\n", "m = folium.Map([30, 0], zoom_start=3)\n", "\n", "wind_locations = [\n", " [59.35560, -31.992190],\n", " [55.178870, -42.89062],\n", " [47.754100, -43.94531],\n", " [38.272690, -37.96875],\n", " [27.059130, -41.13281],\n", " [16.299050, -36.56250],\n", " [8.4071700, -30.23437],\n", " [1.0546300, -22.50000],\n", " [-8.754790, -18.28125],\n", " [-21.61658, -20.03906],\n", " [-31.35364, -24.25781],\n", " [-39.90974, -30.93750],\n", " [-43.83453, -41.13281],\n", " [-47.75410, -49.92187],\n", " [-50.95843, -54.14062],\n", " [-55.97380, -56.60156]\n", "]\n", "\n", "wind_line = folium.PolyLine(\n", " wind_locations,\n", " weight=15,\n", " color='#8EE9FF'\n", ").add_to(m)\n", "\n", "attr = {'fill': '#007DEF', 'font-weight': 'bold', 'font-size': '24'}\n", "\n", "plugins.PolyLineTextPath(\n", " wind_line,\n", " ') ',\n", " repeat=True,\n", " offset=7,\n", " attributes=attr\n", ").add_to(m)\n", "\n", "danger_line = folium.PolyLine(\n", " [[-40.311, -31.952],\n", " [-12.086, -18.727]],\n", " weight=10,\n", " color='orange',\n", " opacity=0.8\n", ").add_to(m)\n", "\n", "attr = {'fill': 'red'}\n", "\n", "plugins.PolyLineTextPath(\n", " danger_line,\n", " '\\u25BA',\n", " repeat=True,\n", " offset=6,\n", " attributes=attr\n", ").add_to(m)\n", "\n", "plane_line = folium.PolyLine(\n", " [[-49.38237, -37.26562],\n", " [-1.75754, -14.41406],\n", " [51.61802, -23.20312]],\n", " weight=1,\n", " color='black'\n", ").add_to(m)\n", "\n", "attr = {'font-weight': 'bold', 'font-size': '24'}\n", "\n", "plugins.PolyLineTextPath(\n", " plane_line,\n", " '\\u2708 ',\n", " repeat=True,\n", " offset=8,\n", " attributes=attr\n", ").add_to(m)\n", "\n", "\n", "line_to_new_delhi = folium.PolyLine(\n", " [[46.67959447, 3.33984375],\n", " [46.5588603, 29.53125],\n", " [42.29356419, 51.328125],\n", " [35.74651226, 68.5546875],\n", " [28.65203063, 76.81640625]]\n", ").add_to(m)\n", "\n", "\n", "line_to_hanoi = folium.PolyLine(\n", " [[28.76765911, 77.60742188],\n", " [27.83907609, 88.72558594],\n", " [25.68113734, 97.3828125],\n", " [21.24842224, 105.77636719]]\n", ").add_to(m)\n", "\n", "\n", "plugins.PolyLineTextPath(\n", " line_to_new_delhi,\n", " 'To New Delhi',\n", " offset=-5\n", ").add_to(m)\n", "\n", "\n", "plugins.PolyLineTextPath(\n", " line_to_hanoi,\n", " 'To Hanoi',\n", " offset=-5\n", ").add_to(m)\n", "\n", "m.save(os.path.join('results', 'Polyline_text_path.html'))\n", "\n", "m" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
kubeflow/kfserving-lts
docs/samples/pipelines/kfs-pipeline-v1alpha2.ipynb
1
6413
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# KFServing Pipeline samples\n", "\n", "This notebook uses an older version of the KFServing Pipelines component meant for clusters using a KFServing version less than v0.5.0 which only supports the v1alpha2 API." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Install the necessary kfp library" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip3 install kfp --upgrade" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import kfp.dsl as dsl\n", "import kfp\n", "from kfp import components\n", "import json\n", "\n", "# Create kfp client\n", "# Note: Add the KubeFlow Pipeline endpoint below if the client is not running on the same cluster.\n", "client = kfp.Client('kfserving_endpoint')\n", "EXPERIMENT_NAME = 'KFServing Experiments'\n", "experiment = client.create_experiment(name=EXPERIMENT_NAME)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### TensorFlow example\n", "\n", "Note: Change the action from `update` to `create` if you are deploying the model for the first time." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "kfserving_op = components.load_component_from_url(\n", " 'https://raw.githubusercontent.com/kubeflow/pipelines/65bed9b6d1d676ef2d541a970d3edc0aee12400d/components/kubeflow/kfserving/component.yaml'\n", ")\n", "\n", "@dsl.pipeline(\n", " name='kfserving pipeline',\n", " description='A pipeline for kfserving.'\n", ")\n", "def kfservingPipeline(\n", " action = 'update',\n", " model_name='tf-sample',\n", " default_model_uri='gs://kfserving-samples/models/tensorflow/flowers',\n", " canary_model_uri='gs://kfserving-samples/models/tensorflow/flowers-2',\n", " canary_model_traffic_percentage='10',\n", " namespace='your_namespace',\n", " framework='tensorflow',\n", " default_custom_model_spec='{}',\n", " canary_custom_model_spec='{}',\n", " autoscaling_target='0',\n", " kfserving_endpoint=''\n", "):\n", "\n", " # define workflow\n", " kfserving = kfserving_op(action = action,\n", " model_name=model_name,\n", " default_model_uri=default_model_uri,\n", " canary_model_uri=canary_model_uri,\n", " canary_model_traffic_percentage=canary_model_traffic_percentage,\n", " namespace=namespace,\n", " framework=framework,\n", " default_custom_model_spec=default_custom_model_spec,\n", " canary_custom_model_spec=canary_custom_model_spec,\n", " autoscaling_target=autoscaling_target,\n", " kfserving_endpoint=kfserving_endpoint).set_image_pull_policy('Always')\n", "\n", "# Compile pipeline\n", "import kfp.compiler as compiler\n", "compiler.Compiler().compile(kfservingPipeline, 'tf-flower.tar.gz')\n", "\n", "# Execute pipeline\n", "run = client.run_pipeline(experiment.id, 'tf-flower', 'tf-flower.tar.gz')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Custom model example" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "kfserving_op = components.load_component_from_url(\n", " 'https://raw.githubusercontent.com/kubeflow/pipelines/65bed9b6d1d676ef2d541a970d3edc0aee12400d/components/kubeflow/kfserving/component.yaml'\n", ")\n", "\n", "@dsl.pipeline(\n", " name='kfserving pipeline',\n", " description='A pipeline for kfserving.'\n", ")\n", "def kfservingPipeline(\n", " action = 'update',\n", " model_name='custom-sample',\n", " default_model_uri='',\n", " canary_model_uri='',\n", " canary_model_traffic_percentage='0',\n", " namespace='kubeflow',\n", " framework='custom',\n", " default_custom_model_spec='{\"name\": \"image-segmenter\", \"image\": \"codait/max-image-segmenter:latest\", \"port\": \"5000\"}',\n", " canary_custom_model_spec='{}',\n", " autoscaling_target='0',\n", " kfserving_endpoint=''\n", "):\n", "\n", " # define workflow\n", " kfserving = kfserving_op(action = action,\n", " model_name=model_name,\n", " default_model_uri=default_model_uri,\n", " canary_model_uri=canary_model_uri,\n", " canary_model_traffic_percentage=canary_model_traffic_percentage,\n", " namespace=namespace,\n", " framework=framework,\n", " default_custom_model_spec=default_custom_model_spec,\n", " canary_custom_model_spec=canary_custom_model_spec,\n", " autoscaling_target=autoscaling_target,\n", " kfserving_endpoint=kfserving_endpoint).set_image_pull_policy('Always')\n", "\n", "# Compile pipeline\n", "import kfp.compiler as compiler\n", "compiler.Compiler().compile(kfservingPipeline, 'custom.tar.gz')\n", "\n", "# Execute pipeline\n", "run = client.run_pipeline(experiment.id, 'custom-model', 'custom.tar.gz')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
ES-DOC/esdoc-jupyterhub
notebooks/nerc/cmip6/models/ukesm1-0-mmh/seaice.ipynb
1
99809
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "source": [ "# ES-DOC CMIP6 Model Properties - Seaice \n", "**MIP Era**: CMIP6 \n", "**Institute**: NERC \n", "**Source ID**: UKESM1-0-MMH \n", "**Topic**: Seaice \n", "**Sub-Topics**: Dynamics, Thermodynamics, Radiative Processes. \n", "**Properties**: 80 (63 required) \n", "**Model descriptions**: [Model description details](https://specializations.es-doc.org/cmip6/seaice?client=jupyter-notebook) \n", "**Initialized From**: -- \n", "\n", "**Notebook Help**: [Goto notebook help page](https://es-doc.org/cmip6-models-documenting-with-ipython) \n", "**Notebook Initialised**: 2018-02-15 16:54:27" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### Document Setup \n", "**IMPORTANT: to be executed each time you run the notebook** " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# DO NOT EDIT ! \n", "from pyesdoc.ipython.model_topic import NotebookOutput \n", "\n", "# DO NOT EDIT ! \n", "DOC = NotebookOutput('cmip6', 'nerc', 'ukesm1-0-mmh', 'seaice')" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Authors \n", "*Set document authors*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_author(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Contributors \n", "*Specify document contributors* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_contributor(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Publication \n", "*Specify document publication status* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set publication status: \n", "# 0=do not publish, 1=publish. \n", "DOC.set_publication_status(0)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Table of Contents \n", "[1. Key Properties --&gt; Model](#1.-Key-Properties---&gt;-Model) \n", "[2. Key Properties --&gt; Variables](#2.-Key-Properties---&gt;-Variables) \n", "[3. Key Properties --&gt; Seawater Properties](#3.-Key-Properties---&gt;-Seawater-Properties) \n", "[4. Key Properties --&gt; Resolution](#4.-Key-Properties---&gt;-Resolution) \n", "[5. Key Properties --&gt; Tuning Applied](#5.-Key-Properties---&gt;-Tuning-Applied) \n", "[6. Key Properties --&gt; Key Parameter Values](#6.-Key-Properties---&gt;-Key-Parameter-Values) \n", "[7. Key Properties --&gt; Assumptions](#7.-Key-Properties---&gt;-Assumptions) \n", "[8. Key Properties --&gt; Conservation](#8.-Key-Properties---&gt;-Conservation) \n", "[9. Grid --&gt; Discretisation --&gt; Horizontal](#9.-Grid---&gt;-Discretisation---&gt;-Horizontal) \n", "[10. Grid --&gt; Discretisation --&gt; Vertical](#10.-Grid---&gt;-Discretisation---&gt;-Vertical) \n", "[11. Grid --&gt; Seaice Categories](#11.-Grid---&gt;-Seaice-Categories) \n", "[12. Grid --&gt; Snow On Seaice](#12.-Grid---&gt;-Snow-On-Seaice) \n", "[13. Dynamics](#13.-Dynamics) \n", "[14. Thermodynamics --&gt; Energy](#14.-Thermodynamics---&gt;-Energy) \n", "[15. Thermodynamics --&gt; Mass](#15.-Thermodynamics---&gt;-Mass) \n", "[16. Thermodynamics --&gt; Salt](#16.-Thermodynamics---&gt;-Salt) \n", "[17. Thermodynamics --&gt; Salt --&gt; Mass Transport](#17.-Thermodynamics---&gt;-Salt---&gt;-Mass-Transport) \n", "[18. Thermodynamics --&gt; Salt --&gt; Thermodynamics](#18.-Thermodynamics---&gt;-Salt---&gt;-Thermodynamics) \n", "[19. Thermodynamics --&gt; Ice Thickness Distribution](#19.-Thermodynamics---&gt;-Ice-Thickness-Distribution) \n", "[20. Thermodynamics --&gt; Ice Floe Size Distribution](#20.-Thermodynamics---&gt;-Ice-Floe-Size-Distribution) \n", "[21. Thermodynamics --&gt; Melt Ponds](#21.-Thermodynamics---&gt;-Melt-Ponds) \n", "[22. Thermodynamics --&gt; Snow Processes](#22.-Thermodynamics---&gt;-Snow-Processes) \n", "[23. Radiative Processes](#23.-Radiative-Processes) \n", "\n" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "# 1. Key Properties --&gt; Model \n", "*Name of seaice model used.*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 1.1. Model Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of sea ice model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.model.model_overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.2. Model Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of sea ice model code (e.g. CICE 4.2, LIM 2.1, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.model.model_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 2. Key Properties --&gt; Variables \n", "*List of prognostic variable in the sea ice model.*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 2.1. Prognostic\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *List of prognostic variables in the sea ice component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.variables.prognostic') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Sea ice temperature\" \n", "# \"Sea ice concentration\" \n", "# \"Sea ice thickness\" \n", "# \"Sea ice volume per grid cell area\" \n", "# \"Sea ice u-velocity\" \n", "# \"Sea ice v-velocity\" \n", "# \"Sea ice enthalpy\" \n", "# \"Internal ice stress\" \n", "# \"Salinity\" \n", "# \"Snow temperature\" \n", "# \"Snow depth\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 3. Key Properties --&gt; Seawater Properties \n", "*Properties of seawater relevant to sea ice*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 3.1. Ocean Freezing Point\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Equation used to compute the freezing point (in deg C) of seawater, as a function of salinity and pressure*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.seawater_properties.ocean_freezing_point') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"TEOS-10\" \n", "# \"Constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.2. Ocean Freezing Point Value\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If using a constant seawater freezing point, specify this value.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.seawater_properties.ocean_freezing_point_value') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 4. Key Properties --&gt; Resolution \n", "*Resolution of the sea ice grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 4.1. Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *This is a string usually used by the modelling group to describe the resolution of this grid e.g. N512L180, T512L70, ORCA025 etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.resolution.name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.2. Canonical Horizontal Resolution\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Expression quoted for gross comparisons of resolution, eg. 50km or 0.1 degrees etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.resolution.canonical_horizontal_resolution') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.3. Number Of Horizontal Gridpoints\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Total number of horizontal (XY) points (or degrees of freedom) on computational grid.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.resolution.number_of_horizontal_gridpoints') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 5. Key Properties --&gt; Tuning Applied \n", "*Tuning applied to sea ice model component*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 5.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General overview description of tuning: explain and motivate the main targets and metrics retained. Document the relative weight given to climate performance metrics versus process oriented metrics, and on the possible conflicts with parameterization level tuning. In particular describe any struggle with a parameter value that required pushing it to its limits to solve a particular model deficiency.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.tuning_applied.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.2. Target\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What was the aim of tuning, e.g. correct sea ice minima, correct seasonal cycle.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.tuning_applied.target') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.3. Simulations\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Which simulations had tuning applied, e.g. all, not historical, only pi-control? *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.tuning_applied.simulations') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.4. Metrics Used\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List any observed metrics used in tuning model/parameters*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.tuning_applied.metrics_used') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.5. Variables\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Which variables were changed during the tuning process?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.tuning_applied.variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 6. Key Properties --&gt; Key Parameter Values \n", "*Values of key parameters*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 6.1. Typical Parameters\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *What values were specificed for the following parameters if used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.key_parameter_values.typical_parameters') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Ice strength (P*) in units of N m{-2}\" \n", "# \"Snow conductivity (ks) in units of W m{-1} K{-1} \" \n", "# \"Minimum thickness of ice created in leads (h0) in units of m\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.2. Additional Parameters\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If you have any additional paramterised values that you have used (e.g. minimum open water fraction or bare ice albedo), please provide them here as a comma separated list*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.key_parameter_values.additional_parameters') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 7. Key Properties --&gt; Assumptions \n", "*Assumptions made in the sea ice model*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 7.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *General overview description of any *key* assumptions made in this model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.assumptions.description') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.2. On Diagnostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Note any assumptions that specifically affect the CMIP6 diagnostic sea ice variables.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.assumptions.on_diagnostic_variables') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.3. Missing Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *List any *key* processes missing in this model configuration? Provide full details where this affects the CMIP6 diagnostic sea ice variables?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.assumptions.missing_processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 8. Key Properties --&gt; Conservation \n", "*Conservation in the sea ice component*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 8.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Provide a general description of conservation methodology.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.conservation.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.2. Properties\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Properties conserved in sea ice by the numerical schemes.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.conservation.properties') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Energy\" \n", "# \"Mass\" \n", "# \"Salt\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.3. Budget\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *For each conserved property, specify the output variables which close the related budgets. as a comma separated list. For example: Conserved property, variable1, variable2, variable3*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.conservation.budget') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.4. Was Flux Correction Used\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does conservation involved flux correction?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.conservation.was_flux_correction_used') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.5. Corrected Conserved Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List any variables which are conserved by *more* than the numerical scheme alone.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.key_properties.conservation.corrected_conserved_prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 9. Grid --&gt; Discretisation --&gt; Horizontal \n", "*Sea ice discretisation in the horizontal*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 9.1. Grid\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Grid on which sea ice is horizontal discretised?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.horizontal.grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Ocean grid\" \n", "# \"Atmosphere Grid\" \n", "# \"Own Grid\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.2. Grid Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the type of sea ice grid?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.horizontal.grid_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Structured grid\" \n", "# \"Unstructured grid\" \n", "# \"Adaptive grid\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.3. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the advection scheme?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.horizontal.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Finite differences\" \n", "# \"Finite elements\" \n", "# \"Finite volumes\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.4. Thermodynamics Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the time step in the sea ice model thermodynamic component in seconds.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.horizontal.thermodynamics_time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.5. Dynamics Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the time step in the sea ice model dynamic component in seconds.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.horizontal.dynamics_time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.6. Additional Details\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Specify any additional horizontal discretisation details.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.horizontal.additional_details') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 10. Grid --&gt; Discretisation --&gt; Vertical \n", "*Sea ice vertical properties*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 10.1. Layering\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *What type of sea ice vertical layers are implemented for purposes of thermodynamic calculations?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.vertical.layering') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Zero-layer\" \n", "# \"Two-layers\" \n", "# \"Multi-layers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.2. Number Of Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *If using multi-layers specify how many.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.vertical.number_of_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.3. Additional Details\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Specify any additional vertical grid details.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.discretisation.vertical.additional_details') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 11. Grid --&gt; Seaice Categories \n", "*What method is used to represent sea ice categories ?*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 11.1. Has Mulitple Categories\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Set to true if the sea ice model has multiple sea ice categories.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.seaice_categories.has_mulitple_categories') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.2. Number Of Categories\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *If using sea ice categories specify how many.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.seaice_categories.number_of_categories') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.3. Category Limits\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *If using sea ice categories specify each of the category limits.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.seaice_categories.category_limits') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.4. Ice Thickness Distribution Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the sea ice thickness distribution scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.seaice_categories.ice_thickness_distribution_scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.5. Other\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If the sea ice model does not use sea ice categories specify any additional details. For example models that paramterise the ice thickness distribution ITD (i.e there is no explicit ITD) but there is assumed distribution and fluxes are computed accordingly.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.seaice_categories.other') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 12. Grid --&gt; Snow On Seaice \n", "*Snow on sea ice details*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 12.1. Has Snow On Ice\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is snow on ice represented in this model?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.snow_on_seaice.has_snow_on_ice') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.2. Number Of Snow Levels\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Number of vertical levels of snow on ice?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.snow_on_seaice.number_of_snow_levels') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.3. Snow Fraction\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how the snow fraction on sea ice is determined*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.snow_on_seaice.snow_fraction') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.4. Additional Details\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Specify any additional details related to snow on ice.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.grid.snow_on_seaice.additional_details') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 13. Dynamics \n", "*Sea Ice Dynamics*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 13.1. Horizontal Transport\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the method of horizontal advection of sea ice?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.dynamics.horizontal_transport') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Incremental Re-mapping\" \n", "# \"Prather\" \n", "# \"Eulerian\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.2. Transport In Thickness Space\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the method of sea ice transport in thickness space (i.e. in thickness categories)?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.dynamics.transport_in_thickness_space') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Incremental Re-mapping\" \n", "# \"Prather\" \n", "# \"Eulerian\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.3. Ice Strength Formulation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Which method of sea ice strength formulation is used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.dynamics.ice_strength_formulation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Hibler 1979\" \n", "# \"Rothrock 1975\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.4. Redistribution\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Which processes can redistribute sea ice (including thickness)?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.dynamics.redistribution') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Rafting\" \n", "# \"Ridging\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.5. Rheology\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Rheology, what is the ice deformation formulation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.dynamics.rheology') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Free-drift\" \n", "# \"Mohr-Coloumb\" \n", "# \"Visco-plastic\" \n", "# \"Elastic-visco-plastic\" \n", "# \"Elastic-anisotropic-plastic\" \n", "# \"Granular\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 14. Thermodynamics --&gt; Energy \n", "*Processes related to energy in sea ice thermodynamics*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 14.1. Enthalpy Formulation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the energy formulation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.enthalpy_formulation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Pure ice latent heat (Semtner 0-layer)\" \n", "# \"Pure ice latent and sensible heat\" \n", "# \"Pure ice latent and sensible heat + brine heat reservoir (Semtner 3-layer)\" \n", "# \"Pure ice latent and sensible heat + explicit brine inclusions (Bitz and Lipscomb)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.2. Thermal Conductivity\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What type of thermal conductivity is used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.thermal_conductivity') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Pure ice\" \n", "# \"Saline ice\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.3. Heat Diffusion\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the method of heat diffusion?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.heat_diffusion') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Conduction fluxes\" \n", "# \"Conduction and radiation heat fluxes\" \n", "# \"Conduction, radiation and latent heat transport\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.4. Basal Heat Flux\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Method by which basal ocean heat flux is handled?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.basal_heat_flux') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Heat Reservoir\" \n", "# \"Thermal Fixed Salinity\" \n", "# \"Thermal Varying Salinity\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.5. Fixed Salinity Value\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If you have selected {Thermal properties depend on S-T (with fixed salinity)}, supply fixed salinity value for each sea ice layer.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.fixed_salinity_value') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.6. Heat Content Of Precipitation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method by which the heat content of precipitation is handled.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.heat_content_of_precipitation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.7. Precipitation Effects On Salinity\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If precipitation (freshwater) that falls on sea ice affects the ocean surface salinity please provide further details.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.energy.precipitation_effects_on_salinity') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 15. Thermodynamics --&gt; Mass \n", "*Processes related to mass in sea ice thermodynamics*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 15.1. New Ice Formation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method by which new sea ice is formed in open water.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.mass.new_ice_formation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.2. Ice Vertical Growth And Melt\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method that governs the vertical growth and melt of sea ice.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.mass.ice_vertical_growth_and_melt') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.3. Ice Lateral Melting\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the method of sea ice lateral melting?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.mass.ice_lateral_melting') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Floe-size dependent (Bitz et al 2001)\" \n", "# \"Virtual thin ice melting (for single-category)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.4. Ice Surface Sublimation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method that governs sea ice surface sublimation.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.mass.ice_surface_sublimation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.5. Frazil Ice\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method of frazil ice formation.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.mass.frazil_ice') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 16. Thermodynamics --&gt; Salt \n", "*Processes related to salt in sea ice thermodynamics.*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 16.1. Has Multiple Sea Ice Salinities\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the sea ice model use two different salinities: one for thermodynamic calculations; and one for the salt budget?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.has_multiple_sea_ice_salinities') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 16.2. Sea Ice Salinity Thermal Impacts\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does sea ice salinity impact the thermal properties of sea ice?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.sea_ice_salinity_thermal_impacts') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 17. Thermodynamics --&gt; Salt --&gt; Mass Transport \n", "*Mass transport of salt*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 17.1. Salinity Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How is salinity determined in the mass transport of salt calculation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.mass_transport.salinity_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant\" \n", "# \"Prescribed salinity profile\" \n", "# \"Prognostic salinity profile\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.2. Constant Salinity Value\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If using a constant salinity value specify this value in PSU?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.mass_transport.constant_salinity_value') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.3. Additional Details\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the salinity profile used.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.mass_transport.additional_details') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 18. Thermodynamics --&gt; Salt --&gt; Thermodynamics \n", "*Salt thermodynamics*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 18.1. Salinity Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How is salinity determined in the thermodynamic calculation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.thermodynamics.salinity_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant\" \n", "# \"Prescribed salinity profile\" \n", "# \"Prognostic salinity profile\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.2. Constant Salinity Value\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If using a constant salinity value specify this value in PSU?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.thermodynamics.constant_salinity_value') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.3. Additional Details\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the salinity profile used.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.salt.thermodynamics.additional_details') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 19. Thermodynamics --&gt; Ice Thickness Distribution \n", "*Ice thickness distribution details.*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 19.1. Representation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How is the sea ice thickness distribution represented?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.ice_thickness_distribution.representation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Explicit\" \n", "# \"Virtual (enhancement of thermal conductivity, thin ice melting)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 20. Thermodynamics --&gt; Ice Floe Size Distribution \n", "*Ice floe-size distribution details.*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 20.1. Representation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How is the sea ice floe-size represented?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.ice_floe_size_distribution.representation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Explicit\" \n", "# \"Parameterised\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.2. Additional Details\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Please provide further details on any parameterisation of floe-size.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.ice_floe_size_distribution.additional_details') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 21. Thermodynamics --&gt; Melt Ponds \n", "*Characteristics of melt ponds.*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 21.1. Are Included\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Are melt ponds included in the sea ice model?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.melt_ponds.are_included') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 21.2. Formulation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What method of melt pond formulation is used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.melt_ponds.formulation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Flocco and Feltham (2010)\" \n", "# \"Level-ice melt ponds\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 21.3. Impacts\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *What do melt ponds have an impact on?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.melt_ponds.impacts') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Albedo\" \n", "# \"Freshwater\" \n", "# \"Heat\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 22. Thermodynamics --&gt; Snow Processes \n", "*Thermodynamic processes in snow on sea ice*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 22.1. Has Snow Aging\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Set to True if the sea ice model has a snow aging scheme.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.snow_processes.has_snow_aging') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.2. Snow Aging Scheme\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the snow aging scheme.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.snow_processes.snow_aging_scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.3. Has Snow Ice Formation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Set to True if the sea ice model has snow ice formation.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.snow_processes.has_snow_ice_formation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.4. Snow Ice Formation Scheme\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the snow ice formation scheme.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.snow_processes.snow_ice_formation_scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.5. Redistribution\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the impact of ridging on snow cover?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.snow_processes.redistribution') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.6. Heat Diffusion\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What is the heat diffusion through snow methodology in sea ice thermodynamics?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.thermodynamics.snow_processes.heat_diffusion') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Single-layered heat diffusion\" \n", "# \"Multi-layered heat diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 23. Radiative Processes \n", "*Sea Ice Radiative Processes*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 23.1. Surface Albedo\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Method used to handle surface albedo.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.radiative_processes.surface_albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Delta-Eddington\" \n", "# \"Parameterized\" \n", "# \"Multi-band albedo\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.2. Ice Radiation Transmission\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Method by which solar radiation through sea ice is handled.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.seaice.radiative_processes.ice_radiation_transmission') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Delta-Eddington\" \n", "# \"Exponential attenuation\" \n", "# \"Ice radiation transmission per category\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### \u00a92017 [ES-DOC](https://es-doc.org) \n" ], "cell_type": "markdown", "metadata": {} } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
kastnerkyle/kastnerkyle.github.io-nikola
blogsite/posts/robust-matrix-decomposition.ipynb
1
532859
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Matrix factorization is a very interesting area of machine learning research. Formulating a problem as a 2D matrix $X$ to be decomposed into multiple matrices, which combine to return an approximation of $X$, can lead to state of the art results for many interesting problems. This core concept is the focus of compressive sensing, matrix completion, sparse coding, robust PCA, dictionary learning, and many other algorithms. One major website which shows many different types of matrix decomposition algorithms is the [Matrix Factorization Jungle, run by Igor Carron](https://sites.google.com/site/igorcarron2/matrixfactorizations). There has been a heavy focus on random projections in recent algorithms, which can often lead to increased stability and computationally efficient solutions.\n", "<!-- TEASER_END -->\n", "\n", "Below is a link to the GoDec algorithm output, as applied to the \"Hall\" video (shown below) found in [this zip file](https://sites.google.com/site/godecomposition/SSGoDec.zip?attredirects=0), which is a surveillance tape taken from a mall. Using the GoDec algorithm, the background is almost completely subtracted from the noisy elements of people walking, while still capturing periodic background elements as part of the background. I have written code for both the GoDec and Robust PCA algorithms in numpy based on their Matlab equivalents. There are many datasets which can be found [here](http://perception.i2r.a-star.edu.sg/bk_model/bk_index.html), and we will set up a simple download function for ease-of-access. Special thanks to [@kuantkid](https://github.com/kuantkid) for the PyRPCA repo, which was the inspiration to start and extend this work, and especially the idea of creating a demo video from PNGs which is *PRETTY. DANG. AWESOME*." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Interstellar Overdrive\n", "----------------------" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "\n", " <iframe\n", " width=\"400\"\n", " height=\"300\"\n", " src=\"https://www.youtube.com/embed/JgfK46RA8XY\"\n", " frameborder=\"0\"\n", " allowfullscreen\n", " ></iframe>\n", " " ], "text/plain": [ "<IPython.lib.display.YouTubeVideo at 0x103d10dd8>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from IPython.display import YouTubeVideo\n", "YouTubeVideo('JgfK46RA8XY')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First we want to download a video, so that we can compare the algorithmic result against the original video. The file is downloaded, if it does not already exist in the working directory. Next, it will create a directory of the same name, and unzip the file contents (Campus.zip to Campus/*filename*)." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Video file ShoppingMall.zip already downloaded, continuing\n" ] } ], "source": [ "try:\n", " from urllib2 import urlopen\n", "except ImportError:\n", " from urllib.request import urlopen\n", "from scipy.io import loadmat, savemat\n", "import os\n", "\n", "ext = {\"water\":'WaterSurface.zip',\n", " \"fountain\":'Fountain.zip',\n", " \"campus\":'Campus.zip',\n", " \"escalator\": 'Escalator.zip',\n", " \"curtain\": 'Curtain.zip',\n", " \"lobby\": 'Lobby.zip',\n", " \"mall\": 'ShoppingMall.zip',\n", " \"hall\": 'hall.zip',\n", " \"bootstrap\": 'Bootstrap.zip'}\n", "\n", "example = \"mall\"\n", "\n", "\n", "def progress_bar_downloader(url, fname, progress_update_every=5):\n", " #from http://stackoverflow.com/questions/22676/how-do-i-download-a-file-over-http-using-python/22776#22776\n", " u = urlopen(url)\n", " f = open(fname, 'wb')\n", " meta = u.info()\n", " file_size = int(meta.get(\"Content-Length\"))\n", " print(\"Downloading: %s Bytes: %s\" % (fname, file_size))\n", " file_size_dl = 0\n", " block_sz = 8192\n", " p = 0\n", " while True:\n", " buffer = u.read(block_sz)\n", " if not buffer:\n", " break\n", " file_size_dl += len(buffer)\n", " f.write(buffer)\n", " if (file_size_dl * 100. / file_size) > p:\n", " status = r\"%10d [%3.2f%%]\" % (file_size_dl, file_size_dl * 100. / file_size)\n", " print(status)\n", " p += progress_update_every\n", " f.close()\n", "\n", "\n", "def get_video_clip(d):\n", " #Download files from http://perception.i2r.a-star.edu.sg/bk_model/bk_index.html\n", " if os.path.exists('./' + d):\n", " print('Video file %s already downloaded, continuing' % d)\n", " return\n", " else:\n", " print('Video file %s not found, downloading' % d)\n", " progress_bar_downloader(r'http://perception.i2r.a-star.edu.sg/BK_Model_TestData/' + d, d)\n", "\n", " \n", "def bname(x): return x.split('.')[0]\n", " \n", "get_video_clip(ext[example])\n", "\n", "if not os.path.exists('./' + bname(ext[example])):\n", " os.makedirs(bname(ext[example]))\n", " os.system('unzip ' + ext[example] + ' -d ' + bname(ext[example]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The code below will read in all the .bmp images downloaded and unzipped from the website, as well as converting to grayscale, scaling the result between 0 and 1. Eventually, I plan to do a \"full-color\" version of this testing, but for now the greyscale will have to suffice." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(20480, 1286)\n", "128\n", "160\n" ] } ], "source": [ "from scipy import misc\n", "import numpy as np\n", "from glob import glob\n", "\n", "def rgb2gray(rgb):\n", " r, g, b = rgb[:, :, 0], rgb[:, :, 1], rgb[:, :, 2]\n", " gray = 0.2989 * r + 0.5870 * g + 0.1140 * b\n", " return gray / 255.\n", "\n", "fdir = bname(ext[example])\n", "names = sorted(glob(fdir + \"/*.bmp\"))\n", "d1, d2, channels = misc.imread(names[0]).shape\n", "d1 = 128\n", "d2 = 160\n", "num = len(names)\n", "X = np.zeros((d1, d2, num))\n", "for n, i in enumerate(names):\n", " X[:, :, n] = misc.imresize(rgb2gray(misc.imread(i).astype(np.double)) / 255., (d1, d2))\n", " \n", "X = X.reshape(d1 * d2, num)\n", "clip = 100\n", "print(X.shape)\n", "print(d1)\n", "print(d2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Robust PCA\n", "----------\n", "Robust Principal Component Analysis (PCA) is an extension of PCA. Rather than attempting to solve $X = L$, where $L$ is typically a low-rank approximation ($N \\times M$, vs. $N \\times P$, $M < P$), Robust PCA solves the factorization problem $X = L + S$, where $L$ is a low-rank approximation, and $S$ is a sparse component. By separating the factorization into two separate matrix components, Robust PCA makes a much better low-rank estimate $L$ on many problems.\n", "\n", "There are a [variety of algorithms](http://perception.csl.illinois.edu/matrix-rank/sample_code.html) to solve this optimization problem. The code below is an implementation of the Inexact Augmented Lagrangian Multiplier algorithm for Robust PCA which is identical to the equivalent [MATLAB code (download)](http://perception.csl.illinois.edu/matrix-rank/Files/inexact_alm_rpca.zip), or as near as I could make it. The functionality seems equivalent, and for relevant details please see the [paper](http://perception.csl.illinois.edu/matrix-rank/Files/Lin09-MP.pdf). This algorithm was chosen because according to the [timing results at the bottom of this page](http://perception.csl.illinois.edu/matrix-rank/sample_code.html), it was both the fastest and most accurate of the formulas listed. Though it appears to be fairly slow in our testing, it is fully believable that this is an implementation issue, since this code has not been specifically optimized for numpy. Due to this limitation, we clip the algorithm to the first few frames to save time." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np\n", "from numpy.linalg import norm, svd\n", "\n", "def inexact_augmented_lagrange_multiplier(X, lmbda=.01, tol=1e-3,\n", " maxiter=100, verbose=True):\n", " \"\"\"\n", " Inexact Augmented Lagrange Multiplier\n", " \"\"\"\n", " Y = X\n", " norm_two = norm(Y.ravel(), 2)\n", " norm_inf = norm(Y.ravel(), np.inf) / lmbda\n", " dual_norm = np.max([norm_two, norm_inf])\n", " Y = Y / dual_norm\n", " A = np.zeros(Y.shape)\n", " E = np.zeros(Y.shape)\n", " dnorm = norm(X, 'fro')\n", " mu = 1.25 / norm_two\n", " rho = 1.5\n", " sv = 10.\n", " n = Y.shape[0]\n", " itr = 0\n", " while True:\n", " Eraw = X - A + (1 / mu) * Y\n", " Eupdate = np.maximum(Eraw - lmbda / mu, 0) + np.minimum(Eraw + lmbda / mu, 0)\n", " U, S, V = svd(X - Eupdate + (1 / mu) * Y, full_matrices=False)\n", " svp = (S > 1 / mu).shape[0]\n", " if svp < sv:\n", " sv = np.min([svp + 1, n])\n", " else:\n", " sv = np.min([svp + round(.05 * n), n])\n", " Aupdate = np.dot(np.dot(U[:, :svp], np.diag(S[:svp] - 1 / mu)), V[:svp, :])\n", " A = Aupdate\n", " E = Eupdate\n", " Z = X - A - E\n", " Y = Y + mu * Z\n", " mu = np.min([mu * rho, mu * 1e7])\n", " itr += 1\n", " if ((norm(Z, 'fro') / dnorm) < tol) or (itr >= maxiter):\n", " break\n", " if verbose:\n", " print(\"Finished at iteration %d\" % (itr)) \n", " return A, E" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Finished at iteration 18\n", "RPCA complete\n" ] } ], "source": [ "sz = clip\n", "A, E = inexact_augmented_lagrange_multiplier(X[:, :sz])\n", "A = A.reshape(d1, d2, sz) * 255.\n", "E = E.reshape(d1, d2, sz) * 255.\n", "#Refer to them by position desired for video demo later \n", "savemat(\"./IALM_background_subtraction.mat\", {\"1\": A, \"2\": E})\n", "print(\"RPCA complete\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "GoDec\n", "-----\n", "\n", "The code below contains an implementation of the GoDec algorithm, which attempts to solve the problem $X = L + S + G$, with $L$ low-rank, $S$ sparse, and $G$ as a component of Gaussian noise. By allowing the decomposition to expand to 3 matrix components, the algorithm is able to more effectively differentiate the sparse component from the low-rank. " ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np\n", "from numpy.linalg import norm\n", "from scipy.linalg import qr\n", "\n", "def wthresh(a, thresh):\n", " #Soft wavelet threshold\n", " res = np.abs(a) - thresh\n", " return np.sign(a) * ((res > 0) * res)\n", "\n", "#Default threshold of .03 is assumed to be for input in the range 0-1...\n", "#original matlab had 8 out of 255, which is about .03 scaled to 0-1 range\n", "def go_dec(X, thresh=.03, rank=2, power=0, tol=1e-3,\n", " max_iter=100, random_seed=0, verbose=True):\n", " m, n = X.shape\n", " if m < n:\n", " X = X.T\n", " m, n = X.shape\n", " L = X\n", " S = np.zeros(L.shape)\n", " itr = 0\n", " random_state = np.random.RandomState(random_seed) \n", " while True:\n", " Y2 = random_state.randn(n, rank)\n", " for i in range(power + 1):\n", " Y1 = np.dot(L, Y2)\n", " Y2 = np.dot(L.T, Y1);\n", " Q, R = qr(Y2, mode='economic')\n", " L_new = np.dot(np.dot(L, Q), Q.T)\n", " T = L - L_new + S\n", " L = L_new\n", " S = wthresh(T, thresh)\n", " T -= S\n", " err = norm(T.ravel(), 2)\n", " if (err < tol) or (itr >= max_iter):\n", " break \n", " L += T\n", " itr += 1\n", " #Is this even useful in soft GoDec? May be a display issue...\n", " G = X - L - S\n", " if m < n:\n", " L = L.T\n", " S = S.T\n", " G = G.T\n", " if verbose:\n", " print(\"Finished at iteration %d\" % (itr))\n", " return L, S, G" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Finished at iteration 100\n", "GoDec complete\n" ] } ], "source": [ "sz = clip\n", "L, S, G = go_dec(X[:, :sz])\n", "L = L.reshape(d1, d2, sz) * 255.\n", "S = S.reshape(d1, d2, sz) * 255.\n", "G = G.reshape(d1, d2, sz) * 255.\n", "savemat(\"./GoDec_background_subtraction.mat\", {\"1\": L, \"2\": S, \"3\": G, })\n", "print(\"GoDec complete\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A Momentary Lapse of Reason\n", "---------------------------\n", "\n", "Now it is time to do something a little unreasonable - we can actually take all of this data, reshape it into a series of images, and plot it as a video inside the IPython notebook! The first step is to generate the frames for the video as .png files, as shown below." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Completed frame 0 of 100 for method IALM\n", "Completed frame 25 of 100 for method IALM\n", "Completed frame 50 of 100 for method IALM\n", "Completed frame 75 of 100 for method IALM\n", "Completed frame 0 of 100 for method GoDec\n", "Completed frame 25 of 100 for method GoDec\n", "Completed frame 50 of 100 for method GoDec\n", "Completed frame 75 of 100 for method GoDec\n" ] } ], "source": [ "import os\n", "import sys\n", "import matplotlib.pyplot as plt\n", "from scipy.io import loadmat\n", "import numpy as np\n", "from matplotlib import cm\n", "import matplotlib\n", "\n", "#demo inspired by / stolen from @kuantkid on Github - nice work!\n", "def mlabdefaults():\n", " matplotlib.rcParams['lines.linewidth'] = 1.5\n", " matplotlib.rcParams['savefig.dpi'] = 300 \n", " matplotlib.rcParams['font.size'] = 22\n", " matplotlib.rcParams['font.family'] = \"Times New Roman\"\n", " matplotlib.rcParams['legend.fontsize'] = \"small\"\n", " matplotlib.rcParams['legend.fancybox'] = True\n", " matplotlib.rcParams['lines.markersize'] = 10\n", " matplotlib.rcParams['figure.figsize'] = 8, 5.6\n", " matplotlib.rcParams['legend.labelspacing'] = 0.1\n", " matplotlib.rcParams['legend.borderpad'] = 0.1\n", " matplotlib.rcParams['legend.borderaxespad'] = 0.2\n", " matplotlib.rcParams['font.monospace'] = \"Courier New\"\n", " matplotlib.rcParams['savefig.dpi'] = 200\n", " \n", "def make_video(alg, cache_path='/tmp/matrix_dec_tmp'):\n", " name = alg\n", " if not os.path.exists(cache_path):\n", " os.mkdir(cache_path)\n", " #If you generate a big \n", " if not os.path.exists('%s/%s_tmp'%(cache_path, name)):\n", " os.mkdir(\"%s/%s_tmp\"%(cache_path, name))\n", " mat = loadmat('./%s_background_subtraction.mat'%(name))\n", " org = X.reshape(d1, d2, X.shape[1]) * 255.\n", " fig = plt.figure()\n", " ax = fig.add_subplot(111)\n", " usable = [x for x in sorted(mat.keys()) if \"_\" not in x][0]\n", " sz = min(org.shape[2], mat[usable].shape[2])\n", " for i in range(sz):\n", " ax.cla()\n", " ax.axis(\"off\")\n", " ax.imshow(np.hstack([mat[x][:, :, i] for x in sorted(mat.keys()) if \"_\" not in x] + \\\n", " [org[:, :, i]]), cm.gray)\n", " fname_ = '%s/%s_tmp/_tmp%03d.png'%(cache_path, name, i)\n", " if (i % 25) == 0:\n", " print('Completed frame', i, 'of', sz, 'for method', name)\n", " fig.tight_layout()\n", " fig.savefig(fname_, bbox_inches=\"tight\")\n", " #Write out an mp4 and webm video from the png files. -r 5 means 5 frames a second\n", " #libx264 is h.264 encoding, -s 160x130 is the image size\n", " #You may need to sudo apt-get install libavcodec\n", " plt.close()\n", "\n", " num_arrays = na = len([x for x in mat.keys() if \"_\" not in x])\n", " cdims = (na * d1, d2)\n", " cmd_h264 = \"ffmpeg -y -r 10 -i '%s/%s_tmp/_tmp%%03d.png' -c:v libx264 \" % (cache_path, name) + \\\n", " \"-s %dx%d -preset ultrafast -pix_fmt yuv420p %s_animation.mp4\" % (cdims[0], cdims[1], name)\n", " cmd_vp8 = \"ffmpeg -y -r 10 -i '%s/%s_tmp/_tmp%%03d.png' -c:v libvpx \" % (cache_path, name) + \\\n", " \"-s %dx%d -preset ultrafast -pix_fmt yuv420p %s_animation.webm\" % (cdims[0], cdims[1], name)\n", " os.system(cmd_h264)\n", " os.system(cmd_vp8)\n", " \n", "if __name__ == \"__main__\":\n", " mlabdefaults()\n", " all_methods = ['IALM', 'GoDec']\n", " for name in all_methods:\n", " make_video(name);" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Background is generated from this file: mall\n" ] } ], "source": [ "print(\"Background is generated from this file:\", example)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Echoes\n", "------\n", "\n", "The code below will display HTML5 video for each of the videos generated in the previos step, and embed it in the IPython notebook. There are \"echoes\" of people, which are much more pronounced in the Robust PCA video than the GoDec version, likely due to the increased flexibility of an independent Gaussian term. Overall, the effect is pretty cool though not mathematically as good as the GoDec result." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from IPython.display import HTML\n", "from base64 import b64encode\n", "\n", "def html5_video(alg, frames):\n", " #This *should* support all browsers...\n", " framesz = 250\n", " info = {\"mp4\": {\"ext\":\"mp4\", \"encoded\": '', \"size\":(frames * framesz, framesz)}}\n", " html_output = []\n", " for k in info.keys():\n", " f = open(\"%s_animation.%s\" % (alg, info[k][\"ext\"]), \"rb\").read()\n", " encoded = b64encode(f).decode('ascii')\n", " video_tag = '<video width=\"500\" height=\"250\" autoplay=\"autoplay\" ' + \\\n", " 'loop src=\"data:video/%s;base64,%s\">' % (k, encoded)\n", " html_output.append(video_tag)\n", " return HTML(data=''.join(html_output))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If these videos freeze for some reason, just hit refresh and they should start playing." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<video width=\"500\" height=\"250\" autoplay=\"autoplay\" loop src=\"data:video/mp4;base64,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\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "html5_video(\"IALM\", 3)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<video width=\"500\" height=\"250\" autoplay=\"autoplay\" loop src=\"data:video/mp4;base64,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\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "html5_video(\"GoDec\", 4)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The Final Cut\n", "-------------\n", "\n", "Though the results are definitely not identical to the video results, they are similar enough to the benchmark that I am satisfied for now. Future work in this area will involve more decomposition algorithms, dictionary learning, and matrix completion. Eventually, I would like to get this into scikit-learn format, and post as a gist or contribute to the codebase.\n", "\n", "kk" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
open2c/bioframe
docs/tutorials/tutorial_assign_motifs_to_peaks.ipynb
1
136313
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# How to: assign TF Motifs to ChIP-seq peaks\n", "\n", "This tutorial demonstrates one way to assign CTCF motifs to CTCF ChIP-seq peaks using bioframe.\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import bioframe\n", "import numpy as np\n", "import pandas as pd \n", "import matplotlib.pyplot as plt\n", "from scipy.stats import pearsonr, spearmanr" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "base_dir = '/tmp/bioframe_tutorial_data/'\n", "assembly = 'GRCh38'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load CTCF ChIP-seq peaks for HFF from ENCODE\n", "\n", "This approach makes use of the `narrowPeak` schema for bioframe.read_table . " ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>chrom</th>\n", " <th>start</th>\n", " <th>end</th>\n", " <th>name</th>\n", " <th>score</th>\n", " <th>strand</th>\n", " <th>fc</th>\n", " <th>-log10p</th>\n", " <th>-log10q</th>\n", " <th>relSummit</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>chr19</td>\n", " <td>48309541</td>\n", " <td>48309911</td>\n", " <td>.</td>\n", " <td>1000</td>\n", " <td>.</td>\n", " <td>5.04924</td>\n", " <td>-1.0</td>\n", " <td>0.00438</td>\n", " <td>185</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>chr4</td>\n", " <td>130563716</td>\n", " <td>130564086</td>\n", " <td>.</td>\n", " <td>993</td>\n", " <td>.</td>\n", " <td>5.05052</td>\n", " <td>-1.0</td>\n", " <td>0.00432</td>\n", " <td>185</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>chr1</td>\n", " <td>200622507</td>\n", " <td>200622877</td>\n", " <td>.</td>\n", " <td>591</td>\n", " <td>.</td>\n", " <td>5.05489</td>\n", " <td>-1.0</td>\n", " <td>0.00400</td>\n", " <td>185</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>chr5</td>\n", " <td>112848447</td>\n", " <td>112848817</td>\n", " <td>.</td>\n", " <td>869</td>\n", " <td>.</td>\n", " <td>5.05841</td>\n", " <td>-1.0</td>\n", " <td>0.00441</td>\n", " <td>185</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>chr1</td>\n", " <td>145960616</td>\n", " <td>145960986</td>\n", " <td>.</td>\n", " <td>575</td>\n", " <td>.</td>\n", " <td>5.05955</td>\n", " <td>-1.0</td>\n", " <td>0.00439</td>\n", " <td>185</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " chrom start end name score strand fc -log10p -log10q \\\n", "0 chr19 48309541 48309911 . 1000 . 5.04924 -1.0 0.00438 \n", "1 chr4 130563716 130564086 . 993 . 5.05052 -1.0 0.00432 \n", "2 chr1 200622507 200622877 . 591 . 5.05489 -1.0 0.00400 \n", "3 chr5 112848447 112848817 . 869 . 5.05841 -1.0 0.00441 \n", "4 chr1 145960616 145960986 . 575 . 5.05955 -1.0 0.00439 \n", "\n", " relSummit \n", "0 185 \n", "1 185 \n", "2 185 \n", "3 185 \n", "4 185 " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ctcf_peaks = bioframe.read_table(\"https://www.encodeproject.org/files/ENCFF401MQL/@@download/ENCFF401MQL.bed.gz\", schema='narrowPeak')\n", "ctcf_peaks[0:5]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Get CTCF motifs from JASPAR" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>chrom</th>\n", " <th>start</th>\n", " <th>end</th>\n", " <th>name</th>\n", " <th>score</th>\n", " <th>pval</th>\n", " <th>strand</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>chr1</td>\n", " <td>11163</td>\n", " <td>11182</td>\n", " <td>CTCF</td>\n", " <td>811</td>\n", " <td>406</td>\n", " <td>-</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>chr1</td>\n", " <td>11222</td>\n", " <td>11241</td>\n", " <td>CTCF</td>\n", " <td>959</td>\n", " <td>804</td>\n", " <td>-</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>chr1</td>\n", " <td>11280</td>\n", " <td>11299</td>\n", " <td>CTCF</td>\n", " <td>939</td>\n", " <td>728</td>\n", " <td>-</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>chr1</td>\n", " <td>11339</td>\n", " <td>11358</td>\n", " <td>CTCF</td>\n", " <td>837</td>\n", " <td>455</td>\n", " <td>-</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " chrom start end name score pval strand\n", "0 chr1 11163 11182 CTCF 811 406 -\n", "1 chr1 11222 11241 CTCF 959 804 -\n", "2 chr1 11280 11299 CTCF 939 728 -\n", "3 chr1 11339 11358 CTCF 837 455 -" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "### CTCF motif: http://jaspar.genereg.net/matrix/MA0139.1/\n", "jaspar_url = 'http://expdata.cmmt.ubc.ca/JASPAR/downloads/UCSC_tracks/2022/hg38/'\n", "jaspar_motif_file = 'MA0139.1.tsv.gz'\n", "ctcf_motifs = bioframe.read_table(jaspar_url+jaspar_motif_file,schema='jaspar',skiprows=1) \n", "ctcf_motifs[0:4]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Overlap peaks & motifs" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "df_peaks_motifs = bioframe.overlap(ctcf_peaks,ctcf_motifs, suffixes=('_1','_2'), return_index=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "There are often multiple motifs overlapping one ChIP-seq peak, and a substantial number of peaks without motifs:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "fraction of peaks without motifs 0.14\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# note that counting motifs per peak can also be handled directly with bioframe.count_overlaps\n", "# but since we re-use df_peaks_motifs below we instead use the pandas operations directly\n", "motifs_per_peak = df_peaks_motifs.groupby([\"index_1\"])[\"index_2\"].count().values\n", "\n", "plt.hist(motifs_per_peak,np.arange(0,np.max(motifs_per_peak)))\n", "plt.xlabel('number of overlapping motifs per peak')\n", "plt.ylabel('number of peaks')\n", "plt.semilogy();\n", "\n", "print(f'fraction of peaks without motifs {np.round(np.sum(motifs_per_peak==0)/len(motifs_per_peak),2)}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### assign the strongest motif to each peak" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "# since idxmax does not currently take NA, fill with -1\n", "df_peaks_motifs['pval_2'] = df_peaks_motifs['pval_2'].fillna(-1) \n", "idxmax_peaks_motifs = df_peaks_motifs.groupby([\"chrom_1\", \"start_1\",\"end_1\"])[\"pval_2\"].idxmax().values\n", "df_peaks_maxmotif = df_peaks_motifs.loc[idxmax_peaks_motifs]\n", "df_peaks_maxmotif['pval_2'].replace(-1,np.nan,inplace=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "stronger peaks tend to have stronger motifs:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "plt.rcParams['font.size']=12\n", "df_peaks_maxmotif['fc_1'] = df_peaks_maxmotif['fc_1'].values.astype('float')\n", "plt.scatter(df_peaks_maxmotif['fc_1'].values, \n", " df_peaks_maxmotif['pval_2'].values, 5, alpha=0.5,lw=0)\n", "plt.xlabel('ENCODE CTCF peak strength, fc')\n", "plt.ylabel('JASPAR CTCF motif strength \\n (-log10 pval *100)')\n", "plt.title('corr: '+str(np.round(df_peaks_maxmotif['fc_1'].corr(df_peaks_maxmotif['pval_2']),2)));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can also ask the reverse question: how many motifs overlap a ChIP-seq peak?" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "df_motifs_peaks = bioframe.overlap(ctcf_motifs,ctcf_peaks,how='left', suffixes=('_1','_2'))" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "m = df_motifs_peaks.sort_values('pval_1')\n", "plt.plot( m['pval_1'].values[::-1] ,\n", " np.cumsum(pd.isnull(m['chrom_2'].values[::-1])==0)/np.arange(1,len(m)+1))\n", "plt.xlabel('pval')\n", "plt.ylabel('probability motif overlaps a peak');\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### filter peaks overlapping blacklisted regions\n", "\n", "do any of our peaks overlap blacklisted genomic regions?" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>chrom</th>\n", " <th>start</th>\n", " <th>end</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>chr1</td>\n", " <td>628903</td>\n", " <td>635104</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>chr1</td>\n", " <td>5850087</td>\n", " <td>5850571</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>chr1</td>\n", " <td>8909610</td>\n", " <td>8910014</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " chrom start end\n", "0 chr1 628903 635104\n", "1 chr1 5850087 5850571\n", "2 chr1 8909610 8910014" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "blacklist = bioframe.read_table('https://www.encodeproject.org/files/ENCFF356LFX/@@download/ENCFF356LFX.bed.gz',\n", " schema='bed3')\n", "blacklist[0:3]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "there appears to be a small spike in the number of peaks close to blacklist regions" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "closest_to_blacklist = bioframe.closest(ctcf_peaks,blacklist)\n", "plt.hist(closest_to_blacklist['distance'].astype('Float64').astype('float'),np.arange(0,1e4,100));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "to be safe, let's remove anything +/- 1kb from a blacklisted region" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "# first let's select the columns we want for our final dataframe of peaks with motifs\n", "df_peaks_maxmotif = df_peaks_maxmotif[\n", " ['chrom_1','start_1','end_1','fc_1',\n", " 'chrom_2','start_2','end_2','pval_2','strand_2']]\n", "# then rename columns for convenience when subtracting\n", "for i in df_peaks_maxmotif.keys():\n", " if '_1' in i: df_peaks_maxmotif.rename(columns={i:i.split('_')[0]},inplace=True)\n", "\n", "# now subtract, expanding the blacklist by 1kb \n", "df_peaks_maxmotif_clean = bioframe.subtract(df_peaks_maxmotif,bioframe.expand(blacklist,1000))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "there it is! we now have a dataframe containing positions of CTCF ChIP peaks, \n", "including the strongest motif underlying that peak, and after conservative\n", "filtering for proximity to blacklisted regions" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>chrom</th>\n", " <th>start</th>\n", " <th>end</th>\n", " <th>fc</th>\n", " <th>chrom_2</th>\n", " <th>start_2</th>\n", " <th>end_2</th>\n", " <th>pval_2</th>\n", " <th>strand_2</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>7</th>\n", " <td>chr9</td>\n", " <td>124777413</td>\n", " <td>124777783</td>\n", " <td>5.06479</td>\n", " <td>chr9</td>\n", " <td>124777400</td>\n", " <td>124777419</td>\n", " <td>450.0</td>\n", " <td>+</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>chr1</td>\n", " <td>67701045</td>\n", " <td>67701415</td>\n", " <td>5.06708</td>\n", " <td>None</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>NaN</td>\n", " <td>None</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>chr10</td>\n", " <td>119859586</td>\n", " <td>119859956</td>\n", " <td>5.08015</td>\n", " <td>chr10</td>\n", " <td>119859591</td>\n", " <td>119859610</td>\n", " <td>611.0</td>\n", " <td>-</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>chr3</td>\n", " <td>66816327</td>\n", " <td>66816697</td>\n", " <td>5.08233</td>\n", " <td>chr3</td>\n", " <td>66816332</td>\n", " <td>66816351</td>\n", " <td>741.0</td>\n", " <td>-</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>chr16</td>\n", " <td>50248791</td>\n", " <td>50249161</td>\n", " <td>5.08249</td>\n", " <td>None</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>NaN</td>\n", " <td>None</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>chr19</td>\n", " <td>41431677</td>\n", " <td>41432047</td>\n", " <td>5.11060</td>\n", " <td>chr19</td>\n", " <td>41431802</td>\n", " <td>41431821</td>\n", " <td>477.0</td>\n", " <td>+</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>chr4</td>\n", " <td>131644839</td>\n", " <td>131645209</td>\n", " <td>5.11204</td>\n", " <td>None</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>NaN</td>\n", " <td>None</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>chr2</td>\n", " <td>203239519</td>\n", " <td>203239889</td>\n", " <td>5.11817</td>\n", " <td>None</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>&lt;NA&gt;</td>\n", " <td>NaN</td>\n", " <td>None</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " chrom start end fc chrom_2 start_2 end_2 \\\n", "7 chr9 124777413 124777783 5.06479 chr9 124777400 124777419 \n", "8 chr1 67701045 67701415 5.06708 None <NA> <NA> \n", "9 chr10 119859586 119859956 5.08015 chr10 119859591 119859610 \n", "10 chr3 66816327 66816697 5.08233 chr3 66816332 66816351 \n", "11 chr16 50248791 50249161 5.08249 None <NA> <NA> \n", "12 chr19 41431677 41432047 5.11060 chr19 41431802 41431821 \n", "13 chr4 131644839 131645209 5.11204 None <NA> <NA> \n", "14 chr2 203239519 203239889 5.11817 None <NA> <NA> \n", "\n", " pval_2 strand_2 \n", "7 450.0 + \n", "8 NaN None \n", "9 611.0 - \n", "10 741.0 - \n", "11 NaN None \n", "12 477.0 + \n", "13 NaN None \n", "14 NaN None " ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df_peaks_maxmotif_clean.iloc[7:15]" ] } ], "metadata": { "jupytext": { "formats": "ipynb,md:myst" }, "kernelspec": { "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.5" } }, "nbformat": 4, "nbformat_minor": 4 }
mit
imaginebog/kmc_proc
notebooks/process_fs_groups-40.ipynb
1
5814
{ "metadata": { "name": "", "signature": "sha256:05b219d769a01689118e7df51e8e83d9edff759772a07a31e4e5d8646dbd128d" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import pandas" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "%cd D:\\free40" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "D:\\free40\n" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "import glob\n", "from os import path" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "files = glob.glob(\"*.txt\")" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "valid_ids=[ 93, 143, 144, 182, 207, 232, 310, 325, 326, 330, 332, 374, 383, 399, 413, 414, 544, 545, 556, 566, 567, 595, 599, 600, 610, 616, 625, 789, 790, 806, 813, 815, 823, 906, 911, 912, 913, 939, 1006, 1221, 1232, 1249, 1253, 1258, 1267, 1286, 1300, 1326, 1339, ]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "missing = {}" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "for f0 in files:\n", " f1=\".\".join(f0.split(\".\")[:-1]+[\"xlsx\"])\n", " table = pandas.read_table(f0,index_col=0)\n", " index2=[int(i.rstrip(\"/\")) for i in table.index]\n", " table.index=index2\n", " missing[f0]=set(valid_ids)-set(table.index)\n", " table2 = table.loc[valid_ids]\n", " table2.to_excel(f1)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "for k,v in sorted(missing.items(),key=lambda x:x[0].split(\".\")[1]):\n", " print \"%s\\t%d\\t%s\\n\\n\"%(k, len(v), sorted(v))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "rh.BA.area.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.BA.volume.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.BA.thickness.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.BA.area.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.BA.meancurv.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.BA.meancurv.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.BA.volume.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.BA.thickness.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.a2009s.volume.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.a2009s.thickness.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.a2009s.volume.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.a2009s.thickness.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.a2009s.area.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.a2009s.meancurv.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "lh.a2009s.area.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "rh.a2009s.meancurv.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_volume_rh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aseg_stats.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_area_rh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_thickness_rh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_thickness_lh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_meancurv_rh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "wmparc_stats.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_volume_lh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_meancurv_lh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n", "aparc_area_lh.txt\t8\t[332, 383, 599, 600, 616, 625, 1006, 1286]\n", "\n", "\n" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
uliang/First-steps-with-the-Python-language
Day 1 - Unit 1.1.ipynb
1
32050
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from __future__ import print_function, division" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 1. Your first steps with Python\n", "____________________________\n", "\n", "## 1.1 Introduction \n", "\n", "Python is a general purpose programming language. It is used extensively for scientific computing, data analytics and visualization, web development and software development. It has a wide user base and excellent library support. \n", "\n", "There are many ways to use and interact with the Python language. The first way is to access it directly from the command prompt and calling `python <script>.py`. This runs a script written in Python and does whatever you have programmed the computer to do. But scripts have to be written and how do we actually write Python scripts? \n", "\n", "Actually Python scripts are just `.txt` files. So you could just open a `.txt` file and write a script, saving the file with a `.py` extension. The downsides of this approach is obvious to anyone working with Windows. Usually, Python source code is written-not with Microsoft Word- but with and **I**ntegrated **D**evelopment **E**nvironment. An IDE combines a text editor with a running Python console to test code and actually do work with Python without switching from one program to another. If you learnt the C, or C++ language, you will be familiar with Vim. Other popular IDE's for Python are Pycharm, Spyder and the Jupyter Notebook. \n", "\n", "In this course, we will use the Jupyter Notebook as our IDE because of its ease of use ability to execute code cell by cell. It integrates with markdown so that one can annotate and document your code on the fly! All in all, it is an excellent tool for teaching and learning Python before one migrates to more advanced tools like Spyder for serious scripting and development work. \n", "\n", "## 1.2 Your best friends. \n", "\n", "In order to get the most from Python, your best source of reference is the \n", "[Python documentation](https://docs.python.org/3.6/). Getting good at Python is a matter using it regularly and familiarizing yourself with the keywords, constructs and commonly used idioms. \n", "\n", "Learn to use the Shift-Tab when coding. This activates a hovering tooltip that provides documentation for keywords, functions and even variables that you have declared in your environment. This convenient tooltip and be expanded into a pop-up window on your browser for easy reference. Use this often to reference function signatures, documentation and general help. \n", "\n", "Jupyter notebook comes with Tab completion. This quality of life assists you in typing code by listing possible autocompletion options so that you don't have to type everything out! Use Tab completion as often as you can. This makes coding faster and less tedious. Tab completion also allows you to check out various methods on classes which comes in handy when learning a library for the first time (like `matplotlib` or `seaborn`). \n", "\n", "Finally ask [Google](www.google.com). Once you have acquired enough \"vocabulary\", you can begin to query Google with your problem. And more often that not, somehow has experienced the same conundrum and left a message on [Stackexchange](https://stackexchange.com). Browsing the solutions listed there is a powerful way to learn programming skills. \n", "\n", "## 1.3 The learning objectives for this unit \n", "\n", "The learning objectives of this first unit are: \n", "\n", " * Getting around the Jupyter notebook. \n", " * Learning how to `print(\"Hello world!\")` \n", " * Using and coding with basic Python objects: `int`, `str`, `float` and `bool`. \n", " * Using the `type` function. \n", " * What are variables and valid variable names.\n", " * Using the `list` object and `list` methods. \n", " * Learning how to access items in `list`. Slicing and indexing. \n", "\n", " \n", "\n", "# 2. Getting around the Jupyter notebook \n", "_______________\n", "\n", "## 2.1 Cells and colors, just remember, green is for go\n", "\n", "All code is written in cells. Cells are where code blocks go. You execute a cell by pressing Shift-Enter or pressing the \"play\" button. Or you could just click on the drop down menu and select \"Run cell\" but who would want to do that! \n", "\n", "In general, cells have two uses: One for writing \"live\" Python code which can be executed and one more to write documentation using markdown. To toggle between the two cell types, press Escape to exit from \"edit\" mode. The edges of the cell should turn blue. Now you are in \"command\" mode. Escape actually activates \"command\" mode. Enter activates \"edit\" mode. With the cell border coloured blue, press `M` to enter into markdown mode. You should see the `In [ ]:` prompt dissappear. Press Enter to change the border to green. This means you can now \"edit\" markdown. How does one change from markdown to a live coding cell? In \"command\" mode (remember blue border) press `Y`. Now the cell is \"hot\". When you Shift-Enter, you will execute code. If you happen to write markdown when in a \"coding\" cell, the Python kernel will shout at you. (Means raise an error message)\n", "\n", "### 2.1.1 Practise makes perfect \n", "\n", "*Now its time for you to try. In the cell below, try switching to Markdown. Press Enter to activate \"edit\" mode and type some text in the cell. Press Shift-Enter and you should see the output rendered in html. Note that this is not coding yet* " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# change this cell into a Markdown cell. Then type something here and execute it (Shift-Enter)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2.2 Your first script \n", "\n", "It is a time honoured tradition that your very first program should be to print \"Hello world!\" How is this achieved in Python?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "'''Make sure you are in \"edit\" mode and that this cell is for Coding ( You should see the In [ ]:)\n", "on the left of the cell. '''\n", "\n", "print(\"Hello world!\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that `Hello world!` is printed at the bottom of the cell as an output. In general, this is how output of a python code is displayed to you. \n", "\n", "`print` is a special function in Python. It's purpose is to display output to the console. Notice that we pass an argument-in this case a string `\"Hello world!\"`- to the function. All arguments passed to the function must be enclosed in round brackets and this signals to the Python interpreter to execute a function named `print` with the argument `\"Hello world!\"`. \n", "\n", "### 2.2.1 Self introductions \n", "*Your next exercise is to print your own name to the console. Remember to enclose your name in `\" \"` or `' '`* " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# print your name in this cell. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2.3 Commenting\n", "\n", "Commenting is a way to annotate and document code. There are two ways to do this: Inline using the # character or by using `''' <documentation block> '''`, the latter being multi-line and hence used mainly for documenting functions or classes. Comments enclosed using ` ''' ''''` style commenting are actually registed in Jupyter notebook and can be accessed from the Shift-Tab tooltip! \n", "\n", "One should use # style commenting very sparingly. By right, code should be clear enough that # inline comments are not needed. \n", "\n", "However, # has a very important function. It is used for debugging and trouble-shooting. This is because commented code sections are never executed when you execute a cell (Shift-Enter) \n", "\n", "# 3. Python's building blocks\n", "_____\n", "\n", "Python is an **O**bject **O**riented **P**rogramming language. That means to *all* of python is made out of objects which are instances of `classes`. The main point here is that I am going to introduce 4 basic objects of Python which form the backbone of any program or script. \n", "\n", " * Integers or `int`. \n", " * Strings or `str`. You've met one of these: `\"Hello world!\"`. For those who know about character encoding, it is highly encouraged to code Python with `UTF-8` encoding. \n", " * Float or `float`. Basically the computer version of real numbers. \n", " * Booleans or `bool`. In Python, true and false are indicated by the reserved keywords `True` and `False`. Take note of the capitalized first letter.\n", " \n", "## 3.1 Numbers\n", "\n", "You can't call yourself a scientific computing language without the ability to deal with numbers. The basic arithmetic operations for numbers are exactly as you expect it to be\n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Addition \n", "5+3" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Subtraction\n", "8-9" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Multiplication \n", "3*12" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Division\n", "48/12" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note the floating point answer. In previous versions of Python, `/` meant floor division. This is no longer the case in Python 3" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Exponentiation. Limited precision though! \n", "\n", "16**0.5" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Residue class modulo n \n", "\n", "5%2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the above `5%2` means return me the remainder after 5 is divided by 2 (which is indeed 1). \n", "\n", "### 3.1.1 Precedence\n", "\n", "A note on arithmetic precedence. As one expects, `()` have the highest precedence, following by `*` and `/`. Addition and subtraction have the lowest precedence. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Guess the output before executing this cell. Come on, don't cheat! \n", "\n", "6%(1+3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It is interesting to note that the `%` operator is not distributive. \n", "\n", "### 3.1.2 Variables \n", "\n", "In general, one does not have to declare variables in python before using it. We merely need to assign numbers to variables. In the computer, this means that a certain place in memory has been allocated to store that particular number. Assignment to variables is executed by the `=` operator. The equal sign in Python is the binary comparison `==` operator. \n", "\n", "Python is case sensitive. So a variable name `A` is different from `a`. Variables cannot begin with numbers and cannot have empty spaces between them. So `my variable` is not a valid variable. Usually what is done is to write `my_variable`\n", "\n", "After assigning numbers to variables, the variable can be used to represent the number in any arithmetic operation. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Assignment \n", "\n", "x=1\n", "y=2" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "x+y" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "x/y" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that after assignment, I can access the variables in a different cell. However, if you reassign a variable to a different number, the old values for that variable are overwritten. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "x=5\n", "x+y-2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now try clicking back to the cell `x+y` and re-executing it. What do you the answer will be? \n", "\n", "Even though that cell was above our reassignment cell, nevertheless re-executing that cell means executing that block of code that the latest values for that variable. It is for this reason that one must be very careful with the order of execution of code blocks. In order to help us keep track of the order of execution, each cell has a counter next to it. Notice the `In [n]`. Higher values of `n` indicates more recent executions. \n", "\n", "Variables can also be reassigned " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# For example \n", "\n", "x = x+1\n", "print(x)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "So what happened here? Well, if we recall `x` originally was assigned 5. Therefore `x+1` would give us `6`. This value is then reassigned to the exact same location in memory represented by the variable `x`. So now that piece of memory contains the value `6`. We then use the `print` function to display the content of `x`. \n", "\n", "As this is a often used pattern, Python has a convenience syntax for this kind assignment" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# reset x to 5 \n", "\n", "x=5 \n", "x += 1\n", "print(x)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x = 5 \n", "\n", "#What do you think the values of x will be for x -= 1, x *= 2 or x /= 2? \n", "# Test it out in the space below\n", "\n", "\n", "\n", "print(x)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3.1.3 Floating point precision \n", "\n", "All of the above applies equally to floating point numbers (or real numbers). However, we must be mindful of floating point precision. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "0.1+0.2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The [following exerpt](https://docs.python.org/2/tutorial/floatingpoint.html) from the Python documentation explains what is happening quite clearly. \n", "To be fair, even our decimal system is inadequate to represent rational numbers like 1/3, 1/11 and so on. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3.2 Strings\n", "\n", "Strings are basically text. These are enclosed in `' '` or `\" \"`. The reason for having two ways of denoting strings is because we may need to nest a string within a string like in `'The quick brown fox \"jumped\" over the lazy old dog'`. This is especially useful when setting up database queries and the like. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Noting the difference between printing quoted variables (strings) and printing the variable itself.\n", "x = 5\n", "\n", "print(x)\n", "print('x')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the second print function, the text `'x'` is printed while in the first print function, it is the contents of `x` which is printed to the console. \n", "\n", "### 3.2.1 String formatting\n", "\n", "Strings can be assigned to variables just like numbers. And these can be recalled in a print function." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "my_name = 'Tang U-Liang'\n", "print(my_name)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# String formatting: Using the % \n", "age = 35\n", "print('Hello doctor, my name is %s. I am %d years old. I weigh %.1f kg' % (my_name, age, 70.25))\n", "\n", "# or using .format method\n", "\n", "print(\"Hi, I'm {name}. Please register {name} for this conference\".format(name=my_name))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "When using `%` to indicate string substitution, take note of the common formatting \"placeholders\" \n", "\n", " * `%s` to substitue strings. \n", " * `%d` for printing integer substitutions\n", " * `%.1f` means to print a floating point number up to 1 decimal place. Note that there is *no* rounding\n", " \n", "The utility of the `.format` method arises when the same string needs to printed in various places in a larger body of text. This avoids duplicating code. Also did you notice I used double quotation. Why? \n", "\n", "More about string formats can be found in this excellent [blog post](https://pyformat.info/) " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3.2.2 Weaving strings into one beautiful tapestry of text\n", "\n", "Besides the `.format` and `%` operation on text, we can concatenate strings using `+` operator. However, strings cannot be changed once declared and assigned to variables. This property is called **immutability** \n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "fruit = 'Apple'\n", "drink = 'juice'\n", "\n", "print(fruit+drink) # concatenation " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "#Don't like the lack of spacing between words? \n", "\n", "print(fruit+' '+drink)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n", "\n", "Use `[]` to access specific letters in the string. Python uses 0 indexing. So the first letter is accessed by `my_string[0]` while `my_string[1]` accesses the second letter. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "print(fruit[0])\n", "print(fruit[1])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Slicing** is a way of get specific subsets of the string. If you let $x_n$ denote the $n+1$-th letter (note zero indexing) in a string (and by letter this includes whitespace characters as well!) then writing `my_string[i:j]` returns a subset $$x_i, x_{i+1}, \\ldots, x_{j-1}$$ of letters in a string. That means the slice `[i:j]` takes all subsets of letters starting from index `i` and stops *one index before* the index indicated by `j`. \n", "\n", "0 indexing and stopping point convention frequently trips up first time users. So take special note of this convention. 0 indexing is used throughout Python especially in `matplotlib` and `pandas`. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "favourite_drink = fruit+' '+drink\n", "print(\"Printing the first to 3rd letter.\") \n", "print(favourite_drink[0:3])\n", "print(\"\\nNow I want to print the second to seventh letter:\")\n", "print(favourite_drink[1:7])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice the use of `\\n` in the second `print` function. This is called a newline character which does exactly what its name says. Also in the third `print` function notice the seperation between `e` and `j`. It is actually not seperated. The sixth letter is a whitespace character `' '`. \n", "\n", "Slicing also utilizes arithmetic progressions to return even more specific subsets of strings. So `[i:j:k]` means that the slice will return $$ x_{i}, x_{i+k}, x_{i+2k}, \\ldots, x_{i+mk}$$ where $m$ is the largest (resp. smallest) integer such that $i+mk \\leq j-1$ (resp $1+mk \\geq j+1$ if $i\\geq j$)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "print(favourite_drink[0:7:2])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Here's a trick, try this out\n", "print(favourite_drink[3:0:-1])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "So what happened above? Well `[3:0:-1]` means that starting from the 4-th letter $x_3$ which is `'l'` return a subtring including $x_{2}, x_{1}$ as well. Note that the progression does not include $x_0 =$ `'A'` because the stopping point is non-inclusive of `j`. \n", "\n", "The slice `[:j]` or `[i:]` means take substrings starting from the beginning up to the $j$-th letter (i.e. the $x_{j-1}$ letter) and substring starting from the $i+1$-th (i.e. the $x_{i}$) letter to the end of the string.\n", "### 3.2.3 A mini challenge\n", "\n", "Print the string `favourite_drink` in reverse order. How would you do it? " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Write your answer here and check it with the output below \n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Answer: `eciuj elppA`\n", "\n", "## 3.3 The `type` function\n", "\n", "All objects in python are instances of classes. It is useful sometimes to find out what type of object we are looking at, especially if it has been assigned to a variable. For this we use the `type` function. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "x = 5.0\n", "type(x)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "type(favourite_drink)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "type(True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "type(500)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4. `list`, here's where the magic begins\n", "______\n", "\n", "`list` are the fundamental data structure in Python. These are analogous to arrays in C or Java. If you use R, lists are analogous to vectors (and not R `list`)\n", "\n", "Declaring a list is as simple as using square brackets `[ ]` to enclose a list of objects (or variables) seperated by commas. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Here's a list called staff containing his name, his age and current renumeration \n", "\n", "staff = ['Andy', 28, 980.15]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.1 Properties of `list` objects and indexing \n", "\n", "One of the fundamental properties we can ask about lists is how many objects they contain. We use the `len` (short for length) function to do that. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "len(staff)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Perhaps you want to recover that staff's name. It's in the first position of the list. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "staff[0] " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that Python still outputs to console even though we did not use the `print` function. Actually the print function prints a particularly \"nice\" string representation of the object, which is why `Andy` is printed without the quotation marks if `print` was used. \n", "\n", "*Can you find me `Andy`'s age now?* " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# type your answer here and run the cell \n", "\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "The same slicing rules for strings apply to lists as well. If we wanted Andy's age and wage, we would type `staff[1:3]`" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "staff[1:3]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This returns us a sub-list containing Andy's age and renumeration. \n", "\n", "## 4.2 Nested lists \n", "\n", "Lists can also contain other lists. This ability to have a nested structure in lists gives it flexibility. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nested_list = ['apples', 'banana', [1.50, 0.40]]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that if I type `nested_list[2]`, Python will return me the list `[1.50, .40]`. This can be accessed again using indexing (or slicing notation) `[ ]`. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Accesing items from within a nested list structure. \n", "\n", "print(nested_list[2])\n", "\n", "# Assigning nested_list[2] to a variable. The variable price represents a list\n", "\n", "price = nested_list[2]\n", "print(type(price))\n", "\n", "# Getting the smaller of the two floats \n", "print(nested_list[2][1]) \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.3 List methods \n", "\n", "Right now, let us look at four very useful list methods. Methods are basically operations which modify lists. These are: \n", "\n", "1. `pop` which allows us to remove an item in a list. \n", " \n", " So for example if $x_0, x_1, \\ldots, x_n$ are items in a list, calling `my_list.pop(r)` will modify the list so that it contains only $$x_0, \\ldots, x_{r-1}, x_{r+1},\\ldots, x_n$$ while returning the element $x_r$. \n", " \n", "3. `append` which adds items to the *end* of the list. \n", "\n", " Let's say $x_{n+1}$ is the new object you wish to append to the end of the list. Calling the method `my_list.append(x_n+1)` will modify the list inplace so that the list will now contain $$x_0, \\ldots, x_n, x_{n+1}$$ Note that `append` does *not return any output*! \n", "\n", "2. `insert` which as the name suggests, allows us to add items to a list *in a particular* index location\n", "\n", " When using this, type `my_list.insert(r, x_{n+1})` with the second argument to the method the object you wish to insert and `r` the position (still 0 indexed) where this object ought to go in that list. This method modifies the list inplace and does not return any output. After calling the `insert` method, the list now contains $$x_0,\\ldots, x_{r-1}, x_{n+1}, x_{r}, \\ldots, x_n$$ This means that `my_list[r] =` $x_{n+1}$ while `my_list[r+1] =` $x_{r}$\n", "\n", "1. `+` is used to concatenate two lists. If you have two lists and want to join them together producing a union of two (or more lists), use this binary operator. \n", "\n", " This works by returning a union of two lists. So $$[ x_1,\\ldots, x_n] + [y_1,\\ldots, y_m]$$ is the list containing $$ x_1,\\ldots, x_n,y_1, \\ldots, y_m$$ This change is **not permanent** unless you assign the result of the operation to another variable. " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# append \n", "\n", "staff.append('Finance')\n", "print(staff)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# pop away the information about his salary\n", "\n", "andys_salary = staff.pop(2)\n", "print(andys_salary)\n", "print(staff)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# oops, made a mistake, I want to reinsert information about his salary\n", "\n", "staff.insert(3, andys_salary)\n", "print(staff)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "contacts = [99993535, \"[email protected]\"]\n", "\n", "staff = staff+contacts # reassignment of the concatenated list back to staff\n", "print(staff)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 4.3.1 Your first programming challenge\n", "\n", "*Move information for Andy's email to the second position (i.e. index 1) in the list `staff` in one line of code*" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "staff = ['Andy', 28, 'Finance', 980.15, 99993535, '[email protected]']\n", "\n", "staff" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# type your answer here\n", "\n", "\n", "print(staff)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Answer: `['Andy', '[email protected]', 28, 'Finance', 980.15, 99993535]`\n", "\n", "# Concluding remarks\n", "____\n", "\n", "Obviously there are much, much more that can be said about lists. But we have to move on. In the next unit, we will learn how to control program flow with `for` and `if` and a new data structure called dictionaries. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
flohorovicic/pynoddy
docs/notebooks/.ipynb_checkpoints/SALib-sensitivity-analysis-checkpoint.ipynb
3
274819
{ "metadata": { "name": "", "signature": "sha256:a7283ef7a962917a52e91b39c602ba27b58dff4ef4781afab8a5d06701c37c76" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Global Sensitivity Analysis with SALib methods\n", "\n", "The Python library SALib provides several global sensitivity analysis methods (including Morris and Sobol). We will test here if (and how) these methods can be applied to sensitivity analysis of Noddy models.\n", "\n", "We will start with the simple two-fault model and then extend the analysis to the Gippsland basin model." ] }, { "cell_type": "code", "collapsed": false, "input": [ "from IPython.core.display import HTML\n", "css_file = 'pynoddy.css'\n", "HTML(open(css_file, \"r\").read())" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<link href='http://fonts.googleapis.com/css?family=Alegreya+Sans:100,300,400,500,700,800,900,100italic,300italic,400italic,500italic,700italic,800italic,900italic' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Arvo:400,700,400italic' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=PT+Mono' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Shadows+Into+Light' rel='stylesheet' type='text/css'>\n", "<link rel=\"stylesheet\" type=\"text/css\" href=\"http://fonts.googleapis.com/css?family=Tangerine\">\n", "<link href='http://fonts.googleapis.com/css?family=Philosopher:400,700,400italic,700italic' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Libre+Baskerville:400,400italic' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Lora:400,400italic' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Karla:400,400italic' rel='stylesheet' type='text/css'>\n", "\n", "<style>\n", "\n", "@font-face {\n", " font-family: \"Computer Modern\";\n", " src: url('http://mirrors.ctan.org/fonts/cm-unicode/fonts/otf/cmunss.otf');\n", "}\n", "\n", "#notebook_panel { /* main background */\n", " background: #888;\n", " color: #f6f6f6;\n", "}\n", "\n", "div.cell { /* set cell width to about 80 chars */\n", " width: 800px;\n", "}\n", "\n", "div #notebook { /* centre the content */\n", " background: #fff; /* white background for content */\n", " width: 1000px;\n", " margin: auto;\n", " padding-left: 1em;\n", "}\n", "\n", "#notebook li { /* More space between bullet points */\n", "margin-top:0.8em;\n", "}\n", "\n", "/* draw border around running cells */\n", "div.cell.border-box-sizing.code_cell.running { \n", " border: 3px solid #111;\n", "}\n", "\n", "/* Put a solid color box around each cell and its output, visually linking them together */\n", "div.cell.code_cell {\n", " background: #ddd; /* rgba(230,230,230,1.0); */\n", " border-radius: 10px; /* rounded borders */\n", " width: 900px;\n", " padding: 1em;\n", " margin-top: 1em;\n", "}\n", "\n", "div.text_cell_render{\n", " font-family: 'Arvo' sans-serif;\n", " line-height: 130%;\n", " font-size: 115%;\n", " width:700px;\n", " margin-left:auto;\n", " margin-right:auto;\n", "}\n", "\n", "\n", "/* Formatting for header cells */\n", ".text_cell_render h1 {\n", " font-family: 'Alegreya Sans', sans-serif;\n", " /* font-family: 'Tangerine', serif; */\n", " /* font-family: 'Libre Baskerville', serif; */\n", " /* font-family: 'Karla', sans-serif;\n", " /* font-family: 'Lora', serif; */\n", " font-size: 50px;\n", " text-align: center;\n", " /* font-style: italic; */\n", " font-weight: 400;\n", " /* font-size: 40pt; */\n", " /* text-shadow: 4px 4px 4px #aaa; */\n", " line-height: 120%;\n", " color: rgb(12,85,97);\n", " margin-bottom: .5em;\n", " margin-top: 0.1em;\n", " display: block;\n", "}\t\n", ".text_cell_render h2 {\n", " /* font-family: 'Arial', serif; */\n", " /* font-family: 'Lora', serif; */\n", " font-family: 'Alegreya Sans', sans-serif;\n", " font-weight: 700;\n", " font-size: 24pt;\n", " line-height: 100%;\n", " /* color: rgb(171,165,131); */\n", " color: rgb(12,85,97);\n", " margin-bottom: 0.1em;\n", " margin-top: 0.1em;\n", " display: block;\n", "}\t\n", "\n", ".text_cell_render h3 {\n", " font-family: 'Arial', serif;\n", " margin-top:12px;\n", " margin-bottom: 3px;\n", " font-style: italic;\n", " color: rgb(95,92,72);\n", "}\n", "\n", ".text_cell_render h4 {\n", " font-family: 'Arial', serif;\n", "}\n", "\n", ".text_cell_render h5 {\n", " font-family: 'Alegreya Sans', sans-serif;\n", " font-weight: 300;\n", " font-size: 16pt;\n", " color: grey;\n", " font-style: italic;\n", " margin-bottom: .1em;\n", " margin-top: 0.1em;\n", " display: block;\n", "}\n", "\n", ".text_cell_render h6 {\n", " font-family: 'PT Mono', sans-serif;\n", " font-weight: 300;\n", " font-size: 10pt;\n", " color: grey;\n", " margin-bottom: 1px;\n", " margin-top: 1px;\n", "}\n", "\n", ".CodeMirror{\n", " font-family: \"PT Mono\";\n", " font-size: 100%;\n", "}\n", "\n", "</style>\n" ], "metadata": {}, "output_type": "pyout", "prompt_number": 1, "text": [ "<IPython.core.display.HTML at 0x107cde510>" ] } ], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Setting up the two-fault model\n", "\n", "We set up the two fault model as in the example of the local sensitivity analysis before:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import sys, os\n", "import matplotlib.pyplot as plt\n", "# adjust some settings for matplotlib\n", "from matplotlib import rcParams\n", "# print rcParams\n", "rcParams['font.size'] = 15\n", "# determine path of repository to set paths corretly below\n", "os.chdir(r'/Users/flow/git/pynoddy/docs/notebooks/')\n", "repo_path = os.path.realpath('../..')\n", "import pynoddy.history\n", "import pynoddy.events" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Create model with 8 layers and two faults\n", "\n", "We will first create a simple model with one stratigraphy, defining 8 layers with a thickness of 500 m, and two faults that are completely symmetrical in their properties:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "reload(pynoddy.history)\n", "reload(pynoddy.events)\n", "nm = pynoddy.history.NoddyHistory()\n", "# add stratigraphy\n", "strati_options = {'num_layers' : 8,\n", " 'layer_names' : ['layer 1', 'layer 2', 'layer 3', 'layer 4', 'layer 5', 'layer 6', 'layer 7', 'layer 8'],\n", " 'layer_thickness' : [1500, 500, 500, 500, 500, 500, 500, 500]}\n", "nm.add_event('stratigraphy', strati_options )\n", "\n", "# The following options define the fault geometry:\n", "fault_options = {'name' : 'Fault_W',\n", " 'pos' : (4000, 3500, 5000),\n", " 'dip_dir' : 90,\n", " 'dip' : 60,\n", " 'slip' : 1000}\n", "\n", "nm.add_event('fault', fault_options)\n", "# The following options define the fault geometry:\n", "fault_options = {'name' : 'Fault_E',\n", " 'pos' : (6000, 3500, 5000),\n", " 'dip_dir' : 270,\n", " 'dip' : 60,\n", " 'slip' : 1000}\n", "\n", "nm.add_event('fault', fault_options)\n", "history = \"two_faults_sensi.his\"\n", "nm.write_history_tmp(history)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "# compute the model:\n", "output_name = \"two_faults_sensi_out\"\n", "# Compute the model\n", "pynoddy.compute_model(history, output_name) " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "# Plot output\n", "reload(pynoddy.output)\n", "nout = pynoddy.output.NoddyOutput(output_name)\n", "nout.plot_section('y', layer_labels = strati_options['layer_names'][::-1], \n", " colorbar = True, title=\"\",\n", " savefig = False)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAtYAAAFUCAYAAAAAgHuIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nPjCxliRJkvrAxFqSJEnqg/8LMR6XxQSqzA4AAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x107cde290>" ] } ], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Analyse series in virtual drillhole\n", "\n", "The NoddyHistory class now has a function to evaluate geological units in a \"virtual drillhole\", i.e.: a 1-D extraction at a given position (x,y). Basically, a model is created with the same kinematic history, but a very small range (around the position x,y), and a small cube size (default: 1 m) for a \"quasi-continuous\" model export.\n", "\n", "The idea is that the data of this \"virtual drillhole\" could be used for a meaningful sensitivity analysis, as the data is almost continuous.\n", "\n", "Here an example of a 1-D export. First, we re-open the model created above and get some model information:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "reload(pynoddy.history)\n", "nm_2 = pynoddy.history.NoddyHistory(history)\n", "nm_2.info()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ " STRATIGRAPHY\n", " FAULT\n", " FAULT\n", "************************************************************\n", "\t\t\tModel Information\n", "************************************************************\n", "\n", "\n", "This model consists of 3 events:\n", "\t(1) - STRATIGRAPHY\n", "\t(2) - FAULT\n", "\t(3) - FAULT\n", "The model extent is:\n", "\tx - 10000.0 m\n", "\ty - 7000.0 m\n", "\tz - 5000.0 m\n", "Number of cells in each direction:\n", "\tnx = 100\n", "\tny = 70\n", "\tnz = 50\n", "The model origin is located at: \n", "\t(0.0, 0.0, 5000.0)\n", "The cubesize for model export is: \n", "\t100 m\n", "\n", "\n", "************************************************************\n", "\t\t\tMeta Data\n", "************************************************************\n", "\n", "\n", "The filename of the model is:\n", "\t two_faults_sensi.his\n", "It was last saved (if origin was a history file!) at:\n", "\t 22/11/2014 20:5:2\n", "\n" ] } ], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's export the geology at a 1-D profile/ virtual borehole at the centre of the model (x = 5000, y = 3500). Note: the resolution of the exported profile can be defined with an additional keyword (resolution higher than 1 m are possible, as well):" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# get 1-D line export at centre of model:\n", "drill_1 = nm_2.get_drillhole_data(5000, 3500, resolution = 1)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "A plot of the data looks, so far, pretty boring:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "fig = plt.figure()\n", "ax = fig.add_subplot(111)\n", "ax.plot(drill_1, np.arange(-len(drill_1),0))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 8, "text": [ "[<matplotlib.lines.Line2D at 0x1086eb390>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZUAAAEICAYAAACXo2mmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhookqRlDRZLUzP8HoFms08o6JzsAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x108586490>" ] } ], "prompt_number": 8 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Evaluate effect of fault uncertainties on drillhole\n", "\n", "We now assign uncertainties to the fault parameters and see how these uncertainties affect the model - at the exported drillhole 1-D profile.\n", "\n", "The history class contains a simple method to change event parameters:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# set parameter changes in dictionary\n", "\n", "# define the elemtents and values of the events to change:\n", "changes_fault_1 = {'Dip' : -20}\n", "changes_fault_2 = {'Dip' : -20}\n", "\n", "# Assign those changes to the events (note: event ids)\n", "param_changes = {2 : changes_fault_1,\n", " 3 : changes_fault_2}\n", "\n", "# Perform changes\n", "nm_2.change_event_params(param_changes)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 9 }, { "cell_type": "markdown", "metadata": {}, "source": [ "As the `get_drillhole_data` method automatically performs the model computation step, we can simply get the updated 1-D profile as:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "drill_2 = nm_2.get_drillhole_data(5000, 3500, resolution = 1)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we now compare the new profile to the previous one we can observe the changes:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "fig = plt.figure()\n", "ax = fig.add_subplot(111)\n", "ax.plot(drill_1, np.arange(-len(drill_1),0), label='original model')\n", "ax.plot(drill_2, np.arange(-len(drill_1),0), label='changed model')\n", "ax.legend(loc = 'lower left')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 11, "text": [ "<matplotlib.legend.Legend at 0x1084ca8d0>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZUAAAEICAYAAACXo2mmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x108590110>" ] } ], "prompt_number": 11 }, { "cell_type": "markdown", "metadata": {}, "source": [ "So, as expected, we obtain a different 1-D profile. Important to note here is that the resolution of this 1-D export is a lot higher than the model we would obtain with the full 3-D export so that we can use it as a \"quasi-continuous\" representation at this one position (x,y). This aspect is important for the sensitivity analysis below.\n", "\n", "We can, however, just for the purpose of visualising the changes between these two models, also create a quick comparison of slices through the model:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# compute updated model\n", "updated_history = \"changed_fault_model.his\"\n", "updated_out = \"changed_fault_model_out\"\n", "nm_2.write_history(updated_history)\n", "pynoddy.compute_model(updated_history, updated_out)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "nout = pynoddy.output.NoddyOutput(output_name)\n", "nout_2 = pynoddy.output.NoddyOutput(updated_out)\n", "\n", "fig = plt.figure(figsize=(12,12))\n", "ax1 = fig.add_subplot(121)\n", "ax2 = fig.add_subplot(122)\n", "\n", "nout.plot_section('y', layer_labels = strati_options['layer_names'][::-1], \n", " colorbar = False, title=\"\", ax = ax1,\n", " savefig = False)\n", "\n", "# plot position of drillhole\n", "ax1.axvline(50, c='gray', lw=2)\n", "\n", "\n", "nout_2.plot_section('y', layer_labels = strati_options['layer_names'][::-1], \n", " colorbar = False, title=\"\", ax = ax2,\n", " savefig = False)\n", "\n", "# plot position of drillhole\n", "ax2.axvline(50, c='gray', lw=2)\n", "\n", "\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "<matplotlib.lines.Line2D at 0x1084d1450>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAtUAAADNCAYAAAB3qYy0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x108704350>" ] } ], "prompt_number": 13 }, { "cell_type": "markdown", "metadata": {}, "source": [ "The difference between the two models is also obvious in the cross-section - but the resolution is a lot lower (as can be seen from the stair-step faults). However, exporting the entire model in the same resolution as the 1-D profile is prohibitive for memory and computation time reasons - so we will use the 1-D profiles from now on for uncertainty analysis and sensitivity testing.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Defining an objective function\n", "\n", "The next step is to define an objective function based on the exported 1-D profile. This is a bit tricky to do for a general case. Note, for example, that the profile of the \"original model\" only contains the layers from 8 to 5, whereas the changed model contains layers 8 to 3! \n", "\n", "So, we need a way to describe the \"distance\" between the two profiles. As a first test, let's use a \"stratigraphic distance\" where we calculate for each cell the difference between the geological layer id between the changed model and the original model, then sum the absolute values and scale by the number of cells.\n", "\n", "We define a distance function:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "def distance(profile_ori, profile_changed):\n", " \"\"\"Calculate the relative stratigraphic distance along a profile\"\"\"\n", " return np.sum(np.abs(profile_ori - profile_changed)) / float(len(profile_ori))\n", " \n", " " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 115 }, { "cell_type": "code", "collapsed": false, "input": [ "distance(drill_1, drill_2)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 15, "text": [ "0.54979999999999996" ] } ], "prompt_number": 15 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note: the distance can be larger than 1 (as it should be the case!)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Comparing distances for increasing model differences\n", "\n", "Just to see if the distance method makes sense: let's compare distances as a couple of locations in the model - for increasing difference in fault dips on both sides.\n", "\n", "We start again with the original two-fault model:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "reload(pynoddy.history)\n", "reload(pynoddy)\n", "nm_ori = pynoddy.history.NoddyHistory(history)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ " STRATIGRAPHY\n", " FAULT\n", " FAULT\n" ] } ], "prompt_number": 16 }, { "cell_type": "markdown", "metadata": {}, "source": [ "And we now use a some more \"drillhole\" positions:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "drill_ori_left = nm_ori.get_drillhole_data(2000, 3500)\n", "drill_ori_fault = nm_ori.get_drillhole_data(4000, 3500)\n", "drill_ori_centre = nm_ori.get_drillhole_data(5000, 3500)\n", "# drill_ori_right = nm_ori.get_drillhole_data(8000, 3500)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "fig = plt.figure()\n", "ax = fig.add_subplot(111)\n", "ax.plot(drill_ori_left, np.arange(-len(drill_ori_left),0))\n", "ax.plot(drill_ori_fault, np.arange(-len(drill_ori_left),0))\n", "ax.plot(drill_ori_centre, np.arange(-len(drill_ori_left),0))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 18, "text": [ "[<matplotlib.lines.Line2D at 0x1059b5690>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZUAAAEICAYAAACXo2mmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/wefBty+f776xgAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x105952890>" ] } ], "prompt_number": 18 }, { "cell_type": "markdown", "metadata": {}, "source": [ "We now change the fault dips (to 20 degrees in increments of 1), recopmute the drillhole profiles and calculate the distances:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import copy\n", "nm_changed = copy.deepcopy(nm_ori)\n", "dist_left = [0]\n", "dist_fault = [0]\n", "dist_centre = [0]\n", "for i in range(20):\n", " # define the elemtents and values of the events to change:\n", " changes_fault_1 = {'Dip' : -1}\n", " changes_fault_2 = {'Dip' : -1}\n", "\n", " # Assign those changes to the events (note: event ids)\n", " param_changes = {2 : changes_fault_1,\n", " 3 : changes_fault_2}\n", "\n", " # Perform changes\n", " nm_changed.change_event_params(param_changes) \n", " \n", " # Export drillhole profiles\n", " drill_changed_left = nm_changed.get_drillhole_data(2000, 3500)\n", " drill_changed_fault = nm_changed.get_drillhole_data(4000, 3500)\n", " drill_changed_centre = nm_changed.get_drillhole_data(5000, 3500)\n", " \n", " # calculate distances and add to arrays\n", " dist_left.append(distance(drill_ori_left, drill_changed_left))\n", " dist_fault.append(distance(drill_ori_fault, drill_changed_fault))\n", " dist_centre.append(distance(drill_ori_centre, drill_changed_centre))\n", "\n", "\n", " \n", " " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 42 }, { "cell_type": "code", "collapsed": false, "input": [ "fig = plt.figure(figsize = (8,6))\n", "ax = fig.add_subplot(111)\n", "ax.plot(range(0,21), dist_centre, label = \"Centre\")\n", "ax.plot(range(0,21),dist_left, label = \"Left\")\n", "ax.plot(range(0,21),dist_fault, label = \"Fault\")\n", "ax.legend(loc = \"upper left\")\n", "ax.set_title(\"Model distance at three positions for increasing dip\")\n", "ax.set_xlabel(\"Dip change\")\n", "ax.set_ylabel(\"Mean stratigraphic distance\")\n", "plt.tight_layout()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAiwAAAGcCAYAAAD6VfsBAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n39OERSmllFJ+7/8BoUDMx+uzyuYAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x10a430290>" ] } ], "prompt_number": 44 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Distance is increasing for increasing dip, as expected - and qualitatively, it looks ok, as well: the biggest model differences occur in the range of the fault, then the central Graben, and the least changes on the flanks." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Check distance for small dip changes\n", "\n", "We increasd above by values of 1 degree - here just a quick check if we also get a reasonable distance for very small changes, with a model resolution of 1 m:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "nm_changed = copy.deepcopy(nm_ori)\n", "# define the elemtents and values of the events to change:\n", "changes_fault_1 = {'Dip' : -0.1}\n", "changes_fault_2 = {'Dip' : -0.1}\n", "\n", "# Assign those changes to the events (note: event ids)\n", "param_changes = {2 : changes_fault_1,\n", " 3 : changes_fault_2}\n", "\n", "# Perform changes\n", "nm_changed.change_event_params(param_changes) \n", "\n", "# Export drillhole profiles\n", "drill_changed_left = nm_changed.get_drillhole_data(2000, 3500)\n", "drill_changed_fault = nm_changed.get_drillhole_data(4000, 3500)\n", "drill_changed_centre = nm_changed.get_drillhole_data(5000, 3500)\n", "\n", "# calculate distances and add to arrays\n", "print distance(drill_ori_left, drill_changed_left)\n", "print distance(drill_ori_fault, drill_changed_fault)\n", "print distance(drill_ori_centre, drill_changed_centre)\n", "\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.0014\n", "0.0044\n", "0.0056\n" ] } ], "prompt_number": 46 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Distance convergence with incresing resolution\n", "\n", "A last quick check: let's see how the drillhole resolution affects the distance calculation, i.e.: which resolution do we need to get a stable distance estimate?\n", "\n", "We will test three cases: a very small dip change (0.01 degree), a small change (0.1 degree), and a larger change (10 degree). For each of these changes, we will increase the drillhole resolution, starting from 10 m, down to 0.1 m and calculate distances for the drillhole position at the position of the fault:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# use logarithmic steps for resolution:\n", "resolution_inc = np.logspace(0,1,15)\n", "print \"Check distance for drillhole resolutions of:\"\n", "print resolution_inc" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Check distance for drillhole resolutions of:\n", "[ 1. 1.17876863 1.38949549 1.63789371 1.93069773\n", " 2.27584593 2.6826958 3.16227766 3.72759372 4.39397056\n", " 5.17947468 6.1054023 7.19685673 8.48342898 10. ]\n" ] } ], "prompt_number": 116 }, { "cell_type": "code", "collapsed": false, "input": [ "distances = {}\n", "dips = [0.1, 1, 10]\n", "for dip in dips: # initialise dictionary to store results\n", " distances[dip] = []\n", "for res in resolution_inc:\n", " print res\n", " for dip in dips:\n", " # create a copy of the history object\n", " nm_changed = copy.deepcopy(nm_ori)\n", "\n", " # define the elemtents and values of the events to change:\n", " changes_fault_1 = {'Dip' : -dip}\n", " changes_fault_2 = {'Dip' : -dip}\n", "\n", " # Assign those changes to the events (note: event ids)\n", " param_changes = {2 : changes_fault_1,\n", " 3 : changes_fault_2}\n", "\n", " # Perform changes\n", " nm_changed.change_event_params(param_changes) \n", "\n", " # Export drillhole profiles\n", " # drill_changed_left = nm_changed.get_drillhole_data(2000, 3500)\n", " drill_changed_fault = nm_changed.get_drillhole_data(4000, 3500, resolution = res)\n", " drill_ori_fault = nm_ori.get_drillhole_data(4000, 3500, resolution = res)\n", " # drill_changed_centre = nm_changed.get_drillhole_data(5000, 3500)\n", "\n", " # calculate distances and add to arrays\n", " # dist_left.append(distance(drill_ori_left, drill_changed_left))\n", " distances[dip].append(distance(drill_ori_fault, drill_changed_fault))\n", " # dist_centre.append(distance(drill_ori_centre, drill_changed_centre))\n", "\n", "\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1.0\n", "1.0" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.0" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.17876863479" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.17876863479" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.17876863479" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.38949549437" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.38949549437" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.38949549437" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.63789370695" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.63789370695" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.63789370695" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.93069772888" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.93069772888" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "1.93069772888" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.27584592607" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.27584592607" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.27584592607" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.68269579528" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.68269579528" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "2.68269579528" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.16227766017" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.16227766017" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.16227766017" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.72759372031" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.72759372031" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "3.72759372031" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4.39397056076" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4.39397056076" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "4.39397056076" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5.17947467923" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5.17947467923" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "5.17947467923" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6.10540229659" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6.10540229659" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "6.10540229659" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7.19685673001" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7.19685673001" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "7.19685673001" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8.48342898244" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8.48342898244" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "8.48342898244" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "10.0" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "10.0" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "10.0" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 117 }, { "cell_type": "code", "collapsed": false, "input": [ "print distances" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "{1: [0.047199999999999999, 0.05569978758555582, 0.06561023074784543, 0.020669291338582679, 0.02084942084942085, 0.053832116788321165, 0.063270777479892765, 0.049936788874841972, 0.058955223880597013, 0.055360281195079089, 0.048704663212435231, 0.047677261613691929, 0.047550432276657062, 0.052631578947368418, 0.051999999999999998], 10: [0.4824, 0.56927071040830779, 0.67055879899916593, 0.5508530183727034, 0.34633204633204634, 0.55018248175182483, 0.64664879356568361, 0.50821744627054366, 0.59999999999999998, 0.53075571177504388, 0.49948186528497407, 0.49144254278728605, 0.49567723342939479, 0.51273344651952457, 0.48399999999999999], 0.1: [0.0044000000000000003, 0.0051923530800094403, 0.0061162079510703364, 0.0022965879265091863, 0.0023166023166023165, 0.0041058394160583944, 0.0048257372654155499, 0.0037926675094816687, 0.0044776119402985077, 0.0070298769771528994, 0.0031088082901554403, 0.0048899755501222494, 0.0043227665706051877, 0.0050933786078098476, 0.0040000000000000001]}\n" ] } ], "prompt_number": 118 }, { "cell_type": "code", "collapsed": false, "input": [ "fig = plt.figure()\n", "ax = fig.add_subplot(111)\n", "ax.loglog(resolution_inc, distances[10], label = \"10$^o$\")\n", "ax.loglog(resolution_inc, distances[1], label = \"0.1$^o$\")\n", "ax.loglog(resolution_inc, distances[0.1], label = \"0.01$^o$\")\n", "ax.legend()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 119, "text": [ "<matplotlib.legend.Legend at 0x10c0a2490>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAY0AAAEQCAYAAABMXyhMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nDGNoEBGRYQwNIiIy7P8B9ntL8KqKIHoAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x10bb0bdd0>" ] } ], "prompt_number": 119 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Results are a bit odd - to do: check implementation of resolution!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Sensitivity analysis\n", "\n", "We have got the single parts now for the sensitivity analysis. We are now using the global sensitivity analysis methods of the Python package SALib, available on:\n", "\n", "https://github.com/jdherman/SALib\n", "\n", "As a start, we will test the sensitivity of the model at each drillhole position separately. As parameters, we will use the parameters of the fault events: dip, dip direction, and slip." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Parameter generation with SALib\n", "\n", "\n", "The first step for a sensitivity analysis with SALib is to create a text file with a list of parameters and defined ranges for each parameter. Note that we define changes to the parameter (as this is the way we implement the changes with the `NoddyHistory.change_event_params` method):" ] }, { "cell_type": "code", "collapsed": false, "input": [ "param_file = \"params.txt\"\n", "params = \"\"\"dip_change_fault_1 -5.0 5.0\n", "dip_dir_change_fault_1 -5.0 5.0\n", "slip_change_fault_1 -100. 100.\n", "dip_change_fault_2 -5.0 5.0\n", "dip_dir_change_fault_2 -5.0 5.0\n", "slip_change_fault_2 -100. 100.\"\"\"\n", "f = open(param_file, \"w\")\n", "f.write(params)\n", "f.close()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 126 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now, we create samples for these parameters. The way the samples are generated depends on the type of sensitivity analysis. We are starting with the Sobol method and create samples:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "from SALib.sample import saltelli" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 127 }, { "cell_type": "code", "collapsed": false, "input": [ "param_values = saltelli.sample(10, param_file, calc_second_order = True)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 130 }, { "cell_type": "markdown", "metadata": {}, "source": [ "With this command, we create a 2-D array where each row contains a set of parameters for our model.\n", "\n", "The number of generated samples depends on (1) the number of input parameters, (2) the type of sensitivity analysis, and (3) the choice of calculating second-order sensitivities (for parameter correlation)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Running the models\n", "\n", "We now use this array and run the parameters through our model. Results are again stored in a text file. For simplicity, we will define a function that takes a parameter array, creates the new model, and calculates the distance to the original model at the position of the drillhole:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# set resolution for analysis:\n", "res = 1 # m\n", "\n", "# get original model distance again:\n", "drill_ori_fault = nm_ori.get_drillhole_data(4000, 3500, resolution = res)\n", "\n", "def change_model_with_paramter_array(param):\n", " # create a copy of the history object\n", " nm_changed = copy.deepcopy(nm_ori)\n", "\n", " # define the elemtents and values of the events to change.\n", " # Note: the order of parameters is as in the text file created above!\n", " changes_fault_1 = {'Dip' : param[0],\n", " 'Dip Direction' : param[1],\n", " 'Slip' : param[2]}\n", " changes_fault_2 = {'Dip' : param[3],\n", " 'Dip Direction' : param[4],\n", " 'Slip' : param[5]}\n", "\n", " # Assign those changes to the events (note: event ids)\n", " param_changes = {2 : changes_fault_1,\n", " 3 : changes_fault_2}\n", "\n", " # Perform changes\n", " nm_changed.change_event_params(param_changes) \n", "\n", " # Export drillhole profiles\n", " # drill_changed_left = nm_changed.get_drillhole_data(2000, 3500)\n", " drill_changed_fault = nm_changed.get_drillhole_data(4000, 3500, resolution = res)\n", " # drill_changed_centre = nm_changed.get_drillhole_data(5000, 3500)\n", "\n", " # calculate and return distance:\n", " return distance(drill_ori_fault, drill_changed_fault)\n", "\n", " " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 145 }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can calculate the distance for any parameter set defined in the model:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "param_set = 8\n", "dist = change_model_with_paramter_array(param_values[param_set-1])\n", "print(\"Distance for parameter set %d: %.4f\" % (param_set,dist))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Distance for parameter set 8: 0.2786\n" ] } ], "prompt_number": 152 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now, we run the entire parameter set through the model and store the results in a text file:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "dist_sensi = []\n", "for params in param_values:\n", " dist_sensi.append(change_model_with_paramter_array(params))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 154 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Look at sensitivities to check if we actually changed different \"quasi-continuous\" values:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "plot(dist_sensi, 'o-')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 158, "text": [ "[<matplotlib.lines.Line2D at 0x10c6c7dd0>]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAY0AAAEICAYAAACj2qi6AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x10c184d10>" ] } ], "prompt_number": 158 }, { "cell_type": "markdown", "metadata": {}, "source": [ "We now save the sensitivities to a file and then perform the sobol sensitivity analysis:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# save results\n", "results_file = 'dist_sensi_graben.txt'\n", "np.savetxt(results_file, dist_sensi, delimiter=' ')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 162 }, { "cell_type": "code", "collapsed": false, "input": [ "from SALib.analyze import sobol" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 163 }, { "cell_type": "code", "collapsed": false, "input": [ "Si = sobol.analyze(param_file, results_file, \n", " column = 0, \n", " conf_level = 0.95,\n", " calc_second_order = True, \n", " print_to_console=False)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 164 }, { "cell_type": "code", "collapsed": false, "input": [ "# create composite matrix for sensitivities\n", "n_params = 6\n", "comp_matrix = np.ndarray(shape = (n_params,n_params))\n", "for j in range(n_params):\n", " for i in range(n_params):\n", " if i == j:\n", " comp_matrix[i,j] = Si['S1'][i]\n", " else:\n", " comp_matrix[i,j] = Si['S2'][i,j]\n", " comp_matrix[j,i] = Si['S2'][i,j]\n", " \n", "# print comp_matrix\n", "\n", "# define labels for figure: phi = dip, d = dip direction, s = slip, subscript = fault event\n", "label_names = [\"\",\"$\\phi_1$\", \"$d_1$\", \"$s_1$\", \"$\\phi_2$\", \"$d_2$\", \"$s_2$\"]\n", "\n", "# Create figure\n", "fig = plt.figure()\n", "ax = fig.add_subplot(111)\n", "im = ax.imshow(comp_matrix, interpolation='nearest', cmap='RdBu_r', \n", " vmax = np.max(np.abs(comp_matrix)),\n", " vmin = -np.max(np.abs(comp_matrix)),\n", "\n", ")\n", "\n", "ax.yaxis.set_ticks_position(\"both\")\n", "ax.xaxis.set_ticks_position(\"top\")\n", "\n", "ax.set_xticklabels(label_names)\n", "ax.set_yticklabels(label_names)\n", "\n", "# ax.set_title(\"Sensitivities\")\n", "\n", "ax.set_xlabel(\"Parameter Sensitivities\")\n", "\n", "fig.colorbar(im)\n", "\n", "plt.tight_layout()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAATkAAAEMCAYAAAC/VsHvAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\na/8famkrnOeJuocAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x113f9ce50>" ] } ], "prompt_number": 217 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Discussion: interpretation of sensitivities\n", "\n", "Let's first have a look at the actual position of the \"drillhole\" that we analyse with this sensitivity analysis:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# re-compute original model, just in case:\n", "ori_history = \"fault_ori.his\"\n", "ori_out = \"fault_ori_out\"\n", "nm_ori.write_history(ori_history)\n", "pynoddy.compute_model(ori_history, ori_out)\n", "\n", "no_ori = pynoddy.output.NoddyOutput(ori_out)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 206 }, { "cell_type": "code", "collapsed": false, "input": [ "fig = plt.figure(figsize=(8,6))\n", "ax1 = fig.add_subplot(111)\n", "\n", "no_ori.plot_section('y', layer_labels = strati_options['layer_names'][::-1], \n", " colorbar = False, title=\"\", ax = ax1,\n", " savefig = False)\n", "\n", "# plot position of drillhole\n", "ax1.axvline(40, c='gray', lw=2);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfYAAAEUCAYAAADZZxQ1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJDXEYJckqSH/H1FFyLNGzcXvAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x110ffe790>" ] } ], "prompt_number": 210 }, { "cell_type": "markdown", "metadata": {}, "source": [ "The position of the \"drillhole\" is actually at 4000 m (cell 40), coinciding with the position of the first fault:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "print(\"Position of first fault:\\t%.2f\" % nm_ori.events[2].properties['X'])\n", "print(\"Position of second fault:\\t%.2f\" % nm_ori.events[3].properties['X'])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Position of first fault:\t4000.00\n", "Position of second fault:\t6000.00\n" ] } ], "prompt_number": 212 }, { "cell_type": "markdown", "metadata": {}, "source": [ "So we could expect that the drillhole geology is sensitive to changes in the parameters of this fault.\n", "\n", "Still, the simulated model at this position is more sensitive to the properties of the second fault, at position 6000 (see sensitivity matrix)! This is a bit counter-intuitive, let's see what actually happens: we generate a couple of the realisations for the drawn parameter sets and visualise the cross-sections:\n", "\n" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# create base figure:\n", "fig = plt.figure(figsize = (12,16))\n", "\n", "for i in range(10):\n", " # create axis for figure\n", " ax = fig.add_subplot(5,2,i+1)\n", " param = param_values[i]\n", " nm_changed = copy.deepcopy(nm_ori)\n", " # read and assign parameter changes:\n", " changes_fault_1 = {'Dip' : param[0],\n", " 'Dip Direction' : param[1],\n", " 'Slip' : param[2]}\n", " changes_fault_2 = {'Dip' : param[3],\n", " 'Dip Direction' : param[4],\n", " 'Slip' : param[5]}\n", "\n", " # Assign those changes to the events (note: event ids)\n", " param_changes = {2 : changes_fault_1,\n", " 3 : changes_fault_2}\n", "\n", " # Perform changes\n", " nm_changed.change_event_params(param_changes) \n", " \n", " # compute model and create section:\n", " tmp_his = \"fault_tmp.his\"\n", " tmp_out = \"fault_tmp_out\"\n", " nm_changed.write_history(tmp_his)\n", " pynoddy.compute_model(tmp_his, tmp_out)\n", " no_changed = pynoddy.output.NoddyOutput(tmp_out)\n", " \n", " no_changed.plot_section('y', layer_labels = strati_options['layer_names'][::-1], \n", " colorbar = False, title=\"\", ax = ax,\n", " savefig = False)\n", "\n", " # plot position of drillhole\n", " ax.axvline(40, c='gray', lw=2); \n", "\n", "\n", " " ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAtUAAAOwCAYAAADx/Yg2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x111ea7990>" ] } ], "prompt_number": 214 }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we look at these results, it is quite good to see that the influence of the right (second) fault is more important as it affects the entire lower part of the \"drillhole\". It is also obvious that the fault dip and the fault slip play a major role (dip direction is actually quite insiginficant as the profile is taken at the center of the model where the fault position is defined). " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Results of the analysis\n", "\n", "With these considerations, here the interpretation for the results of the sensitivity analysis:\n", "\n", "We analysed the sensitivity of the modelled geology along a 1-D profile (a virtual \"drillhole\") with respect to fault parameters at two defined fault events. A global sobol sensitivity analysis revealed that:\n", "\n", "1. The profile data is most sensitive to the dip and slip of the late (right) fault - which is initially a bit counter-intuitive as the profile is at the position of the early (left) fault.\n", "2. The profile is almost insensitive to the dip value of the early fault, and literally insensitive to values of dip direction and slip of the early fault.\n", "3. Parameter correlations (second-order effects, off-diagonal in matrix) show that the highest parameter correlation (with respect to the profile) exist between dip and slip of the late fault. Furthermore, the correlation is negative, indicating that an increase in dip can be compensated with a decrease in fault slip. Given the position of the fault and the profile, this results sense, as well.\n", "\n", "The result of the sensitivity analysis provides a good insight into the sensitivities of modelled geology along a 1-D profile with respect to event parameters. Results are initially a bit counter-intuitive, but make perfect sense (and so, are actually quite interesting!).\n", "\n", "The next step would now be to:\n", "\n", "1. Extend the analysis to more than one position (not really sure if this is possible with SALib), and\n", "2. Apply the analysis to the Gippsland Basin model.\n", "\n", "I am actually quite happy with the first test and think that we could get a nice analysis out for the Gippsland Basin - maybe also some interesting counter-intuitive results!\n", "\n" ] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
gpl-2.0
hall1467/wikidata_usage_tracking
jupyter_notebooks/.ipynb_checkpoints/entity_views-checkpoint.ipynb
1
71714
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Statistics for Entity Page Views" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "\n", "Attaching package: ‘dplyr’\n", "\n", "The following objects are masked from ‘package:stats’:\n", "\n", " filter, lag\n", "\n", "The following objects are masked from ‘package:base’:\n", "\n", " intersect, setdiff, setequal, union\n", "\n" ] } ], "source": [ "library(dplyr)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "entity_views <- read.table(\"../results/sql_queries/entity_views.tsv\", header=FALSE, sep=\"\\t\")" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "colnames(entity_views) <- c('entity_id','page_views')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## All entities" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ " entity_id page_views \n", " P1 : 1 Min. :0.000e+00 \n", " P10 : 1 1st Qu.:1.300e+01 \n", " P100 : 1 Median :1.360e+02 \n", " P1000 : 1 Mean :3.006e+04 \n", " P10000 : 1 3rd Qu.:9.970e+02 \n", " P1001 : 1 Max. :1.253e+10 \n", " (Other):22250015 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "summary(entity_views)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "22250021" ], "text/latex": [ "22250021" ], "text/markdown": [ "22250021" ], "text/plain": [ "[1] 22250021" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nrow(entity_views)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "6426968.81680796" ], "text/latex": [ "6426968.81680796" ], "text/markdown": [ "6426968.81680796" ], "text/plain": [ "[1] 6426969" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sd(entity_views$page_views)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAYAAAD958/bAAAEDWlDQ1BJQ0MgUHJvZmlsZQAA\nOI2NVV1oHFUUPrtzZyMkzlNsNIV0qD8NJQ2TVjShtLp/3d02bpZJNtoi6GT27s6Yyc44M7v9\noU9FUHwx6psUxL+3gCAo9Q/bPrQvlQol2tQgKD60+INQ6Ium65k7M5lpurHeZe58853vnnvu\nuWfvBei5qliWkRQBFpquLRcy4nOHj4g9K5CEh6AXBqFXUR0rXalMAjZPC3e1W99Dwntf2dXd\n/p+tt0YdFSBxH2Kz5qgLiI8B8KdVy3YBevqRHz/qWh72Yui3MUDEL3q44WPXw3M+fo1pZuQs\n4tOIBVVTaoiXEI/MxfhGDPsxsNZfoE1q66ro5aJim3XdoLFw72H+n23BaIXzbcOnz5mfPoTv\nYVz7KzUl5+FRxEuqkp9G/Ajia219thzg25abkRE/BpDc3pqvphHvRFys2weqvp+krbWKIX7n\nhDbzLOItiM8358pTwdirqpPFnMF2xLc1WvLyOwTAibpbmvHHcvttU57y5+XqNZrLe3lE/Pq8\neUj2fXKfOe3pfOjzhJYtB/yll5SDFcSDiH+hRkH25+L+sdxKEAMZahrlSX8ukqMOWy/jXW2m\n6M9LDBc31B9LFuv6gVKg/0Szi3KAr1kGq1GMjU/aLbnq6/lRxc4XfJ98hTargX++DbMJBSiY\nMIe9Ck1YAxFkKEAG3xbYaKmDDgYyFK0UGYpfoWYXG+fAPPI6tJnNwb7ClP7IyF+D+bjOtCpk\nhz6CFrIa/I6sFtNl8auFXGMTP34sNwI/JhkgEtmDz14ySfaRcTIBInmKPE32kxyyE2Tv+thK\nbEVePDfW/byMM1Kmm0XdObS7oGD/MypMXFPXrCwOtoYjyyn7BV29/MZfsVzpLDdRtuIZnbpX\nzvlf+ev8MvYr/Gqk4H/kV/G3csdazLuyTMPsbFhzd1UabQbjFvDRmcWJxR3zcfHkVw9GfpbJ\nmeev9F08WW8uDkaslwX6avlWGU6NRKz0g/SHtCy9J30o/ca9zX3Kfc19zn3BXQKRO8ud477h\nLnAfc1/G9mrzGlrfexZ5GLdn6ZZrrEohI2wVHhZywjbhUWEy8icMCGNCUdiBlq3r+xafL549\nHQ5jH+an+1y+LlYBifuxAvRN/lVVVOlwlCkdVm9NOL5BE4wkQ2SMlDZU97hX86EilU/lUmkQ\nUztTE6mx1EEPh7OmdqBtAvv8HdWpbrJS6tJj3n0CWdM6busNzRV3S9KTYhqvNiqWmuroiKgY\nhshMjmhTh9ptWhsF7970j/SbMrsPE1suR5z7DMC+P/Hs+y7ijrQAlhyAgccjbhjPygfeBTjz\nhNqy28EdkUh8C+DU9+z2v/oyeH791OncxHOs5y2AtTc7nb/f73TWPkD/qwBnjX8BoJ98VVBg\n/m8AAEAASURBVHgB7N0P/GV1XSf+MRxAQBAMFBBG8U+s6eSISqJMWMYvK4qiacVWcNfEtt0w\npbKVosihbRVDrDZpSaUczHYpMA1KzUQZUlysUTdMgQFmGFMH5L8jqL/XG+/ZPVzv9zv3znzn\nfs/3nufn8Xhxzz333PPn+bmj9/0953zusmUaAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA\nAQIECBAgQIAAAQIECBAgQIDAKIGHjZppHgECBBZZ4InZ/t4j9uEbmXdf8pVk64jX27Pa6/jX\nvFBZ7LZPduCI1k5saE0/NdMPHzy/JY+3t15brMn59nex9mlXbne/rPw5yZHJzclnk88lX09G\ntfqM1udsuNXn9GuDfCmP9wwvMAPPn5Zj+I7BcdTxXb+dY3pCXn/kYJny/EzSt8/X4PA9ECBA\ngAABAgQmF/hI3vLN7eQLef2MpCkqMvmQtj7PmnW8/iGv7NyT787bX7WDqzg+72v2qR53a63n\ni63XTm3Nn8bk8mzk1cmThjY23/4OLbrkn/5EjuD+pN0/NX3QPEd23Ijlh99fzz+fnJ7M0h8l\nP9o69jszvWcyV6tCqv69NjYfHCzYp8/XXDbmEyDQQYHmrz8d3DW7RIAAgXkFHpNXz02uTZ45\n75IL8+L+Wc1bkn9Mvm9hVtmJtfxQ9qLOZP1uslcn9mj6O/Gd2eS7k+Fi+9bMq8J1Z1udZTo/\nedvOrqhD739na1/qzNCPtJ4PT67OjPr32rT2e5t5HgkQINAZgeH/M+jMjtkRAgQIDAS+nMcq\nguoPOrsnVah812A6D8uentSX27rkZ1vStPpye8PgyUJcrvamrOvfNyvfwce6PLDZpx1cxYK+\n7fCs7fJ51ti1/Z1nV3fqpfo81Vm0plU//23SXBLWzN/e48eywB1JnRmsMypPStqFwcvyvD6r\nVyRLvf3PHED9waBx+7eZvmSOg1rTmv/V1nJ9+Xy1Dt8kAQIECBAgQGDHBD6StzWX4/zViFUc\nlnkXtZapZf/LiOUWctbbW9ub64vgzmxvMS6xW9E6pjJ8+s4cwBJ+70tbDndmetyrK45rva/8\n6v6ldtsjT34jqdea/EV7gSU+fVnruO7NdN1TNNzKckvSHP+fDy/gOQECBLom4AxS13rE/hAg\nMI7ALVmo/spfZ42eOXjDmXn8g6S+4FZ7cXLIg1PLlv1DHtcPppuHOjtwalJnD6rgqr9s130S\nf5+8N3kgqVY37r88qXuPmvbkTLwmqb+A/+Fg5s/lsblE7W2ZruX/XVLruTypMxKHJiclTTsv\nE/XFcVTbOzNfkjw3eVhSZ9Hq7MOoS75elvkHJNX+Pqll260KgAMHM6r4vCb5oeR5g3nNwymZ\nqC+zf5fUpYQrku3tb30B/sHkRUmdkaqzdRuSDye1jlHtZzLzMYMX/mceNyX1/ucnZVs38Fcf\nfCKZtE2yP4/Nysv46KGN/OLgefXbPw+9NsnTOqP5+uQVSfV9tTq+4Vafv+qjJyZ1z9N9SX0W\nP5DUHwjm+oxUQXtC8j3JTUmZvy95VrI6qVaWf/Pg1P/7z26Z/MmklquzXJ9Pqq8uTWrb47Z1\nWfDHBgs/Io+1L+8aPG8eqk/LuWnvbCbyOM7n6ylZ7keSVck3kn9KPpQMf7ban/HhfmtvpyzP\nT2pdTfvhTBw5eFLr/bvmhTzW/te/lcOTRyX17++GpI69zDUCBAgQIECAwFQE6kt8fZGpjDqD\n1OzEj7aWq2Wf3byQxyqImnXUl9R2qy9EW5Pm9eHHKiCWD95wxDzL1TqaVl+cmvX8x0zf33r+\nr5muP0gd35pXy9YX1aa1339OZn4qadbXPFbx8sLmDa3H61rLvro1v5msL5XNOn51MPNPWvOa\n15rHXxgsM9/+1iL7Jv+QNO9rP34986sArC/Ow60Kn2bZ+vJ7Set5M/+BzDsjmaRNuj9HZ+XN\n9kY9/rvtbPy4ofcPn0Fq3n51a7nqi3arz0od66jt17z6Ir57MtyqQP9aMvy+d2RenU1t5r89\n0+22Ik8+mjSvtx+rmHp6e+HtTFff3pk067hsxPK/13r9y5lu/l3Votv7fP2nLFMFW7P+5rE+\nW7+VtP/9vLW1XG2z3V6bJ8176/GZ7RczfX3r9SqYq9V+1vG039eern04KdEIECBAgAABAlMR\nGLdA+s7sTftLy8mtvVvfeq1dINVfs7/Sem1zpuuv7nXWpb70NOv77UxX25ECqS43atZTj80X\ntvm+ELYLpOa9X8p766/UzfN6vCdpzkZk8sG2GAXSPtly27j2re3X7HP9Nf5hD+7l//tPu0Bq\njru+CN+SNO+rxyoyVyTjtB3Zn2kUSHWWpu3yR62DeW6mv5E0x/zVTH8qua01r1779aTdfipP\nmvfUYznVZ6ApJtpFy9szv2l7ZOL6pP3eOlPV3oe78/wxybit1t+sr/Z/v9Ybq9/r31fz+h+2\nXqvJ+f49vKL1vnp/FYNVYDXrqsdzk6b9f5loXvt8M3Pw+P7Wa7XMGa3X68xR875tma4iu9p/\nS9rz6zNb/zvR3oeaPjjRCBAgQIAAAQK7XGDcAqm+gLW/fP5aa8/aX97bBVL9hbj54lNfnNqt\n+ZK1KTPfk9SXpd2T+qt6+6/JHxjMe2oem9Z80W/W/ed54ceT+hLX/MV6vi+Ew+//xWbFeaz9\nqsKoWffbW6/V5I4USIflfXXpULPOeqy/iNexPjqpNt/+vjevN++9K9MvS/ZJDkz+a9L+0v1z\ned5un8iT5r31+IZk78EC/2HotfqiPE7bkf3ZMyt+UvK6pNmf6oeaV3lkMl87Li8276vHOu7T\nkjor9EtJFUNVNLSXOTXPm3Z+JhqnD2a6zshUW558LGned0XNHLT6PLaLnH/K88cNXiv/v0ya\n99XjO5KmtY+ziu8fS74jqSLhw0nzvjdnetz2wizYvK8eX9Z64/OHXnte67WanOvzVUVW+9/D\nRXl+aLJH8rNJs736Q0RToJRZ+w8fT87zavWe4T9Y1GelaVUsNeurAqhp/5KJZn57v8ur/vep\n+rU+xy9LNAIECBAgQIDALheoLyDNl5O/2s7W2l9+Lmgtu761jte35re/gNcX+7OSlUkVW9Xa\nfwH/1pxv/ffteWj26ZL2C4Pp9he6mzKvvnwPt7m+ENZy7ffXl+Xh9ruZ0Wy/vgi2244USPX+\nFUmzznqs4qjd5trfp2Sh9vuGC6Bax8WtZW6tGa3WLpCuzfz60tluN+dJs/76Ur+9trP78/Js\noNne8L7Ot+3jWu9r3j/f46VZfvhYqwg7Jjkkabcq9pt1fbz1wvBZr2Nbr9XkAUn7DNI7auag\n3ZLHZp3/vZk5ePyR1mt1JmqvodfnelrHU2bNei9vLVgFYDP/hkw3/8aaRY5vvV7L7TZ4od0f\nX8+8xw7mNw/XZKJZ7281M/O4rjX/9MH8F7TmNe+5I/OabdW/tWZ+bbdp7c/ghzLzJ5NHDV6s\nYv7hg2kPBAjMoMDw/1DP4CE6JAIEZligvnC1C5EtYxzrh7PMA4Pl6i/uZyf1V/gqUC5O6ovi\nvsnOtCrq6i/MO9qGz2zVev6mtbIq4h7der69yXJayHZca2X1BfZtrefN5P9oJvJYf+WvM0uj\n2tWZWWdR2q3dj82X0vbrw9PHtWbs7P60VrVgk1/Lmt6RvCQZPtYq0tcn1dYk5yZ19qj9xb/O\ngjTtiGYij9uSj7ae1+RtSRUQw60KnjoL07QvZ+KHW6kv/PcPXqx/U81ZqcGsOR/qeN7VevWF\nma7PZn3mTmrNr39bVYiM057SWmhjpusMbHtf/6X1+pNa03X2rGkvGkz8QDMjjx8YTNe/76OS\nejx2MK8+N5cNpuvhg63p4zJdfxTZmpTtLyfDf0zILI0AgVkRqP9B1AgQILBUBR6THW9/+f/c\nGAdyfZb52eSPk+avyPW270xOHqS+PL42GfXFP7O3227a7hLzL/CFES/fOjRvRZ7XF7bhNuoP\nX3X5UdPaXs28SR/bX543581VAAy3G4ZmPDXPPzw0r54Onw2reZMWlwu5P7X9HW3vyBvLowqB\nMqmzm5uSjyTD/ZdZD7YfzX/XJt/zracj/9suqh7fWuJLmR5VdLQLzGbxJ2Wi3fe/3rwwx2MV\nU+1CZI7FHpz9zvz3NYMFHp7HKow+nbQLsnWD18d5eHJroSoI25e+tV56cLK9jSsypz47VeAd\nl9Qliy9MqtVZo/+aNM9fkOn63CxPqlUf1b/7pr0qEyuTKs6aVv+2njXIb+Sx9uu0ZK6+zUsa\nAQJLUaD+h0wjQIDAUhU4ZmjHxymQ6i0XJX+f/FxyYnJk0m5VLF2Y3Jh8qP3CmNP1xXhn2qiz\nJnUGoN3aX+ba85svfO157TMQo75Qt5cdZ7pd1DxyjjfUWa52m2t/6yzIcGsXBMOvjXq+kPsz\nav3jzvvDLPjxcRfOcqcm7UL9U3n+t8nfJ09L6gt9tbZHfdFvWn1OR7UDR8ysgq3drs6Tu9sz\nhqYn+Zx8Mu/95+TfDNbx4jzWWdmmXZuJen3c1t7XL+ZN7XUNr+OW1ow6nvcnJyRVJNW/7Wcl\n1ao4ryLonmTv5PuTpyRN+4tmYvB4Zx6fk/x48h+S70v2SdrtR/Lk4uS49kzTBAgsfQEF0tLv\nQ0dAoK8C9dfwX2sd/OZMf7r1fHuTN2WBM5P/khySvCD5yaS+EO2W1Prr+YeS4VZ/SZ6vjfrS\nP9/yw6+tGp6R5+0vc/UFclNrmfYXyvry1251HI9pz5hnenvH1bx1YzORx/2TJyZ1Zq7dmi+m\nNa/271/aL7amJ/ki3nrbQyY3tp7t7P60VrXLJ1+XLdRnrdofJP/5walv/ad9CdfXW/M3tqar\nCHhG8o+tefUlvi4fG24bM6Os6/NQ7U+Stz44tTD/WZfVrB2sqoqJKvCa9s5mYszH9tnHB/Ke\nH0raReJ8q/nLvFgFUrXXJ43vBzJ9f/Lh5IeT5yV3JdXKpd433Mr90qSKp/q+VAXT8cmpyeOT\nanWsj0621hONAIHZEBj3/wxn42gdBQECsyLwpBxIfelqFxKvyfNxztzUl6arki8nzZeiWzNd\nX/BOSj6YNG15M5HH+qLWtN2biTke28vOsci8s38qr7a/INeXs9Nb7/inTLe/MLaLj7ZJveWn\nk/bZp+YLcr02vJ/bO656T7W/Seov8U17UybaVnUG49eaF/P4gaS+nO6q1rX9Gec4vzMLPaW1\n4Htb0zW5uvW8+r9pH81End1o2u9mooqiatUHb0nqC/twq0vP/ndr5smt6Zqsz0kVue9J6sxV\ns85MjtUuzlJVaFSr7xb1GahWRcafPTg1/n+uai16SKarCGlaFTzrk39I/jipYqfd/ipPapvV\nnvithwf/+8HBdH0Wq9UfEh774NS3zvptGkzXw/cklyXXJfW/KUcl9W+ltvubyUuSdtu9/cQ0\nAQIECBAgQGBXCHwkK60vW5X7ks2DbMljfTlsXmse3595w62+zDSvV1HUtF/JRDO/HuvLfX0Z\nfU7yy0md/Wleb3+JrC+izfwqDs5J6q/wTatLgZrXT21mDj0e31qmlq0ve01rv79e+1LyW8kr\nkrZHvVaX9rRbHV/Nb3Jepn80qS+6d7fm1+v/JWnavplo3lOP9eXx3KQKtGrz7e+r83r7vfXl\n+9eS2mZ92Wxeq+0/Pmm3T+RJ8/qvt18YTH+o9fobRrw+atbO7M/LW9u7ddTK55h3XOt9dTz1\nGRq3Vd/Xl+/G4epMf+8g9cW/mV+P7QI4Tx/yGz31ev27+Ovk5qT9vpp+R9K0+gNA+/UL8vy4\npD5jm5Pmtb/L9I60Kt6adTSPfzvPiub6fFURv6G1rpsy/dLkB5L6N9esux7bhWSePtg+lP+2\nl2n36dOGXqvlfuXBd/2//+yVyS8nzTo+nel/n9QlhGuS+t+b5rXPZlojQIAAAQIECOxygY9k\nC80XkO091l+8Hzdij9a31vH61ut1WdIVrdfmWn9dWlNf1Jp2YiZGLVtFRrV2gXPqt2Z923/n\n+kI4/P7hL8jt7f6vb1vrt7643Zb57eWa6c9l/uWt19oFUq2qLs9qlm0eqxisNt/+1uv/OflG\n0rxv+LGKo3+bDLdPZEaz7EIVSLWNHd2fl7f2p/1lutY5XzsuLzbHUY+TFEi13nJuv7893S52\nvprl9qk3DNruefyfSXv5Zrr6uoql5vnbM920+jyfnzSvjXqsYuu7mjdM+PhzI9Z9yjzrmO/z\n9Yy8r20wal/rDwGj2umZ2V7+T4cWqmNsv/6kodfr6Q8l5d5ebnh6W16volYjQIAAAQIECOxy\ngfkKpH/N1q9J6gvi98+zJ+vzWvOFpl0g1Vv2SH41aZ/paJatQuc1SRVS7bY8T96WNMvV45eS\nxyfVFrJAemLWd2rS3r8qNs5KviMZ1eqL2j8nzf7VWa66TOjA5A9a8+u42+35eVJFQfO+uhTu\nzYMF5vsCO1jkwUuz6gv5V5JmHV/N9BXJEcmotqsKpNrWTyeT7s/L855m38ti3HZcFmzeV4/P\nGfeNg+Xqc1hFUpk367k30/XFv0Zg25g08/9dptutPge/nHwwuT25OvmNpD6n7eLpv+f5cDs1\nM+rMx9eTZv0PZPrPk1HFQmaP1erSvq8lzTrrM/jIed65vc9XfXb/Mml/tmrdG5OfT+Zqh+eF\nZh/q8dShBf+09XqdqZqrPTsv1L+htlOz3vdmfhVxGgECBAgQIEBgpgTqS+ahSRUXlccm7bNG\nefptrb4EPi8Zddbq2xZegBlPzjpWJruNua7HZLn6YldflMdtD8+C353UvRbDheG46yjL70lq\nPbW+xW5d25/5PPbNi89Knp5sz64+B/WZreObq1XR1HyR/525Fsr8vZP63K9K9ku63J6QnTs2\nqcdx/y0s1PHU2bunJsclRyZVvGoECBAgQIAAAQIECHRAYK/sQ53tqTM11yfvTNqtzrp8KWkK\npJe2XzRNgAABAgQIECBAgACBWRO4JgfUFED1WGeMfju5OLklaV6ry1G7fmYou6gRIECAAAEC\nBAgQIEBgxwXq3pd7kqYQGvVY9zWdvOOb8E4CBAgQIECAAAECBAgsHYG6B+6NyaeSrUkVSXcN\nntdld9+VaAQIECBAgAABAgQIEOilwCSDcvQSyEETIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC\nBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQINALgYf1\n4igfepD75+l+yR7J3clXknsSjQABAgQIECBAgAABAr0QWJWjvDD5YvLNEbk+8y5IDkw0AgQI\nECBAgAABAgQIzKzAWTmypii6KdPrk/cmf5Zcnnws2ZLUMl9OXpJoBAgQIECAAAECBAgQmDmB\nNTmiKnyqEHrmPEdXlxquTq5JavljEo0AAQIECBAgQIAAAQIzJbAuR1OXz9X9RuO0uj/pzuSt\n4yxsGQIECBAgQIAAAQIEZkvgO2brcL7taFZmztXJtm97ZfSM2zN7Q3Lo6JfNJUCAAAECBAgQ\nIEBglgVmvUCqe4uOSpaP2Yl1BqmKquvGXN5iBAgQIECAAAECBAgQWDICP5M9rXuK3pMcPc9e\n1z1IxyY1YMMDyfMSjQABAgQIECBAgAABAjMlUIXPq5P6naMqlDYl/5C8L3nX4LEuwbs1qdfv\nT16VaAQIECBAgAABAgQIEJhZgSNyZFUQbU6qEGqniqfPJecmhyUaAQIECBAgQIAAAQI9Fagz\nLH1r++aA90v2TOqHY+9INAIECBAgQIAAAQIECCyb9UEaRnXxbplZqWPfJ9k70QgQIECAAAEC\nBAgQINAbgVU50guTOmPUvryuma7fSrogOTDRCBAgQIAAAQIECBAgMLMCZ+XImkLopkyvT96b\n/FlyeVIj19Vw4LXMl5OXJBoBAgQIECBAgAABAgRmTmBNjqgKnyqEnjnP0dW9WKuTa5Ja/phE\nI0CAAAECBAgQIECAwEwJrMvR1OVze4x5VPVDsXcmbx1zeYsRIECAAAECBAgQIDBDArM+SMPK\n9FX9ztG2Mfvs9iy3ITl0zOUtRoAAAQIECBAgQIDADAnMeoFU9xYdlSwfs8/qDFIVVdeNubzF\nCBAgQIAAAQIECBAgsGQEfiZ7WvcUvSc5ep69rnuQjk1qwIYHkuclGgECBAgQIECAAAECBGZK\noAqfVyf3JFUobUr+IXlf8q7BY12Cd2tSr9+fvCrRCBAgQIAAAQIECBAgMLMCR+TIqiDanFQh\n1E4VT59Lzk0OSzQCBAgQIECAAAECBHoqUGdY+tb2zQHvl+yZ1A/H3pFoBAgQIECAAAECBAgQ\nWDbrgzSM6uLdMrNSx75PsneiESBAgAABAgQIECBAoDcCq3KkFyZ1xqh9eV0zXb+VdEFyYKIR\nIECAAAECBAgQIEBgZgXOypE1hdBNmV6fvDf5s+TypEauq+HAa5kvJy9JNAIECBAgQIAAAQIE\nCMycwJocURU+VQg9c56jq3uxVifXJLX8MYlGgAABAgQIECBAgACBmRJYl6Opy+f2GPOo6odi\n70zeOubyFiNAgAABAgQIECBAYIYEZn2QhpXpq/qdo21j9tntWW5DcuiYy1uMAAECBAgQIECA\nAIEZEpj1AqnuLToqWT5mn9UZpCqqrhtzeYsRIECAAAECBAgQIDBDAg+foWMZdSgXZeY7k0uS\nc5IakGFUq3uQnp/Uj8XulVyaaAQIjC/w3Cxaf2BYiFZncuvMr0aAAAECBAgQmLpAFQaz3Or4\nfjFZm1ThsznZlGxN6l6j+tHYA5IVycHJA8kvJecnGgEC4wnUPX73JXUp6zfGe8ucS9VZ7Vrf\nI5JxL42dc2VeIECAAAECBAgQGC1wRGa/K6kCqUapa+eePP9cUmePDks0AgQmE6hipv5NPWey\nt41cutZR66p1agQIECBAgACBqQvM+iV2DegNmTh58KTOGu2X7JnUD8fekWgECBAgQIAAAQIE\nCBBYNuuDNIzq4t0ys1LHvk+yd6IRIECAAAECBAgQIECgNwXSqvT1hUmdMbotuTGpkerqfqS7\nk/qtpAuSAxONAAECBAgQIECAAAECMytwVo6suefopkyvT96b/FlyeVIj221JapkvJy9JNAIE\nxhdwD9L4VpYkQIAAAQIECCyqwJpsvQqfKoSeOc+e1Gh3q5Nrklr+mEQjQGA8AQXSeE6WIkCA\nAAECBJaAwKwP0nBi+qAGaKjH+YYMrqLoyuT4pM4ynZLUmaYdbTUIRJ25GvcHanfPsk9MfjDR\nCBAgQIAAAQIECBBYJIFZL5BWxvXqZL7iqE1fP1C5ITm0PXMHpqvgOSSpx3Fa/RbTcUkt/7VE\nI0CAAAECBAgQIECAwIIL/G3W+M/JuGdy9s+ydyZvTKbZnpuN1VmscQuqae6bbRHYnoBL7LYn\n5HUCBAgQIEBgyQjM+jDfF6UnjkwuSY6ep1fqHqRjkyuSvZJLE40AAQIECBAgQIAAgZ4JzPol\ndhenPw9K1iYnJJuTTcnWpM4U1Y/G1uVtK5KDkweSM5KrEo0AAQIECBAgQIAAAQIzKXBEjupd\nSRVIdSlbO/fk+eeSc5PDksVoLrFbDHXbXCgBl9gtlKT1ECBAgAABAosuMOtnkBrgGsnu5MGT\nOmtUo8ztmdQPx96RaAQIECBAgAABAgQIEFg26/cgjeri3TKzUse+T7J3ohEgQIAAAQIECBAg\nQKA3BdKq9PWFSZ0xui25MbkuqfuR7k6uTy5IDkw0AgQIECBAgAABAgR6KtCHS+zqB1vPHvTv\nzXms30WqIqkKo7rUrgZpODw5LTkpOT2pwR00AgQIECBAgAABAgQIzJTAmhxNDchwefLMeY6s\nhvlenVyT1PLHJNNsBmmYprZtLbSAQRoWWtT6CBAgQIAAAQK7SGBd1luXz+0x5vqbH4p965jL\nL9RiCqSFkrSexRBQIC2Gum0SIECAAAECu0Rg1gdpWBm1uqRu25h6t2e5DcmhYy5vMQIECBAg\nQIAAAQIEZkhg1gukLemro5LlY/ZZnUGqoqoGcNAIECBAgAABAgQIEOiZwKwXSBelP49MLkmO\nnqdv6x6kY5Mrkr2SSxONAAECBAgQIECAAIGeCcz6KHY1Gt1BydrkhGRzUkN7b03uTPZNahS7\nFcnByQPJGclViUaAAAECBAgQIECAAIGZFDgiR/WupAqkGqWunXvy/HPJuclhyWI0gzQshrpt\nLpSAQRoWStJ6CBAgQIAAgUUXmPUzSA3wDZk4efCkzhrV7x/tmdQPx96RaAQIECBAgAABAgQI\nEFg26/cgjeri3TKzUse+T7J3ohEgQIAAAQIECBAgQKA3BdKq9PWFSZ0xui25MamR6up+pLuT\n+q2kC5IDE40AAQIECBAgQIAAgZ4K9OESu7PSt2cP+vfmPNbvIlWRVIVRXWpXgzQcnpyWnJSc\nntTgDhoBAgQIECBAgAABAgRmSmBNjqYGZLg8eeY8R1bDfK9Orklq+WOSaTaDNExT27YWWsAg\nDQstan0ECBAgQIAAgV0ksC7rrcvn9hhz/fVDsTX891vHXH6hFlMgLZSk9SyGgAJpMdRtkwAB\nAgQIENglArM+SMPKqNUlddvG1Ls9y21IDh1zeYsRIECAAAECBAgQIDBDArNeIG1JXx2VLB+z\nz+oMUhVVNYCDRoAAAQIECBAgQIBAzwRmfZCGi9Kf70wuSc5JPpaManUP0vOT+rHYvZJLE43A\nQgnUZ+uVC7WyrOdPkvcv4PqsigABAgQIECBAYCAw6wVSjUZ3ULI2OSHZnGxKtiZ1r9G+yQHJ\niuTg5IHkjOSqRCOwUAI/mBX9QPLXC7DCF2Yd9flVIC0AplUQIECAAAECBPoqcEQO/F1JFUg1\nSl079+T555I6e3RYshjNIA2LoT69bdYw83+3QJv7y6znzQu0roVajUEaFkrSeggQIECAAIFF\nF5j1M0gN8A2ZOHnwpM4a1e8f7ZnUD8fekWgECBAgQIAAAQIECBBY1pcCqenqGpSiLq2rjGq7\nZWYVUPclXx21gHkECBAgQIAAAQIECMyuwKyPYlc995jk3cltSRVGH0qel4xqT8/MWu61o140\njwABAgQIECBAgACB2RaY9QJpn3TfNclPJ3V2qAZo+L7kyuScRCNAgAABAgQIECBAgMD/FZj1\nAumXc6Q18ELdJP+45Mjk2cmnk9clv5toBAgQIECAAAECBAgQeFBg1gukY3KUNRDD2uSuB494\n2bL/ncfVyUeSVydVRGkECBAgQIAAAQIECBBYNusF0qHp4yqE6veN2q1GrvvRZEPy35K6BE8j\nQIAAAQIECBAgQKDnArM+it1N6d8XJjWk9/CodDVgww8nVycXJfUbSfWbSBoBAosn8MjBpv84\nj19fgN2oP4K8cQHWYxUECBAgQIBATwRm/QzSB9OP9ZtHv50cMqJPqyj6waQuv/vr5EcSjQCB\nxROoewar1VnfbTuZx+f9r0w0AgQIECBAgACBgUCdOfpM8s2k/hr94mRUe0Zm3p7UcpXfTKbZ\nnpuN1XZ3n+ZGbWtqAjVIyN8t0Nb+Mut58wKta6FW84isqD6/z1mAFb5ssK79F2BdL886Pr8A\n67EKAgQIECBAoEcCs34GqS6rOzp5S3Jz8rVkVPvHzHxWcsWoF80jQIAAAQIECBAgQKAfArN+\nD1L14t3JqwaZryC8Psu8KKlhwIfvV8osjcDMCbwhR/TMBTiq5t/VQpz1WYDdsQoCBAgQIECA\nwI4L9KFAaut8o/1kjun6YVmNQB8EfjIHeV3yyZ082LrE7gXJwTu5Hm8nQIAAAQIECCy6QN8K\npEUHtwMEOiZQ9zT98U7uU505OmMn1+HtBAgQIECAAIFOCDSXxnRiZ+wEAQIECBAgQIAAAQIE\nFlNAgbSY+rZNgAABAgQIECBAgECnBBRIneoOO0OAAAECBAgQIECAwGIKKJAWU9+2CRAgQIAA\nAQIECBDolIACqVPdYWcIECBAgAABAgQIEFhMAQXSYurbNgECBAgQIECAAAECnRJQIHWqO+wM\nAQIECBAgQIAAAQKLKaBAWkx92yZAgAABAgQIECBAoFMCCqROdYedIUCAAAECBAgQIEBgMQUU\nSIupb9sECBAgQIAAAQIECHRKQIHUqe6wMwQIECBAgAABAgQILKaAAmkx9W2bAAECBAgQIECA\nAIFOCSiQOtUddoYAAQIECBAgQIAAgcUUUCAtpr5tEyBAgAABAgQIECDQKQEFUqe6w84QIECA\nAAECBAgQILCYAgqkxdS3bQIECBAgQIAAAQIEOiWgQOpUd9gZAgQIECBAgAABAgQWU0CBtJj6\ntk2AAAECBAgQIECAQKcEFEid6g47Q4AAAQIECBAgQIDAYgookBZT37YJECBAgAABAgQIEOiU\ngAKpU91hZwgQIECAAAECBAgQWEwBBdJi6ts2AQIECBAgQIAAAQKdElAgdao77AwBAgQIECBA\ngAABAospoEBaTH3bJkCAAAECBAgQIECgUwIKpE51h50hQIAAAQIECBAgQGAxBRRIi6lv2wQI\nECBAgAABAgQIdEpAgdSp7rAzBAgQIECAAAECBAgspoACaTH1bZsAAQIECBAgQIAAgU4JKJA6\n1R12hgABAgQIECBAgACBxRRQIC2mvm0TIECAAAECBAgQINApAQVSp7rDzhAgQIAAAQIECBAg\nsJgCCqTF1LdtAgQIECBAgAABAgQ6JaBA6lR32BkCBAgQIECAAAECBBZTQIG0mPq2TYAAAQIE\nCBAgQIBApwQUSJ3qDjtDgAABAgQIECBAgMBiCiiQFlPftgkQIECAAAECBAgQ6JSAAqlT3WFn\nCBAgQIAAAQIECBBYTAEF0mLq2zYBAgQIECBAgAABAp0SUCB1qjvsDAECBAgQIECAAAECiymg\nQFpMfdsmQIAAAQIECBAgQKBTAgqkTnWHnSFAgAABAgQIECBAYDEFFEiLqW/bBAgQIECAAAEC\nBAh0SkCB1KnusDMECBAgQIAAAQIECCymgAJpMfVtmwABAgQIECBAgACBTgkokDrVHXaGAAEC\nBAgQIECAAIHFFFAgLaa+bRMgQIAAAQIECBAg0CkBBVKnusPOECBAgAABAgQIECCwmAIKpMXU\nt20CBAgQIECAAAECBDol8PBO7Y2dIUBgewL1b/aA5KnbW3CM15dnmYeNsZxFCBAgQIAAAQK9\nEVAg9aarHeiMCDwjx/G45KULdDzPyXouXKB1WQ0BAgQIECBAYMkLKJCWfBc6gJ4J1GWxn06+\nfwGOe3PWUWeRNAIECBAgQIAAgYGAAslHgcDSE7g/u/ylpbfb9pgAAQIECBAg0H0BgzR0v4/s\nIQECBAgQIECAAAECUxJQIE0J2mYIECBAgAABAgQIEOi+gAKp+31kDwkQIECAAAECBAgQmJKA\nAmlK0DZDgAABAgQIECBAgED3BRRI3e8je0iAAAECBAgQIECAwJQEFEhTgrYZAgQIECBAgAAB\nAgS6L6BA6n4f2UMCBAgQIECAAAECBKYkoECaErTNECBAgAABAgQIECDQfQEFUvf7yB4SIECA\nAAECBAgQIDAlAQXSlKBthgABAgQIECBAgACB7gs8vPu7uOB7uH/WuF+yR3J38pXknkQjQIAA\nAQIECBAgQKDnAn05g7Qq/Xxh8sXktuTG5LpkU1JF0vXJBcmBiUaAAAECBAgQIECAQE8F+nAG\n6az07dmD/r05j1cnVSRVYVRnkg5IDk9OS05KTk8uTjQCBAgQIECAAAECBHomMOsF0pr0ZxVH\nVyRnJtcmo9rDMvPY5E3JumRjsj7RCBAgQIAAAQIECBDokcCsX2J3YvryhqQe5yqOqru/mVyZ\nHJ/clZySaAQIECBAgAABAgQI9Exg1guklenPuqRu25j9enuW25AcOubyFiNAgAABAgQIECBA\nYIYEZr1A2pK+OipZPmaf1Qh3VVTVAA4aAQIECBAgQIAAAQI9E5j1Aumi9OeRySXJ0fP0bXMP\nUt2rtFdy6TzLeokAAQIECBAgQIAAgRkVmPVBGmo0uoOStckJyeZkU7I1uTPZN6lR7FYkBycP\nJGckVyUaAQIECBAgQIAAAQI9E5j1AqkGXzgvuSw5J1mdDJ9Jujfzbk1qBLvzk1sSjQABAgQI\nECBAgACBHgrMeoHUdGmNZHfy4EmdNarfP9ozqR+OvSPRCBAgQIAAAQIECBAgsGzW70Ea1cW7\nZWaljn2fZO9EI0CAAAECBAgQIECAQG8KpFXp6wuTOmN0W3JjUiPV1f1IdyfXJxckByYaAQIE\nCBAgQIAAAQI9FejDJXZnpW/PHvTvzXms30WqIqkKo7rUrgZpODw5LTkpOT2pwR00AgQIECBA\ngAABAgR6JjDrBdKa9GcVRzV895nJtcmo1gzzXQM1rEs2JusTjQABAgQIECBAgACBHgnMeoF0\nYvqyBmiox23z9GuNdndlcnxyU3JKokAKgkZgCQvUvYZ7JM9eoGOo/y2pnwjQCBAgQIAAgRkW\nmPUCaWX6ri6pm684anfv7XmyITm0PdM0AQJLUqAKo8clH1+gvX931vPiBVqX1RAgQIAAAQId\nFZj1AmlL3I9Klif3j9EH+2eZKqpqwAaNAIGlLVD/+1b/7h+1AIfxxqzjkAVYj1UQIECAAAEC\nHReY9WG+L4r/kcklyfAPxLa7prkHqe5V2iu5tP2iaQIElrRA/Rj0zmacP7AsaSQ7T4AAAQIE\nCHxLYNbPINVodAcla5MTks3JpqTuI7gz2TepUexWJAcnDyRnJFclGgECBAgQIECAAAECPROY\n9QKpBl84L7ksOSdZnQyfSaq/LN+avCk5P7kl0QgQIECAAAECBAgQ6KHArBdITZfW6FMnD57U\nWaP6/aM9k/rh2DsSjQABAgQIECBAgAABAstm/R6kUV1cQ/9W6tj3SfZONAIECBAgQIAAAQIE\nCPSmQFqVvr4wqTNGtyU3JtcldT/S3cn1SY1cd2CiESBAgAABAgQIECDQU4E+XGJ3Vvr27EH/\n3pzH+l2kKpKqMKpL7WqQhsOT05KTktOTGtxBI0CAAAECBAgQIECgZwKzXiCtSX9WcVTDd5+Z\nXJuMas0w3zVQw7pkY7I+0QgQIECAAAECBAgQ6JHArN+DdGL6sgZoqMe5iqPq7hrt7srk+OSu\n5JREI0CAAAECBAgQIECgZwKzXiCtTH/WJXXbxuzX27PchuTQMZe3GAECBAgQIECAAAECMyQw\n6wXSlvTVUcnyMfts/yxXRVUN4KARIECAAAECBAgQINAzgVkvkC5Kfx6ZXJIM/0Bsu6ube5Dq\nXqW9kkvbL5omQIAAAQIECBAgQKAfArM+SEONRndQsjY5IdmcbEq2Jncm+yY1it2K5ODkgeSM\n5KpEI0CAAAECBAgQIECgZwKzXiDV4AvnJZcl5ySrk+EzSfdm3q1JjWB3fnJLohEgQIAAAQIE\nCBAg0EOBWS+Qmi6tkexOHjyps0b1+0d7JvXDsXckGgECBAgQIECAAAECBJb1pUBqd3VdWlfR\nCBAgQIAAAQIECBAg8BCBPhZINVJdnUHaI7k7+UpyT6IRIECAAAECBAgQINBzgVkfxa7p3lWZ\nuDCpS+puS25MaijvTUkVSdcnFyQHJhoBAgQIECBAgAABAj0V6MMZpLPSt2cP+vfmPNYPx1aR\nVIVRnUmqUewOT05LTkpOT2r0O40AAQIECBAgQIAAgZ4JzHqBtCb9WcVR/b7Rmcm1yajW/A5S\njWS3LtmYrE92pj05b959zBU8Yczldnax384KfmVnVzJ4f40QeGqimFwgUKshQIAAAQIECBBY\nfIFZL5BODHGNYFeP2+bhri/7VybHJzclpyTrkx1tT8obP5tU4dWldkh25oPJGxZgp/4w63js\nAqzHKggQIECAAAECBAh0RmDWC6SVka5L6uYrjtqdcXuebEgObc/cgenP5z116d64vs/Kspfv\nwHZ25C1b8qYqkna23bWzK/B+AgQIECBAgAABAl0TGPcLfNf2e9z9qWLgqGR5cv8Yb6oR7qqo\nqgEbdrbV6HjjNr/FNK6U5QgQIECAAAECBAjsQoFZH8XuotgdmVySHD2PY10Kd2xS9yrtlVya\naAQIECBAgAABAgQI9Exg1s8g1QACByVrkxOSzcmmZGtSPxa7b1KXwq1IDk4eSM5Irko0AgQI\nECBAgAABAgR6JjDrBVINvnBecllyTrI6GT6TdG/m3ZrUCHbnJ7ckGgECBAgQIECAAAECPRSY\n9QKp6dIaye7kwZM6a1S/f7RnUj8c6/6fIGgECBAgQIAAAQIECCxbNuv3II3q490ys1LHvk+y\nd6IRIECAAAECBAgQIECgNwXSqvT1hUmdMbotuTG5Lqn7ke5Ork9q5LoDE40AAQIECBAgQIAA\ngZ4K9OESu7PSt2cP+vfmPNbvIlWRVIVRXWpXgzQcnpyWnJScntTgDhoBAgQIECBAgAABAj0T\nmPUCaU36s4qjGr77zOTaZFRrhvmugRrWJRuT9YlGgAABAgQIECBAgECPBGb9HqQT05c1QEM9\nzlUcVXfXaHdXJscndyWnJBoBAgQIECBAgAABAj0TmPUCaWX6sy6p2zZmv96e5TYkh465vMUI\nECBAgAABAgQIEJghgVkvkLakr45Klo/ZZ/tnuSqqagAHjQABAgQIECBAgACBngnMeoF0Ufrz\nyOSSZPgHYttd3dyDVPcq7ZVc2n7RNAECBAgQIECAAAEC/RCY9UEaajS6g5K1yQnJ5mRTsjW5\nM9k3qVHsViQHJw8kZyRXJRoBAgQIECBAgAABAj0TmPUCqQZfOC+5LDknWZ0Mn0m6N/NuTWoE\nu/OTWxKNAAECBAgQIECAAIEeCsx6gdR0aY1kd/LgSZ01qt8/2jOpH469I9EIECBAgAABAgQI\nECCwrC8FUrur69K6SrW6tK7OKFWh9NnkvkQjQIAAAQIECBAgQKCnArM+SMMr0691H9Ijhvr3\n6Xl+TbIx+Zvkk0mNePfaZLdEI0CAAAECBAgQIECghwKzXiDV2aG6tG73Vt8elumPJM9KPpFc\nkLwruTv5neSNiUaAAAECBAgQIECAQA8F+niJXRVBdQ/SLyS/3+rzGt77fySvTv46+UCiESBA\ngAABAgQIECDQI4FZP4M0qiuPycyPJ+3iqJar0ex+NqkhwL8/0QgQIECAAAECBAgQ6JlAHwuk\nGsXuU3P0cw3ScF3ytDleN5sAAQIECBAgQIAAgRkW6GOB9L/TnzVIw6j26Mx8dlIDNmgECBAg\nQIAAAQIECPRMoC8FUl1Sty55TbI+qQEafixpt8PzpC67qwEdPtx+wTQBAgQIECBAgAABAv0Q\nmPVBGmqwhUclz0heMkgeHmxVDL1nMP0jebw0KY8qoGpUO40AAQIECBAgQIAAgZ4JzHqB9L/S\nn5VqNXJdFUpNHlYzB61++6juP6rCqEax+2aiESBAgAABAgQIECDQM4FZL5Da3XlHntSlc6Mu\nn3t/5tf9R/cnGgECBAgQIECAAAECPRXoU4E0XxfX2SONAAECBAgQIECAAIGeC/RlkIaed7PD\nJ0CAAAECBAgQIEBgHAEF0jhKliFAgAABAgQIECBAoBcCs36J3SvSi/XDsJO2Gsnu6knfZHkC\nBAgQIECAAAECBJa2wKwXSD+f7qlR6yZtv5k3KJAmVbM8AQIECBAgQIAAgSUuMOsF0ovSP3+R\nPDe5LHlbMk777DgLWYYAAQIECBAgQIAAgdkSmPUC6QvprhckNbR3FUtnJ59MNAIECBAgQIAA\nAQIECHybQB8GadiWo3754Mh/79sEzCBAgAABAgQIECBAgMBAoA8FUh3qZ5LXJTVgw9MTjQAB\nAgQIECBAgAABAt8m0JcCqQ78TcnK5FP1RCNAgAABAgQIECBAgMCwQJ8KpOFj95wAAQIECBAg\nQIAAAQIPEVAgPYTDEwIECBAgQIAAAQIE+iygQOpz7zt2AgQIECBAgAABAgQeIqBAegiHJwQI\nECBAgAABAgQI9FlAgdTn3nfsBAgQIECAAAECBAg8RECB9BAOTwgQIECAAAECBAgQ6LOAAqnP\nve/YCRAgQIAAAQIECBB4iMDDH/LMEwIEGoGHZeKpyUL8EeGgrMe/tUbWIwECBAgQIECgwwK+\ntHW4c+zaogr8aLb+ngXcg60LuC6rIkCAAAECBAgQ2EUCCqRdBGu1S15gjxxBFTVPWYAj+aus\n498swHqsggABAgQIECBAYBcLKJB2MbDVL2mBb2bvb1uAI7h/AdZhFQQIECBAgAABAlMQWIj7\nK6awmzZBgAABAgQIECBAgACBXS+gQNr1xrZAgAABAgQIECBAgMASEVAgLZGOspsECBAgQIAA\nAQIECOx6AQXSrje2BQIECBAgQIAAAQIEloiAAmmJdJTdJECAAAECBAgQIEBg1wsokHa9sS0Q\nIECAAAECBAgQILBEBBRIS6Sj7CYBAgQIECBAgAABArteQIG0641tgQABAgQIECBAgACBJSKg\nQFoiHWU3CRAgQIAAAQIECBDY9QIKpF1vbAsECBAgQIAAAQIECCwRAQXSEukou0mAAAECBAgQ\nIECAwK4XUCDtemNbIECAAAECBAgQIEBgiQgokJZIR9lNAgQIECBAgAABAgR2vYACadcb2wIB\nAgQIECBAgAABAktEQIG0RDrKbhIgQIAAAQIECBAgsOsFFEi73tgWCBAgQIAAAQIECBBYIgIK\npCXSUXaTAAECBAgQIECAAIFdL6BA2vXGtkCAAAECBAgQIECAwBIRePgS2U+7OdsCP5/DO2SB\nDvGurOeNyTcWaH1WQ4AAAQIECBAg0CMBBVKPOrvDh/rm7Nunk607uY975/3PTS5KvrCT6/J2\nAgQIECBAgACBHgookHrY6R095Ndmv96/k/v2XXn/dTu5Dm8nQIAAAQIECBDosYB7kHrc+Q6d\nAAECBAgQIECAAIGHCiiQHurhGQECBAgQIECAAAECPRZQIPW48x06AQIECBAgQIAAAQIPFVAg\nPdTDMwIECBAgQIAAAQIEeixgkIYed/4MH/qeObZH7OTx7b6T7/d2AgQIECBAgACBJSigQFqC\nnWaX5xQ4aPDKjXMuMdkLX59scUsTIECAAAECBAgsdQEF0lLvQfvfFqjfQar2M8nnH5za8f+8\nKm998Y6/3TsJECBAgAABAgSWooACaSn2mn3ensCnskBlZ9qWnXmz986cwBE5ouckly/QkZ2X\n9fztAq3LaggQIECAAIEFFFAgLSCmVREgMLMCh+XI6gzlPy3AEZ6cdTwvUSAtAKZVECBAgACB\nhRZQIC20qPURIDCLAt/MQd2Z/OoCHFydidIIECBAgACBjgoY5rujHWO3CBAgQIAAAQIECBCY\nvoACafrmtkiAAAECBAgQIECAQEcFFEgd7Ri7RYAAAQIECBAgQIDA9AUUSNM3t0UCBAgQIECA\nAAECBDoqoEDqaMfYLQIECBAgQIAAAQIEpi+gQJq+uS0SIECAAAECBAgQINBRAQVSRzvGbhEg\nQIAAAQIECBAgMH0BBdL0zW2RAAECBAgQIECAAIGOCiiQOtoxdosAAQIECBAgQIAAgekLKJCm\nb26LBAgQIECAAAECBAh0VGDSAun3chw/nizv6PHYLQIECBAgQIAAAQIECOywwKQF0g9nS5cm\nm5M3J89INAIECBAgQIAAAQIECMyEwKQF0nNz1L+Y3JK8Kvlk8o9JzTso0QgQIECAAAECBAgQ\nILBkBSYtkL6YIz0/OSp5WvKG5DuT85I6q3RZ8hOJS/CCoBEgQIAAAQIECBAgsLQEJi2Q2kf3\nmTx5bXJ4clxS9yd9b/IXya3J7yZPTjQCBAgQIECAAAECBAgsCYGdKZCaA3xiJlYn35fUZXbf\nTOpMU112d11yVqIRIECAAAECBAgQIECg8wI7WiAdmCP7heRjyb8kv5U8evD4pDx+d1KF018l\nZycvSzQCBAgQIECAAAECBAh0WmDSAumkHM37krqE7i3J05N1yQuTJyS/kdyQVLsxeeWDU8uW\n/cDg0QMBAgQIECBAgAABAgQ6K/DwCffsjVm+CqF/SN6evDu5I5mrPZAXbkqunWsB8wkQIECA\nAAECBAgQINAVgUkLpN/Pjv91UvcWjdO2ZqHHj7OgZQgQIECAAAECBAgQILDYApNeYlcj01Vx\nVJfM1T1GTTskE3+SuJSuEfFIgAABAgQIECBAgMCSE5i0QKpC6D3JB5KjW0d7RKZfOpj/W635\nJgkQIECAAAECBAgQILBkBCYtkN6UI3tRUpfa/W3rKD+a6eOTjyS/nhyTaAQIECBAgAABAgQI\nEFhSApPcg/SwHNmPJ3+Z1BDfw+39mfHp5Jbkxcn6pItt/+zUfskeyd3JV5J7Eo0AAQIECBAg\nQIAAgZ4LTHIG6ZGxekTywXnMtuS1TySHz7PMYry0Khu9MKkfsL0tqSHI616qTUkVSdcnFyT1\n+04aAQIECBAgQIAAAQI9FZjkDNKdMfqX5BnzWC3Pa3U/0lXzLDPtl87KBs8ebPTmPF6dVJFU\nhVGdSTogqYLutKR+5+n05OJEI0CAAAECBAgQIECgZwKTFEhF86HkFcmVybuSdtsnT+rHY+ss\nTA3i0IW2JjtRxdEVyZnJtcmoVpcPHpvUPVb1w7cbk65eIphd0wgQIECAAAECBAgQ2BUCkxZI\ndTbmqKTOsPxG8n+SuoenRrd7TlL39/xpcnnShXZiduKGpB63zbND38xrVfQdn9yUnJIokIKg\nESBAgAABAgQIEOiTwKQFUt3D84KkzhQdl1ThUWdfqm1KXpf8j3rSkbYy+1GX1M1XHLV39fY8\n2ZAc2p5pmgABAgQIECBAgACBfghMWiCVSt278x8GPHWlI58bAABAAElEQVQPT92/U2dd7hzM\n69LDluxMnfGqe6PuH2PH6gxYFVU1YINGgAABAgQIECBAgEDPBL5jJ4/3jrz/U0kXi6M6tIuS\nI5NLkvYP2+bpQ1qdBat7kOpepb2SSxONAAECBAgQIECAAIGeCezIGaTvj9FLk4OSGva7ucQu\nk/+3vSNTVZwsdqt7pWo/1yYnJJuTuhRwa1JF3b7JAcmK5ODkgeSM5KpEI0CAAAECBAgQIECg\nZwKTFkg/HZ93j2H04TGWmcYiNfjCecllyTnJ6mT4TNK9mXdrUiPYnZ/UD91qBAgQIECAAAEC\nBAj0UGDSAun1MbonOS35UFKDNoxq3xg1cxHn3ZBtnzzYfp01qnun9kxq/+syQY0AAQIECBAg\nQIAAAQLLJimQ9o7Xk5MawKAuXVuqbbfseKXuv6rfbqrL6qro0wgQIECAAAECBAgQ6LnAJIM0\n3Berum9nKRYTq7LfFyZ1xui25MbkuqTuR6pR+a5PqvA7MNEIECBAgAABAgQIEOipwCQFUl02\nV/cW1aVqk7xvsWnPyg5cm7w8qSKvfhfpfUndS1Wj1n082Supywb/OXlJohEgQIAAAQIECBAg\n0EOBSS6xK55XJDXC2/9K3pxsTEadUaqBD6oYWey2JjtwdlKF0JlJFUqj2sMys4b5roEa1iUb\nk/WJRoAAAQIECBAgQIBAjwQmPRP0ntjUsNk/kdTZpJuSL4/IazOvC+3E7EQN0FCPcxVHtZ81\n2t2VyfHJXckpiUaAAAECBAgQIECAQM8EJj2D9Mn43DqGUV2q1oW2MjtRl9RtG3Nnbs9yG5JD\nx1zeYgQIECBAgAABAgQIzJDApAXSf1xix74l+3tUsjy5f4x93z/LVFFVAzZoBAgQIECAAAEC\nBAj0TGDSS+zaPI/Ik6cnRw9m1jDgXWsXZYeOTC5Jmv0ctY/NPUh1r1IN2HDpqIXMI0CAAAEC\nBAgQIEBgtgUmPYNUGocn5yY/lVRh8dGkBjh4Z/KZpH5MdtxL2rLoLm0XZ+11z9Ta5IRkc7Ip\n2ZrUkOX7JgckK5KDk/pNpDOSGohCI0CAAAECBAgQIECgZwIPn/B4q4iowQ4endR9RnW2pWlV\nLNVIcTUgwrOSryaL3WrwhfOSy5JzktXJ8JmkGnGv7qt6U3J+ckuiESBAgAABAgQIECDQQ4FJ\nL7F7S4zq0ro6Y/TUpIqlpp2UiSpCvjs5tZnZkccaye7kpAZf2C+ps2BPSR6V1KWBT05+KVEc\nBUEjQIAAAQIECBAg0FeBSQukHwjUHyQfHQH29cw7O7kj+d4Rr3dl1m7ZkUod+z5JF++dym5p\nBAgQIECAAAECBAhMW2CSAqnu16lR3j47z07WSHF1H1It16W2KjtzYfLF5LbkxuS6pO5Huju5\nPrkgOTDRCBAgQIAAAQIECBDoqcAkBVINavCF5NnzWFURVZfYVfHRlXZWdqQuBXx5cl9Sv4v0\nvuTdyRXJx5O6l+q0pO6rekmiESBAgAABAgQIECDQQ4FJB2m4PEY/m3w6eUfSbnU/zzuSusfn\n/UkX2prsRF32V4VQDSBRhdKoVgNM1H1VNVDDumRjsj7RCBAgQIAAAQIECBDokcAkZ5CK5TVJ\njfj2e8nm5JjkiKR+N6guU/vx5B3JB5MutBpRrwZoqMe5iqPazxrt7srk+OSu5JREI0CAAAEC\nBAgQIECgZwKTnkH6SnyemaxN/n1Sl9RVq8LotuT05L8nXWkrsyN1Sd22MXfo9iy3IanR7rT5\nBarvX5Asn3+xsV6tQr1GR9QIECBAgAABAgQILKrApAVS7eyXk59L/lOyInlssjGpM0tda1uy\nQ0cl9SW+BpDYXqvBJaqoqgEbtPkFDsnLj04WYmCLKpCOTN6TaAQIECBAgAABAgQWTWBHCqRm\nZ7+eibp8rdLVdlF27J3JJck5yceSUa3uQXp+cm5SAzbUJYPa9gU+kkXq7OHOtm9kBdUHGgEC\nBAgQIECAAIFFFdiZAmlRd3zMjV+c5Q5K1iYnJJuTTcnW5M6kLhM7IKkzYQcnDyRnJFclGgEC\nBAgQIECAAAECPROYtECqS88eM4bRn2WZymK3GnzhvOSypM4grU6OTtrt3jypywNrBLvzk1sS\njQABAgQIECBAgACBHgpMWiD9YIyesB2nOkPz4e0sM+2X6zLAkwcbrbNG+yV7JvXDsXckGgEC\nBAgQIECAAAECBJZNWiCtilndUN9u9fxxydOSOltTZ47qsattt+xYpfZ7n6Quq7sn0QgQIECA\nAAECBAgQ6LnApAXSXGdb6p6ef0o+k3wyqZv3uzQiWRV2NerejyWjRl2rM0wfSH4t+VKiESBA\ngAABAgQIECDQQ4Hhs0E7S/CPWcFNSV2K15V2Vnbk2uTlyX1J/S7S+5J3J1ckH09q5LrTkn9O\nXpJoBAgQIECAAAECBAj0UGDSM0jbI9ojC9Rv49TIcV1oa7ITZydVCJ2ZVKE0qj0sM49NaqCG\ndcnGZH2iESBAgAABAgQIECDQI4FJC6Qa2KCKieFW66lL19YmdV/PJ5IutBOzE3X5XD1um2eH\narS7K5PjkzoDdkqyMwVSDRlehdbuyTjtkeMsZBkCBAgQIECAAAECBHatwKQF0v/J7jxhO7tU\nBckfbWeZab28MhuqS+rmK47a+3J7nmxIDm3P3IHpulerzlotH/O9h2W52leNAAECBAgQIECA\nAIFFFJi0QKqzLP8yYn+/kXn1w6tVXFyYzDWYQ16aatuSrR2VVKFy/xhb3j/LVKFywRjLzrdI\n/bbSG+ZbYOi15+b5K4fmeUqAAAECBAgQIECAwJQFJi2QXjbl/dvZzV2UFbwzuSQ5J/lYMqrV\nZYPPT85NasCGSxONAAECBAgQIECAAIGeCUxaIC01nouzwzVgxNrkhGRzsinZmtQZr32TA5IV\nSd039EByRnJVohEgQIAAAQIECBAg0DOBSQukuvTsMTtg9Kd5T53FmXarwRfqR2svS+oM0urk\n6KTd6nK4W5M3JecntyQaAQIECBAgQIAAAQI9FJi0QKr7c56W1Eh11b6efCWpszB1mdpcba5L\n2+ZafqHn18ARJw9WWmeN9ktqRL4vJl25Xyq7ohEgQIAAAQIECBAgsJgCk/5Q7Euzs/ck70lq\n8IMqMr5z8PiiPNYPrVYxVGeZ6veQmtRZnK603bIjlTr2KvT2TjQCBAgQIECAAAECBAgsm/QM\n0h/H7JPJTyQ1cl3TvpaJGtb608lnk59M3pp0pa3Kjvyn5MeS+r2m4VZnmD6Q/FrypeEXPSdA\ngAABAgQIECBAoB8Ck5xB2iMk35u8M2kXR22pTXnyj8n3tWcu8vRZ2f61ycuT+5L6XaT3Je9O\nqqj7eFIj152W1BmwlyQaAQIECBAgQIAAAQI9FJjkDFKN8HZ3Mt+PqC7P609M6ixTF9qa7MTZ\nSRVCZyZVKI1qdf/UsUkN1LAu2ZisTzQCBAgQIECAAAECBHokMMkZpBqQ4f3J65LnjDCqszDN\nKHdVkHShnZidqMvn6nGu4qj2s0a7uzI5PrkrOSXRCBAgQIAAAQIECBDomcAkZ5CK5reT5yc1\nEEMVFHVJWhUUj0u+P6nfHKr7lN6bdKGtzE7UJXXbxtyZ27PchmS+s2RjrspiBAgQIECAAAEC\nBAgsNYFJC6QqHp6dvC2pS9JWJ03710y8MrmwmdGBxy3Zh6OSuvTv/jH2Z/8sU0VVnQnTCBAg\nQIAAAQIECBDomcAkl9g1NFV0vCjZN/k3yXHJYcnByR8l30i60i7KjhyZ1I/UHj3PTjX3INWl\ngXWp4KXzLOslAgQIECBAgAABAgRmVGDSM0hthj3ypM7MfDXZlNTvCd2TdKldnJ2py/7WJick\nm5Pa163JnUkVeQckK5Iq8GogijOSqxKNAAECBAgQIECAAIGeCexIgXR4jM5NfiqpMy8fTepy\nu3cmn0len4x7z08W3aWtBl+oH6m9LDknqUsCh88k3Zt5tyY1gt35yS2JRoAAAQIECBAgQIBA\nDwUmLZDqLMu1yaOTGqChLkdrWhVLNZR2jRj3rKTOLHWl3ZAdOXmwM3XWaL9kz+SLyR2JRoAA\nAQIECBAgQIAAgWWT3oP0lpg9IqkzRk9Nqlhq2kmZqLM0352c2szs4ONu2adKHfs+SV0aqBEg\nQIAAAQIECBAgQGDiAukHYvYHSV1WN9y+nhlnJ3VG5nuHX1zk56uy/Rpdr84Y3ZbcmFyXbErq\nx2+vTy5IDkw0AgQIECBAgAABAgR6KjDJJXZ1adr+yWfnsaqhtOs+pFquK+2s7EgVbtVuTq5O\nqkiqwqgutatBGuq+qtOSOgt2elKDO2gECBAgQIAAAQIECPRMYJICqUZ9+0Ly7OSP53CqIqou\nsXvrHK9Pe/aabLCKoyuSuj/q2mRUq/un6rLBGqhhXbIxWZ9oBAgQIECAAAECBAj0SGDSe5Au\nj83PJv85qft32u1RefInSZ2VeX/7hUWcrgEjaoCGepyrOKrdq9HurkyOT+5KTkk0AgQIECBA\ngAABAgR6JjBpgfSa+Nya/F6yOTkmOSK5NKn7eH48eUfywaQLbWV2oi6p2zbmztye5TYkh465\nvMUIECBAgAABAgQIEJghgUkLpK/k2J+Z1IAGNUz2Y5JDkiqMqp2e1BmmrrQt2ZGjkuVj7lDd\nO1VFVQ3goBEgQIAAAQIECBAg0DOBSQuk4vly8nNJ/QbSE5PnJXXG5dFJnVn6etKVdlF25Mjk\nkmT4B2Lb+9jcg1T3KtVx1RkxjQABAgQIECBAgACBnglMMkhD0fxBcl/yq8kDSd3fU+lqq9Ho\nDkrWJickdVngpmRrUoNO7JsckKxIDk7qmM5Irko0AgQIECBAgAABAgR6JjBJgbRHbOoHYKvA\n+KUl4lSDL5yXXJack6xOhs8k3Zt5dV9VjWB3fnJLohEgQIAAAQIECBAg0EOBSQqkr8XnrqQu\nQatL0qr4WCqtznKdPNjZOmu0X1L3UNUPx96RaAQIEJiWwJOyoVXJKQuwwbqk+cXJJxZgXVZB\ngAABAgQIRGCSAqkKop9I/jx5T/L7yeeTLyXDrUaNq3Sx1aV1FY0AAQKLIVA/kfCvye8swMbr\nvs/HJwqkBcC0CgIECBAgUAKTFEi1/LlJnUH60UHyMLKdnbm/OfIVMwkQIEDgCyF4xwIwvHEB\n1mEVBAgQIECAQEtg0gKphr+u3wraXvvs9hbwOgECBAgQIECAAAECBLomMGmB9LNdO4Dt7M8r\n8nrdczRpW5831A/MagQIECBAgAABAgQI9EhgewVSjfpWy/zdEjX5+ez3M3Zg338z71Eg7QCc\ntxAgQIAAAQIECBBYygLbK5DekoOrEd+eMHSQT8/zRyd/PzS/a09flB36i+S5yWXJ25JxmksE\nx1GyDAECBAgQIECAAIEZE9hegTTX4a7NCz+W1HDfXW51I/QLkg8nVSydnXwy0QgQIECAAAEC\nBAgQIPBtAt/xbXNmb0YNN/7ywWHVkLgaAQIECBAgQIAAAQIERgr0oUCqA/9M8rqkBmyoywM1\nAgQIECBAgAABAgQIfJtAXwqkOvA3JSuTT9UTjQABAgQIECBAgAABAsMCfSqQho/dcwIECBAg\nQIAAAQIECDxEQIH0EA5PCBAgQIAAAQIECBDos8A4o9jtH6D/NoT01MHz4fnNYu/PxAeaJx4J\nECBAgAABAgQIECCwFATGKZDqd5B+ZY6DmWv+vVlegTQHmtkECBAgQIAAAQIECHRTYHsF0pnZ\n7UftwK5v2IH3eAsBAgQIECBAgAABAgQWVWB7BdL7FnXvbJwAAQIECBAgQIAAAQJTFDBIwxSx\nbYoAAQIECBAgQIAAgW4LKJC63T/2jgABAgQIECBAgACBKQookKaIbVMECBAgQIAAAQIECHRb\nQIHU7f6xdwQIECBAgAABAgQITFFAgTRFbJsiQIAAAQIECBAgQKDbAgqkbvePvSNAgAABAgQI\nECBAYIoCCqQpYtsUAQIECBAgQIAAAQLdFlAgdbt/7B0BAgQIECBAgAABAlMUUCBNEdumCBAg\nQIAAAQIECBDotoACqdv9Y+8IECBAgAABAgQIEJiigAJpitg2RYAAAQIECBAgQIBAtwUUSN3u\nH3tHgAABAgQIECBAgMAUBRRIU8S2KQIECBAgQIAAAQIEui2gQOp2/9g7AgQIECBAgAABAgSm\nKKBAmiK2TREgQIAAAQIECBAg0G0BBVK3+8feESBAgAABAgQIECAwRQEF0hSxbYoAAQIECBAg\nQIAAgW4LKJC63T/2jgABAgQIECBAgACBKQookKaIbVMECBAgQIAAAQIECHRbQIHU7f6xdwQI\nECBAgAABAgQITFFAgTRFbJsiQIAAAQIECBAgQKDbAgqkbvePvSNAgAABAgQIECBAYIoCCqQp\nYtsUAQIECBAgQIAAAQLdFlAgdbt/7B0BAgQIECBAgAABAlMUUCBNEdumCBAgQIAAAQIECBDo\ntoACqdv9Y+8IECBAgAABAgQIEJiigAJpitg2RYAAAQIECBAgQIBAtwUUSN3uH3tHgAABAgQI\nECBAgMAUBRRIU8S2KQIECBAgQIAAAQIEui2gQOp2/9g7AgQIECBAgAABAgSmKKBAmiK2TREg\nQIAAAQIECBAg0G0BBVK3+8feESBAgAABAgQIECAwRQEF0hSxbYoAAQIECBAgQIAAgW4LKJC6\n3T/2jgABAgQIECBAgACBKQookKaIbVMECBAgQIAAAQIECHRbQIHU7f6xdwQIECBAgAABAgQI\nTFFAgTRFbJsiQIAAAQIECBAgQKDbAgqkbvePvSNAgAABAgQIECBAYIoCCqQpYtsUAQIECBAg\nQIAAAQLdFlAgdbt/7B0BAgQIECBAgAABAlMUUCBNEdumCBAgQIAAAQIECBDotoACqdv9Y+8I\nECBAgAABAgQIEJiigAJpitg2RYAAAQIECBAgQIBAtwUUSN3uH3tHgAABAgQIECBAgMAUBRRI\nU8S2KQIECBAgQIAAAQIEui2gQOp2/9g7AgQIECBAgAABAgSmKKBAmiK2TREgQIAAAQIECBAg\n0G0BBVK3+8feESBAgAABAgQIECAwRQEF0hSxbYoAAQIECBAgQIAAgW4LKJC63T/2jgABAgQI\nECBAgACBKQookKaIbVMECBAgQIAAAQIECHRbQIHU7f6xdwQIECBAgAABAgQITFFAgTRFbJsi\nQIAAAQIECBAgQKDbAgqkbvePvSNAgAABAgQIECBAYIoCCqQpYtsUAQIECBAgQIAAAQLdFlAg\ndbt/7B0BAgQIECBAgAABAlMUUCBNEdumCBAgQIAAAQIECBDotoACqdv9Y+8IECBAgAABAgQI\nEJiiwMOnuC2bIkCAAIGFFVie1a1OHrEAq70v67gk+eYCrMsqCBAgQIDAkhVQIC3ZrrPjBAgQ\nWPbIGLwsOXEnLXbL+w9JnpJ8bifX5e0ECBAgQGBJCyiQlnT32XkCBAgs+6MY/NJOOjw279+S\nuOx6JyG9nQABAgSWvoD/M1z6fegICBAgQIAAAQIECBBYIAEF0gJBWg0BAgQIECBAgAABAktf\nQIG09PvQERAgQIAAAQIECBAgsEACCqQFgrQaAgQIECBAgAABAgSWvkAfB2nYP922X7JHcnfy\nleSeRCNAgAABAgQIECBAoOcCfTmDtCr9fGHyxeS25MbkumRTUkXS9ckFyYGJRoAAAQIECBAg\nQIBATwX6cAbprPTt2YP+vTmPVydVJFVhVGeSDkgOT05LTkpOTy5ONAIECBAgQIAAAQIEeiYw\n6wXSmvRnFUdXJGcm1yaj2sMy89jkTcm6ZGOyPtEIECBAgAABAgQIEOiRwKxfYle/Ln9DUo9z\nFUfV3d9MrkyOT+5KTkk0AgQIECBAgAABAgR6JjDrBdLK9GddUrdtzH69PcttSA4dc3mLESBA\ngAABAgQIECAwQwKzXiBtSV8dlSwfs89qhLsqqmoAB40AAQIECBAgQIAAgZ4JzHqBdFH688jk\nkuToefq2uQep7lXaK7l0nmW9RIAAAQIECBAgQIDAjArM+iANNRrdQcna5IRkc7Ip2Zrcmeyb\n1Ch2K5KDkweSM5KrEo0AAQIECBAgQIAAgZ4JzHqBVIMvnJdclpyTrE6GzyTdm3m3JjWC3fnJ\nLYlGgAABAgQIECBAgEAPBWa9QGq6tEayO3nwpM4a1e8f7ZnUD8fekWgECBAgQIAAAQIECBBY\nNuv3II3q4t0ys1LHvk+yd6IRIECAAAECBAgQIECgNwXSqvT1hUmdMbotuTGpkerqfqS7k+uT\nC5IDE40AAQIECBAgQIAAgZ4K9OESu7PSt2cP+vfmPNbvIlWRVIVRXWpXgzQcnpyWnJScntTg\nDhoBAgQIECBAgAABAj0TmPUCaU36s4qjGr77zOTaZFRrhvmugRrWJRuT9YlGgAABAgQIECBA\ngECPBGb9HqQT05c1QEM9zlUcVXfXaHdXJscndyWnJBoBAgQIECBAgAABAj0TmPUCaWX6sy6p\n2zZmv96e5TYkh465vMUIECBAgAABAgQIEJghgVkvkLakr45Klo/ZZ/tnuSqqagAHjQABAgQI\nECBAgACBngnMeoF0UfrzyOSSZPgHYttd3dyDVPcq7ZVc2n7RNAECBAgQIECAAAEC/RCY9UEa\najS6g5K1yQnJ5mRTsjW5M9k3qVHsViQHJw8kZyRXJRoBAgQIECBAgAABAj0TmPUCqQZfOC+5\nLDknWZ0Mn0m6N/NuTWoEu/OTWxKNAAECBAgQIECAAIEeCsx6gdR0aY1kd/LgSZ01qt8/2jOp\nH469I9EIECBAgAABAgQIECCwbNbvQRrVxbtlZqWOfZ9k70QjQIAAAQIECBAgQIBAbwqkVenr\nC5M6Y3RbcmNSI9XV/Uh3J9cnFyQHJhoBAgQIECBAgAABAj0V6MMldmelb88e9O/NeazfRaoi\nqQqjutSuBmk4PDktOSk5PanBHTQCBAgQIECAAAECBHomMOsF0pr0ZxVHNXz3mcm1yajWDPNd\nAzWsSzYm6xONAAECBAgQIECAAIEeCcz6PUgnpi9rgIZ6nKs4qu6u0e6uTI5P7kpOSTQCBAgQ\nIECAAAECBHomMOsF0sr0Z11St23Mfr09y21IDh1zeYsRIECAAAECBAgQIDBDArNeIG1JXx2V\nLB+zz/bPclVU1QAOGgECBAgQIECAAAECPROY9QLpovTnkcklyfAPxLa7urkHqe5V2iu5tP2i\naQIECBAgQIAAAQIE+iEw64M01Gh0ByVrkxOSzcmmZGtyZ7JvUqPYrUgOTh5IzkiuSjQCBAgQ\nIECAAAECBHomMOsFUg2+cF5yWXJOsjoZPpN0b+bdmtQIducntyQ728q1hgwf99K+J+3sBr2f\nAAECBAgQIECAAIGdF5j1AqkRqpHsTh48qbNG9ftHeyb1w7F3JAvdapCH3052H3PF4y435uos\nRoAAAQIECBAgQIDAjgj0pUBq2+yWJ5W6/2qfpC6ruydZyHZTVvbECVb43Cy7foLlLUqAAAEC\nBAgQIECAwC4QmPVBGhqyVZm4MKkzRrclNyY1Ut2m5O7k+uSC5MBEI0CAAAECBAgQIECgpwJ9\nOIN0Vvr27EH/3pzH+l2kKpKqMKpL7WqQhsOT05K6b+j05OJEI0CAAAECBAgQIECgZwKzXiCt\nSX9WcVTDd5+ZXJuMas0w3zVQw7pkY+KStyBoBAgQIECAAAECBPokMOuX2J2YzqwBGupxruKo\n+rtGu7syOT65Kzkl0QgQIECAAAECBAgQ6JnArBdIK9OfdUndtjH79fYstyGpUeg0AgQIECBA\ngAABAgR6JjDrBdKW9OdRybi/R7R/lq2iqgZw0AgQIECAAAECBAgQ6JnArBdIF6U/j0wuSYZ/\nILbd1c09SHWv0l7Jpe0XTRMgQIAAAQIECBAg0A+BWR+koUajOyhZm5yQbE42JVuTO5N9kxrF\nbkVycFK/iXRGclWiESBAgAABAgQIECDQM4FZL5Bq8IXzksuSc5LVyfCZpHsz79akRrA7P7kl\n0QgQIECAAAECBAgQ6KHArBdITZfWSHYnD57UWaP6/aM9k/rh2DsSjQABAgQIECBAgAABAstm\n/R6kUV28W2ZW6tj3SfZONAIECBAgQIAAAQIECPSmQFqVvr4wqTNGtyU3JjVSXd2PdHdyfXJB\ncmCiESBAgAABAgQIECDQU4E+XGJ3Vvr27EH/3pzH+l2kKpKqMKpL7WqQhsOT05KTktOTGtxB\nI0CAAAECBAgQIECgZwKzXiCtSX9WcVTDd5+ZXJuMas0w3zVQw7pkY7I+0QgQIECAAAECBAgQ\n6JHArN+DdGL6sgZoqMe5iqPq7hrt7srk+OSu5JREI0CAAAECBAgQIECgZwKzXiCtTH/WJXXb\nxuzX27PchuTQMZe3GAECBAgQIECAAAECMyQw65fYbUlfHZUsT+4fo9/2zzJVVNWADRoBAgT6\nIlAje1arS5IX4qcPbh2sq9apESBAgACBJSUw62eQLkpvHJlckgz/QGy7o5p7kOpepb2SS9sv\nmiZAgMCMC9RgNdUOSh65k3ly3l/3fGoECBAgQGBJCsz6GaQaja7+D39tckKyOdmUbE3uTPZN\n6ovBiuTg5IHkjOSqRCNAgEDfBN6QA64/FO1M+8G8+X07swLvJUCAAAECiykw6wVSDb5wXnJZ\nck6yOhk+k3Rv5tXlIG9Kzk9uSTQCBAgQIECAAAECBHooMOsFUtOlNZLdyYMnddaofv9oz6R+\nOHYhrrfPajQCBAgQIECAAAECBJa6QF8KpHY/1aV1lWp1aV2dUapC6bPJfYlGgAABAgQIECBA\ngEBPBWZ9kIZXpl/rPqRHDPXv0/P8mmRj8jfJJ5Ma8e61STOaUyY1AgQIECBAgAABAgT6JDDr\nBVKdHapL63Zvdephmf5I8qzkE8kFybuSu5PfSd6YaAQIECBAgAABAgQI9FCgj5fYVRFU9yD9\nQvL7/397dwI2S1meCXhYREBEBBcEQcAd4r7GJbhgIuIWFzRueI0mbqO5TEYnEw0omsUYM+MS\nNYoOMS6M+y5xA00EQVGDKEZcUEFFFEURkcMyzwtVk7bppfp09X/+v/v+rus5XV319VdVd3X3\n329Xd5+BY14/7/365NnJh5OPJxoBAgQIECBAgAABAisksOxnkEYdyrtl5snJYHFU/erX7J6c\n1E+A3yfRCBAgQIAAAQIECBBYMYFVLJDqV+y+POY41480fC35rTHLzSZAgAABAgQIECBAYIkF\nVrFAOiXHs36kYVTbLTPvlNQPNmgECBAgQIAAAQIECKyYwKoUSPWRurckf5KckNQPNDw4GWx7\n50p97K5+0OFTgwtMEyBAgAABAgQIECCwGgLL/iMN9WMLuyS3TR7TJBdXtCqG3t9MH5LL9ybl\nUQVU/aqdRoAAAQIECBAgQIDAigkse4H0zhzPSrX65boqlNpsVTObVv/3UX3/qAqj+hW7yxON\nAAECBAgQIECAAIEVE1j2AmnwcJ6fK/XRuVEfn/tY5tf3jzYlGgECBAgQIECAAAECKyqwSgXS\npENcZ480AgQIECBAgAABAgRWXGBVfqRhxQ+z3SdAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAA\nAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRA\ngAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuAAqmL\nkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIECKyE\ngAJpJQ6znSRAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRAgAABAgQI\nECBAoIuAAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuAAqmLkj4ECBAg\nQIAAAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6z\nnSRAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuA\nAqmLkj4ECBAgQIAAAQIECKyEgAJpJQ6znSRAgAABAgQIECBAoIuAAqmLkj4ECBAgQIAAAQIE\nCKyEgAJpJQ6znSRAgAABAgQIECBAoIvAtl066UOAAAECBDoK7Jd+9bflwo79p3U7Nh0eNq2T\n5QQIECBAoC8BBVJfksYhQIAAgRLYpWF4eA8cVRjdvodxDEGAAAECBDoLKJA6U+lIgAABAjMI\nfGSGvuO63jILFEjjdMwnQIAAgYUI+A7SQlgNSoAAAQIECBAgQIDARhRQIG3Eo2abCRAgQIAA\nAQIECBBYiIACaSGsBiVAgAABAgQIECBAYCMKKJA24lGzzQQIECBAgAABAgQILERAgbQQVoMS\nIECAAAECBAgQILARBRRIG/Go2WYCBAgQIECAAAECBBYioEBaCKtBCRAgQIAAAQIECBDYiAIK\npI141GwzAQIECBAgQIAAAQILEVAgLYTVoAQIECBAgAABAgQIbEQBBdJGPGq2mQABAgQIECBA\ngACBhQgokBbCalACBAgQIECAAAECBDaigAJpIx4120yAAAECBAgQIECAwEIEFEgLYTUoAQIE\nCBAgQIAAAQIbUUCBtBGPmm0mQIAAAQIECBAgQGAhAgqkhbAalAABAgQIECBAgACBjSigQNqI\nR802EyBAgAABAgQIECCwEAEF0kJYDUqAAAECBAgQIECAwEYUUCBtxKNmmwkQIECAAAECBAgQ\nWIiAAmkhrAYlQIAAAQIECBAgQGAjCiiQNuJRs80ECBAgQIAAAQIECCxEQIG0EFaDEiBAgAAB\nAgQIECCwEQUUSBvxqNlmAgQIECBAgAABAgQWIqBAWgirQQkQIECAAAECBAgQ2IgCCqSNeNRs\nMwECBAgQIECAAAECCxFQIC2E1aAECBAgQIAAAQIECGxEAQXSRjxqtpkAAQIECBAgQIAAgYUI\nbLuQUQ1KgAABAgTmF9grQ+ybvHP+oa4Y4Zgex+ppkwxDgAABAutNQIG03o6I7SFAgACBVmC/\nTOyU/KCdMcflQbntA5O+iq05NsVNCRAgQGA9CyiQ1vPRsW0ECBAgsCkEz+yB4egexjAEAQIE\nCKyAgO8grcBBtosECBAgQIAAAQIECHQTUCB1c9KLAAECBAgQIECAAIEVEFAgrcBBtosECBAg\nQIAAAQIECHQTUCB1c9KLAAECBAgQIECAAIEVEFAgrcBBtosECBAgQIAAAQIECHQTUCB1c9KL\nAAECBAgQIECAAIEVEFAgrcBBtosECBAgQIAAAQIECHQTUCB1c9KLAAECBAgQIECAAIEVEFAg\nrcBBtosECBAgQIAAAQIECHQTUCB1c9KLAAECBAgQIECAAIEVEFAgrcBBtosECBAgQIAAAQIE\nCHQTUCB1c9KLAAECBAgQIECAAIEVEFAgrcBBtosECBAgQIAAAQIECHQTUCB1c9KLAAECBAgQ\nIECAAIEVEFAgrcBBtosECBAgQIAAAQIECHQTUCB1c9KLAAECBAgQIECAAIEVENh2BfbRLhIg\nQIAAgRLYKunj797lGefSGlAjQIAAgeUTcAZp+Y6pPSJAgACBqwrcIbOekGzqIZc0Y+VCI0CA\nAIFlE+jjnbRlM7E/BAgQILB8Attnl85KHt3Drr0xY1ynh3EMQYAAAQLrUECBtA4Pik0iQIAA\ngYUIXJRRP9PDyBf0MIYhCBAgQGCdCviI3To9MDaLAAECBAgQIECAAIG1F1Agrb25NRIgQIAA\nAQIECBAgsE4FFEjr9MDYLAIECBAgQIAAAQIE1l5AgbT25tZIgAABAgQIECBAgMA6FVAgrdMD\nY7MIECBAgAABAgQIEFh7AQXS2ptbIwECBAgQIECAAAEC61RAgbROD4zNIkCAAAECBAgQIEBg\n7QUUSGtvbo0ECBAgQIAAAQIECKxTAQXSOj0wNosAAQIECBAgQIAAgbUX2HbtV2mNBAgQIEBg\nQwtcLVu/b3KPHvbikoxxcnJZD2MZggABAgR6EFAg9YBoCAIECBBYKYH9sre3Sv5bT3t974xz\nfE9jGYYAAQIE5hTwEbs5Ad2cAAECBFZOYKvs8QeSepNx3mzKGHVGSiNAgACBdSLgDNI6ORA2\ngwABAgQ2lMDl2dpLN9QW21gCBAgQ6CTgDFInJp0IECBAgAABAgQIEFgFAQXSKhxl+0iAAAEC\nBAgQIECAQCcBBVInJp0IECBAgAABAgQIEFgFAQXSKhxl+0iAAAECBAgQIECAQCcBBVInJp0I\nECBAgAABAgQIEFgFAb9itwpH2T4SIECAwHoV2CYb9qrk5z1s4C8yxiHJr3oYyxAECBBYWQEF\n0soeejtOgAABAutAoP5PpW8kx825LdfN7Z+bXCtRIM2J6eYECKy2gAJptY+/vSdAgACBLS/w\n6WzC3825GTfP7atA0ggQIEBgTgHfQZoT0M0JECBAgAABAgQIEFgeAQXS8hxLe0KAAAECBAgQ\nIECAwJwCPmI3J6CbEyBAgACBdSCwfbMNr8zlRT1szxkZ48gexjEEAQIENpyAAmnDHTIbTIAA\nAQIEriJwg2ZOfTLk11dZOtuMfdP9/okCaTY3vQkQWBKBVSyQrp1jV7/yc/XkguRnyS8TjQAB\nAgQIbHSBF2QHvjznTjwit3/NnGO4OQECBDaswKoUSLfLEXpG8uCkfgp1uH0rMz6ePD85d3ih\n6wQIECBAYIUEds6+7pC8oKd9/mzGObansQxDgACBhQusQoF0eBRf2Eh+N5cnJucldfaoziTt\nmuyd/FHy8ORZyVsTjQABAgQIrKLA/tnpHZMDe9j5+rjePRMFUg+YhiBAYG0Elr1AemQYqziq\nJ+bnJV9IRrWtMrOewF+WvCU5Mzkh0QgQIECAwCoKXJ6dvncPO/7BjPGA5NIexqoh3pnUmPO2\n+pj9ScnP5x0ot699+3EP49QQlzTpaTjDECCwOQJVGCxzq2Lnrkm9G9blS6v1/aTvJHUG6anJ\n5rb9csNTku06DlBfqq0n6+pfT46Lakdl4MOSi3tYQX384rKki+u01dU7lbVN8+77NhmjHOt/\nka8/7vO0q+XGlQvnGaS5bW1THeM+/nf7cq99uyiZt9VY9Ye9j/tDHcO6L8z7Iqg9hn241+Op\nxuvDvX4hrJ4v+xir78fOpmxXZZ5W+1bbVferelzP0+qNt7Lv4xj2+dipY1itr8eO578rPaf9\nW8ewHodaN4F6fu/rtVmNNe/fwnara5x5n99rrLov1GNn3ueZGqv+RvdlVeP18dxQ21Pb1cdr\no7Kq9PE3OsP8l5ckR9aENl1g2c8g3ToEJyZd76g/Td9Tkz2TedqZufGhST1IurR6QF0nmbdA\nmLauw9Ph3dM6dVy+R/qVVx8vGKugPDPp4wnzJhnnG8m8rR4bN0zOnHeg3H6nJj/sYazrZYy6\nP5/fw1h7Z4xzmvHmHe7GGeCb8w6S29djoe4PfYxVL8yun3w3mbfVx3HrRX8f31HcPePUR3wr\n87Z9MsBZSR/PHX09drbO9two+XYyb6uird64+v68A+X29XHqauddeTHXv57/uvN5/utu5fmv\nu1X13Cfx/FcS3dqXunXTaxUEPpqdPD3pWqjUH+I63f7SRCNAgAABAgQIECBAgMBSCTw2e1On\nhd+f3GXCntW7NvdMTkrqndi7JxoBAgQIECBAgAABAgSWSqAKn2cn9f8cVaFUp2I/m3woeVtz\neWIu6+Mbtbw+x//HiUaAAAECBAgQIECAAIGlFajvNFRBdHZShdBgqng6I/m7ZK9EI0CAAAEC\nBAgQIEBgRQXqDMuqtZ2zw/WF6/pFox8lfXzZPcNoBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQEeBrTr20215\nBLZbnl2xJwQIECBAgAABAmsocHnWtWkN17dFVqVA2iLsW2yln82a77LF1m7FBAgQIECAAAEC\nG13gNdmBp2/0nZi0/dtOWmjZ0gl8K3v0k+TFS7dn63uHnpzNu03yzPW9mUu3dQ/MHj0hOXTp\n9mx979CdsnkvS35nfW/m0m3d3tmjY5K635+3dHu3fneoPpVxfPKk5PREWzuBD2dVL02OW7tV\nWlME3picuewSCqRlP8K/uX8X5+q5yYm/Odu1BQvcP+Pvm3BfMPTQ8Pvn+q+5D6ks/upOWUV9\nBMP9ffHWg2toi6JTMvOHgwtML1Rgh2b003J58kLXZPBhgUsy4+uJ55phmcVevzDDl/1St62X\neu/sHAECBAgQIECAAAECBGYQUCDNgKUrAQIECBAgQIAAAQLLLaBAWu7ja+8IECBAgAABAgQI\nEJhBQIE0A5auBAgQIECAAAECBAgst4ACabmPr70jQIAAAQIECBAgQGAGAQXSDFi6EiBAgAAB\nAgQIECCw3AIKpOU+vvaOAAECBAgQIECAAIEZBBRIM2DpSoAAAQIECBAgQIDAcgsokJb7+No7\nAgQIECBAgAABAgRmENh2hr66bnyBizf+LmzIPdiUrWa/9oeO+9qb1xq5bxn39jmm/LW1E7g0\nq7osaf3Xbs3WVObu72t/P+C+9ubWuGCBXTN+RVtbgWtkdbuv7SqtLQLbJXuTWHOBrbLG/dZ8\nrVZYAjfBsEUEbrxF1mql+4RgGwxrLrBn1rj9mq/VCgkQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA\nAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQWFaBrZZ1x+zXbwhsk2t3SW6QnJqc\nkWiLF9g7qxj3GDs7yy5Z/Cas1Boemr2t+/ZXxuy1x8EYmDlnT3LfOWNfe8z4v8z8H49ZZvZo\ngR0z+1bJjZJ6DjktOT8Z1dzfR6ls3rxZ3D3vb57xuFvdPAv2T76ffCHZlIxrN8yC2yX13HJS\nc5kLbTMEurq7v28GrpusD4GbZjNOTy4fSL2A3CvRFidwvQw9aD48fbPFrXolR/7DxvtPx+y9\nx8EYmDlnT3N/dXNchu//df2tc6571W7+hOzwOcmg5c9z/VkjINzfR6Bs5qxZ3D3vbybyiJvt\nmnnvTwbv7xfm+h+N6FuzXphU8dT2rzcgn5toswnM4r7U9/dtZ3PTe4MJ1NmLNyR7Jo9PPpvc\nO3l58m9JvStT77Ro/Qvcthny47msd3mH20+HZ7i+2QIPyS3/YcKtPQ4m4MyxaJp7DV2PgwuS\no+rKUDtl6Lqr4wXul0VHJ99J/jz5QHKf5OlJPZ/X88k/J9Xc36906OPfWdxrfZ73+1C/coxj\nclH+r0/q+aM+AfPfk39MLk3qtU3bqt/hyXuSFyVXS45MXpL8KnllonUTmMXd/b2bqV7rUOBp\n2aZ6N+UpQ9v2h2PmD3VzdQ6B/9EYHzjHGG46WWC3LH5zUvfxi5rLUWeQPA6C02Pr6r511lnF\n0XE9rntVhyrDup//7hDAnZr59amAtrm/txLzX87iXmvzvD+/eY1wx6Tu75+rKwNt30xflnxm\nYF59/PHbyVlJfay0bdtlouZ/Lxmc3y53eVWBWdzr1u7vVzU0Z4MInJTtrBeOuwxtb30voN5V\nGX7yGerm6hwCb8tt64n8mnOM4aaTBer+XX9E357Ux2BqelSB5HEQmB5bV/f6DHsdk5f2uO5V\nHKoKzZOTKoJGvdD7WubXx4naZe7vweihzepeq/S83wN8htg/qTNAB40Y7puZd97A/IMzXc8z\nfzMwr538y2bZIe0MlxMFZnGvgdzfJ3JauF4F6hTzr5NTx2zgFzP/4qT6af0LnJ4h64VLvdv+\nB8mzk99Ldki0fgRenWHaP6APzvSoAsnjoB/rwVG6uFf/RyV1TB6d3C15ZnJYUoWT1o/A9hnm\n/OQbzXDu7/24Thtl2L3t73m/lVjM5e0ybH287h0Dwx+R6XqeedjAvHayPgZcy6qPtvkCo9xr\nNPf3zTd1yy0o0H557rgx2/CJzK8njj3GLDd78wV2zE3rSfyHyc+Tcm7z9UzfOdH6FRhXIHkc\n9Os8PNo49+r310nd7+s+397/67IeG3+fbJto8wkckZuX6UuaYdzf5/Pseuth97qd5/2uerP1\nq+/UPTGpsxX197Te9N0nadurM1GPgQPbGQOX92yWvW5gnsluAtPc3d+7Oeq1DgVukm2qJ43B\nd1oGN7Pm1/KbDs403YvAXTNK2dYZvOckt0zq1PVfJfVRmHOSXROtP4FxL9Q9DvozHjXSOPfq\ne2xSj4NPJwcnN2wuT8tlzX9+om2+wKG5aRWbVYDu0Azj/t5ALPBilHutzvP+YtD3yLD1fNHm\nxZke/OTLm5tlB+RyuNW8ut1bhhe4PlVgmrv7+1RCHdarQL0YqSeGd43ZwHc3y/cbs9zszReo\nd3Efndx9xBAvybw6LvUkr/UnMO6FusdBf8ajRhrnXn3r3dv/mtTHkQbb7rnys+Si5BqDC0x3\nFnhiel6c1FnqWyZtc39vJRZz+cQMO8q91uZ5vxT6b1X875XUDwi8Nqmf8q7v4+2UVDsqqb+p\nt6orQ+3WuV7L3jQ039XpAtPc3d+nG+qxTgW2zXbVjwQcN2b7js/8euKo78hoayfQvqP1obVb\n5UqsadwLdY+DxR7+ce7T1vqOdKjnnztN62j5VQQOz5yy+1Zys6Gl7u9DID1eneQ+bTWe96cJ\ndV/ePnc8vLnJkbmsx8OBI4a4V7PslSOWmTWbwLD7pFsvxf1960l7aNmGFrgkW/+jZNxHuWr+\nhUm9k6utncC5zap2XrtVrvSaPA7W5+H3OJj9uNR3Al6evDD5XPLbydeTweb+PqjRz3QX92lr\ncn+fJtR9+Ruaroc0l99vLke91mnnnd19eD3HCAy7j+l2xeyluL8rkCYd4o2/rH5hpL77cp2h\nXblurtfHMk5JLh1a5ur8As/OEP+R1K/XDbdbNDNqubY2Ah4Ha+M8uJZr5ko9v5yQjPo743Ew\nqDV9ugzfmDwreW9yr+ScZFRzfx+lsnnzZnH3vL95xqNu9ZzMrP/8+D4jFl7WzLuguaz7e7VR\nZ5DaeSdf2cW/UwRmcXd/n4Jp8foWeFg2r049P3doM/+smf+Iofmu9iNQp/7L/bSk3n1sW00f\nm9Sy32lnuuxFYNJHvTwOeiEeOcgk9y/nFnVfP3TolvXdvHqR84mh+a6OF3haFpXlu5P2/zsa\n19v9fZzM7PNncfe8P7vvuFs8KAvq/v6eER0+1Cyrn/Bu26mZ+EGyczsjl9dK6jt6X0zqo6fa\ndIFZ3N3fp3vqsY4F6t2vryZ1luhFyUHJi5vr9YdWW4xAvYD5ZFJP8Mclj09+P/loUvNen2j9\nCkx6oe5x0K/14GiT3O+bjvXc8+PkZUk9/9SbNb9IfpLcOtGmC+yWLj9N6rmjiso6gzQq7ZfW\n3d8D1EOb1d3zfg/ozRD1ZuKHk7rP19/NxyQPTdo3GN+e6cFWn9aovqck9cbvI5MvJPWR09sn\nWjeBWdzd37uZ6rWOBa6TbftIUu/Y1hNI5V+S3RNtcQLXztCvSeoJunWvF4p1ClvrX2DSC/Va\nm8dB/+Y14jT3B6RPfU+mfQzU4+Ffk30TrZtAvVPe+k26rOectrm/txKbf7k57p73N997+JY7\nZ8YrksG/ob/M9ecngz/znatXtMfm3/OS9jFS00+6Yol/ZhGYxd39fRZZfdetwDWzZXdIFEZr\ne4i2z+puleyztqu1tjECHgdjYBY8+wYZv97J3XHB6zH8bwq4v/+mx1pd87zfn/QOGeq2Sf1i\nY521mNTqDMhNkgOSq0/qaNlUgVnc3d+ncupAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBA\ngAABAgQIEFh/Alutv02yRQQIECAwILB1ph+SXJB8bGD+Rp28eTb8vskeycnJ+5NR7UGZue3Q\ngktz/fzk3OSrQ8vW89WDsnHXTD6Z1PZPavfOwl2SY5NbJXsmH0ouTjQCBAgQIECAAAECKy+w\nfQQuT85YAom7Zh9+3exP7dM/TtinKgirz7h8LcseOeH262nRa5v9eNaUjdoty6sQqmNdb2C+\nI6n9v26iESBAgMAaCQy/O7dGq7UaAgQIEFhBgWdkn7dL/iz5p+SiZFK7MAufPtChioarJ3dL\nnpC8JTkn+XSyntsbsnFPSR6bvGLChv5Bll0tqf5VGH0h2SFx9igIGgECBAgQIECAAIESWKYz\nSPURs8uSnWrHprQ6g/TTCX2ek2VVRLxrQp/1tOjfm+296YSN+nyWbUp2n9DHIgIECBBYsIAz\nSAsGNjwBAgS2gECdpXlYUt9hqVYvzj+Y1BmZ4VYF2IFJffflu0n1qzM190o+npydTGvT1rdX\nBrhPsmdSRc3Dk2p1Fmlz25tzw79N7jJigLtn3m2TmyTnJV9P3peMOmN148w/OLlBckLy4eTB\nSRUqNT3Yqrip/ajvUZ2ZHJ+cmnRpdVbo5cnjkiNG3OCAzLtDUtv5w2Z5HZd9kv+bDG57/e0+\nJKl9rDNqX0o+kPwqqVb7XQYnJV9L2lYf1XtA8p3k+GSw1Xadk3ysmVkej0jK50fJV5IPJZck\nGgECBAgQIECAAIEtJjDrGaTbZUvPSKoQ+XlyfjNd8+6cDLZb5Eqdpam+5yb1Ua4qKOq7QTXv\n/sm01mV9D80gdeaoxqzUdKUKsXFt2hmk+phdjVXFT9uulYm3J+06ap/adf5HpvdIBttrcqWW\nb0qqCKjpKka+mXw2GWx/miu/Tmq7v5dUoXBp8pfJpP3I4ivabvm3ipw6DqPa32Zmrf+BAwvf\n0cyrwqZt+2WiCp/qW8f2x830V3N566TabyW1vIqywfbUXKn53xicmem6Xc1/UTP/oFzWtta8\nGr/2u6Y/l+yZaAQIo7HDVQAACchJREFUECBAgAABAgS2mMD2WXO9OB33wnpww3bIlTpjUMXF\no5Otk3rxXmeTqliqs0E7J9XqsvrWC+A6K1Ktbv/apNZXOTiZ1GZZX43z6aTrGYhJBVLt09uS\n2sajk7a9IBM1738n10mq3TJpC40qZtr2lExU3zcl12hm3j2X309q/knNvLp4UFLzPpW0RdY1\nM/3WZv5huezSjkmnGueuQ523yfVa71lJTbet3e62QKr9riKlCrPHJXW92v2SnyRVBG6XVPtW\nUoXcYHt3rtT6K3sPLPjzZl6dwapWtz032b+upO2UlF3d7m8SjQABAgQIECBAgMAWE5ilQHp2\ntrJexP7FiK39k2bZEc2ypzXXnzrUt4qq05plBw8tG746y/rqtrMWSBflNkcO5MWZfl3y5aT2\ns4q+vZK2VWH00WTHdkZzWS/8q/87B+afk+nvJPURtcF2aK5U38ECqQrJmtcWEJm8olVhdWFS\nxU1brFyxYMw/VcjUOK8cWn7/Zn57BqddPFwgPbrp94G2w8BlOdXYVfhVK4u63hY5VXj9LPnX\nZv4Tc9m2z2Tiu82Vur9VAXZ8MrhP5fQ/k2n3iXTRCBAgQIAAAQIECCxOYJYC6ehsRr0o3m/E\n5lyvWda+uD6quX79EX1f2Cyb9mL46KZfl/XVaj6dzHIGqfZlVKowquJhn2RSq7NI90gOT2qc\nDyfVbpjU9fqI3XDbNjNqG9sCaZdMV9+vJ/VRtOF8qlne5aNnW6fvmcmPklpP247JxGXJvu2M\n5nK4QGqLnipMh7ejLZ5e39z23rms7a6+1X47qesPTqrwfFNSrYyqIBos2uo4Vd8Tk7r9LRON\nAAECBAgQIECAwLoQmKVAqhe09UL7amO2vM52nN4s+1wuLx7T78mZXy+QpxVIs6yvVjVrgVSF\nUP3QRJsDMn3tZFyrAuSw5LikPjpY+1A5r7n8SC6rHZLU/OfVlRHtrMxrC6Q7ZbodZ9LlgSPG\nGTXriGa8BzQL63tTv0o+1lwfvBgukOpHEiZtQy07rhmgCrDa73afq0is7xLV2bVPJrWP1R6f\n1O3uW1eaVsV09Rlc17dy/QVJ+xG+TGoECBBYToF6AtUIECBAYDkEfpnd2CrZIdk0tEv1wraK\nrTp7UO2CpAqp+i7NL5LBVi/au7RZ1tdlvOE+dWbjy8MzJ1x/VZY9LakX829Pqgj896Q+AveD\npG2179V2vfLiKv/W/p/dzG29/iXXX3qVnv8547T/nJw49X+y9PDkcUmd0XpUUsflqGRaa7fl\nsel4zpjOVVRWq7NgVRz9flLj/25SBW0VyR9P6gzTzZIHJj9NPpW0rc5w3Sep5Qcn90/ulRyR\n1Jmo30s0AgQIECBAgAABAltEoF7c1jv5Z3RY+2uavncd0bc+klXjvLdZ1va9x4i+/9z0rRfH\nk1o7Rpf11TiznkGqF+5dW531qP37SrLD0I3u3iyr7ydVu35SfatQGG43zoxadlKzoMa6LPl8\nc3344i6Zccdku+EFE64fm2VVpNXYxyfnJqNuP3wG6a/Tr7atiprhVh8FPCjZa2DBoZmu/g9N\n6mzhXyTV7pzU/GclP0vqeLftGpmo+8TN2xnN5W65rLNOdbs9mnkuCBAgQIAAAQIECKy5wCwF\nUr2zXy9g35fUmaTBdkyu1LLDmpm3yWW98P9EcvVmXl3cPqmzT9X34GRSm2V9Nc4iC6QqVGqb\nP1krGmjl8Oaklh03MP9dzbz7DczbJtN15qn6tgVSLa6CpuY9oK4MtAMyXR9bq7NU4z7WOND9\n/08+MlM13lOTOkv298moNlwg1dmbOmYnJLWtg63dxyqK2rZzJqow+lJS67tbUm3rpIrP7yU1\n/+FJ2+p+UfM+184YuPxMpi9Jxp15G+hqkgABAgQIECBAgMBiBNoCqc44vHdMjh5Y9bszXS9w\nP5jUx6sekrTzXpfpwcKpXphX3/oY218lr08uTM5Nan4VQNNaO3aX9S2yQNoxG1ofDavtfnFS\nxcSjkvck9VHAXyVVyLTtZpn4QVIFxD8ldZuTk3bfT8x0226eibp95YikiqrnJt9IqmC4YzJL\nq7NF9R2pOntT27t/MqoNF0jV541J3ebfktq/Osa1/TXvfclw+2hm1LL66N22Awvb41b7dI2B\n+TVZRWbdpu5vhyVVdL0pqXlVWGoECBAgQIAAAQIEtphAWyDVi9Nx+eHA1tWZjCOTKqja/vVC\nvgqgweIoV69oT8m/dWbg/OSLydOTKhbqtvXRtGltlvUtskCq7bxHckbS7ncVLx9I9mku62zN\nHknbbpiJtyXfTqq4qhf/+yV1+48lg+0WuVLbX2O045+V6cOSzWn/KzeqcU6YcONRBdLW6f+c\npC2uaow6q/TOZPdkuD0jM6pPOQy2Os6j5lef3ZK3JuVXfSpVYL0qqeOtESBAgAABAgQIENhw\nAlUM3TjZa8yW75T5wx/Tarv+QybqRXEVBV3btPV1HWfeflVA7JPcOqniclzbZcyC62Z+7fsx\nY5bvmPm3TW6UjPMbc9PeZ++dEW+T7Nz7yFcOWPeRA5KbJnV8NQIECBAgQIAAAQJLK/Dk7Fl9\nvOxJQ3tYZyHOS+ojYFVsLGursz+nJ/Vxt8FWZ9qqQPrjwZmmCRAgQIAAAQIECBBYboF9snv1\nsakqhF6aPDR5XnJqUh+tqu+3LHN7RXauCqH6js5Tk8ckRyWbkvrYW/38uUaAAAECBAgQIECA\nwAoJ/E729fNJFQqV+kW2+vW2wV9Cy9WlbHXmqL4HVD/g0O7/2Zl+S3KtRCNAgAABAgQIECBA\nYEUFds1+13dNJn1nZ1lp6kcH9kvGfVdrWffbfhEgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE\nCBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQJLJfD/AIolFYBnYQE8AAAAAElF\nTkSuQmCC", "text/plain": [ "Plot with title “Distribution of Page Views”" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "hist(log2(entity_views$page_views),xlab=\"Log of Page Views\", main=\"Distribution of Page Views\")" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "sorted_descending_entity_values_by_page_views = dplyr::arrange(entity_views, desc(page_views))" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "text/html": [ "<table>\n", "<thead><tr><th scope=col>entity_id</th><th scope=col>page_views</th></tr></thead>\n", "<tbody>\n", "\t<tr><td>Q5296 </td><td>12530369761</td></tr>\n", "\t<tr><td>P373 </td><td> 6531371917</td></tr>\n", "\t<tr><td>Q5 </td><td> 5668008721</td></tr>\n", "\t<tr><td>P18 </td><td> 5304100266</td></tr>\n", "\t<tr><td>P856 </td><td> 5143708396</td></tr>\n", "\t<tr><td>Q6581097 </td><td> 3273952711</td></tr>\n", "\t<tr><td>P570 </td><td> 3230549347</td></tr>\n", "\t<tr><td>P31 </td><td> 3153325528</td></tr>\n", "\t<tr><td>P345 </td><td> 3064724376</td></tr>\n", "\t<tr><td>P19 </td><td> 2851053904</td></tr>\n", "\t<tr><td>P1559 </td><td> 2571114971</td></tr>\n", "\t<tr><td>P166 </td><td> 2545366245</td></tr>\n", "\t<tr><td>P20 </td><td> 2497532842</td></tr>\n", "\t<tr><td>P569 </td><td> 2412197025</td></tr>\n", "\t<tr><td>P27 </td><td> 2342197161</td></tr>\n", "\t<tr><td>Q30 </td><td> 2277746226</td></tr>\n", "\t<tr><td>P106 </td><td> 2267267026</td></tr>\n", "\t<tr><td>Q36578 </td><td> 2229315598</td></tr>\n", "\t<tr><td>P136 </td><td> 2176414324</td></tr>\n", "\t<tr><td>P1477 </td><td> 2155338581</td></tr>\n", "\t<tr><td>Q54919 </td><td> 2148531382</td></tr>\n", "\t<tr><td>Q37312 </td><td> 2142913121</td></tr>\n", "\t<tr><td>Q423048 </td><td> 2136131564</td></tr>\n", "\t<tr><td>Q193563 </td><td> 2130725560</td></tr>\n", "\t<tr><td>Q2597810 </td><td> 2128920607</td></tr>\n", "</tbody>\n", "</table>\n" ], "text/latex": [ "\\begin{tabular}{r|ll}\n", " entity\\_id & page\\_views\\\\\n", "\\hline\n", "\t Q5296 & 12530369761\\\\\n", "\t P373 & 6531371917\\\\\n", "\t Q5 & 5668008721\\\\\n", "\t P18 & 5304100266\\\\\n", "\t P856 & 5143708396\\\\\n", "\t Q6581097 & 3273952711\\\\\n", "\t P570 & 3230549347\\\\\n", "\t P31 & 3153325528\\\\\n", "\t P345 & 3064724376\\\\\n", "\t P19 & 2851053904\\\\\n", "\t P1559 & 2571114971\\\\\n", "\t P166 & 2545366245\\\\\n", "\t P20 & 2497532842\\\\\n", "\t P569 & 2412197025\\\\\n", "\t P27 & 2342197161\\\\\n", "\t Q30 & 2277746226\\\\\n", "\t P106 & 2267267026\\\\\n", "\t Q36578 & 2229315598\\\\\n", "\t P136 & 2176414324\\\\\n", "\t P1477 & 2155338581\\\\\n", "\t Q54919 & 2148531382\\\\\n", "\t Q37312 & 2142913121\\\\\n", "\t Q423048 & 2136131564\\\\\n", "\t Q193563 & 2130725560\\\\\n", "\t Q2597810 & 2128920607\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "entity_id | page_views | \n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| Q5296 | 12530369761 | \n", "| P373 | 6531371917 | \n", "| Q5 | 5668008721 | \n", "| P18 | 5304100266 | \n", "| P856 | 5143708396 | \n", "| Q6581097 | 3273952711 | \n", "| P570 | 3230549347 | \n", "| P31 | 3153325528 | \n", "| P345 | 3064724376 | \n", "| P19 | 2851053904 | \n", "| P1559 | 2571114971 | \n", "| P166 | 2545366245 | \n", "| P20 | 2497532842 | \n", "| P569 | 2412197025 | \n", "| P27 | 2342197161 | \n", "| Q30 | 2277746226 | \n", "| P106 | 2267267026 | \n", "| Q36578 | 2229315598 | \n", "| P136 | 2176414324 | \n", "| P1477 | 2155338581 | \n", "| Q54919 | 2148531382 | \n", "| Q37312 | 2142913121 | \n", "| Q423048 | 2136131564 | \n", "| Q193563 | 2130725560 | \n", "| Q2597810 | 2128920607 | \n", "\n", "\n" ], "text/plain": [ " entity_id page_views \n", "1 Q5296 12530369761\n", "2 P373 6531371917\n", "3 Q5 5668008721\n", "4 P18 5304100266\n", "5 P856 5143708396\n", "6 Q6581097 3273952711\n", "7 P570 3230549347\n", "8 P31 3153325528\n", "9 P345 3064724376\n", "10 P19 2851053904\n", "11 P1559 2571114971\n", "12 P166 2545366245\n", "13 P20 2497532842\n", "14 P569 2412197025\n", "15 P27 2342197161\n", "16 Q30 2277746226\n", "17 P106 2267267026\n", "18 Q36578 2229315598\n", "19 P136 2176414324\n", "20 P1477 2155338581\n", "21 Q54919 2148531382\n", "22 Q37312 2142913121\n", "23 Q423048 2136131564\n", "24 Q193563 2130725560\n", "25 Q2597810 2128920607" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(sorted_descending_entity_values_by_page_views, 25)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "sorted_ascending_entity_values_by_page_views = dplyr::arrange(entity_views, page_views)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<table>\n", "<thead><tr><th scope=col>entity_id</th><th scope=col>page_views</th></tr></thead>\n", "<tbody>\n", "\t<tr><td>Q21925466</td><td>0 </td></tr>\n", "\t<tr><td>Q24915980</td><td>0 </td></tr>\n", "\t<tr><td>Q20124533</td><td>0 </td></tr>\n", "\t<tr><td>Q26037902</td><td>0 </td></tr>\n", "\t<tr><td>Q17706894</td><td>0 </td></tr>\n", "\t<tr><td>Q26933104</td><td>0 </td></tr>\n", "\t<tr><td>Q24850595</td><td>0 </td></tr>\n", "\t<tr><td>Q20286478</td><td>0 </td></tr>\n", "\t<tr><td>Q25562429</td><td>0 </td></tr>\n", "\t<tr><td>Q22218454</td><td>0 </td></tr>\n", "\t<tr><td>Q25842977</td><td>0 </td></tr>\n", "\t<tr><td>Q25839019</td><td>0 </td></tr>\n", "\t<tr><td>Q23938244</td><td>0 </td></tr>\n", "\t<tr><td>Q29556556</td><td>0 </td></tr>\n", "\t<tr><td>Q24575047</td><td>0 </td></tr>\n", "\t<tr><td>Q14561742</td><td>0 </td></tr>\n", "\t<tr><td>Q26754197</td><td>0 </td></tr>\n", "\t<tr><td>Q14952870</td><td>0 </td></tr>\n", "\t<tr><td>Q14998207</td><td>0 </td></tr>\n", "\t<tr><td>Q24232641</td><td>0 </td></tr>\n", "\t<tr><td>Q17790770</td><td>0 </td></tr>\n", "\t<tr><td>Q27900014</td><td>0 </td></tr>\n", "\t<tr><td>Q12267516</td><td>0 </td></tr>\n", "\t<tr><td>Q15018106</td><td>0 </td></tr>\n", "\t<tr><td>Q22183288</td><td>0 </td></tr>\n", "</tbody>\n", "</table>\n" ], "text/latex": [ "\\begin{tabular}{r|ll}\n", " entity\\_id & page\\_views\\\\\n", "\\hline\n", "\t Q21925466 & 0 \\\\\n", "\t Q24915980 & 0 \\\\\n", "\t Q20124533 & 0 \\\\\n", "\t Q26037902 & 0 \\\\\n", "\t Q17706894 & 0 \\\\\n", "\t Q26933104 & 0 \\\\\n", "\t Q24850595 & 0 \\\\\n", "\t Q20286478 & 0 \\\\\n", "\t Q25562429 & 0 \\\\\n", "\t Q22218454 & 0 \\\\\n", "\t Q25842977 & 0 \\\\\n", "\t Q25839019 & 0 \\\\\n", "\t Q23938244 & 0 \\\\\n", "\t Q29556556 & 0 \\\\\n", "\t Q24575047 & 0 \\\\\n", "\t Q14561742 & 0 \\\\\n", "\t Q26754197 & 0 \\\\\n", "\t Q14952870 & 0 \\\\\n", "\t Q14998207 & 0 \\\\\n", "\t Q24232641 & 0 \\\\\n", "\t Q17790770 & 0 \\\\\n", "\t Q27900014 & 0 \\\\\n", "\t Q12267516 & 0 \\\\\n", "\t Q15018106 & 0 \\\\\n", "\t Q22183288 & 0 \\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "entity_id | page_views | \n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| Q21925466 | 0 | \n", "| Q24915980 | 0 | \n", "| Q20124533 | 0 | \n", "| Q26037902 | 0 | \n", "| Q17706894 | 0 | \n", "| Q26933104 | 0 | \n", "| Q24850595 | 0 | \n", "| Q20286478 | 0 | \n", "| Q25562429 | 0 | \n", "| Q22218454 | 0 | \n", "| Q25842977 | 0 | \n", "| Q25839019 | 0 | \n", "| Q23938244 | 0 | \n", "| Q29556556 | 0 | \n", "| Q24575047 | 0 | \n", "| Q14561742 | 0 | \n", "| Q26754197 | 0 | \n", "| Q14952870 | 0 | \n", "| Q14998207 | 0 | \n", "| Q24232641 | 0 | \n", "| Q17790770 | 0 | \n", "| Q27900014 | 0 | \n", "| Q12267516 | 0 | \n", "| Q15018106 | 0 | \n", "| Q22183288 | 0 | \n", "\n", "\n" ], "text/plain": [ " entity_id page_views\n", "1 Q21925466 0 \n", "2 Q24915980 0 \n", "3 Q20124533 0 \n", "4 Q26037902 0 \n", "5 Q17706894 0 \n", "6 Q26933104 0 \n", "7 Q24850595 0 \n", "8 Q20286478 0 \n", "9 Q25562429 0 \n", "10 Q22218454 0 \n", "11 Q25842977 0 \n", "12 Q25839019 0 \n", "13 Q23938244 0 \n", "14 Q29556556 0 \n", "15 Q24575047 0 \n", "16 Q14561742 0 \n", "17 Q26754197 0 \n", "18 Q14952870 0 \n", "19 Q14998207 0 \n", "20 Q24232641 0 \n", "21 Q17790770 0 \n", "22 Q27900014 0 \n", "23 Q12267516 0 \n", "24 Q15018106 0 \n", "25 Q22183288 0 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(sorted_ascending_entity_values_by_page_views, 25)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Entities that do not have page views" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "entities_with_no_page_views <- subset(entity_views, page_views == 0)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "1037758" ], "text/latex": [ "1037758" ], "text/markdown": [ "1037758" ], "text/plain": [ "[1] 1037758" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nrow(entities_with_no_page_views)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Entities with no page views over all page views" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "0.0466407649682668" ], "text/latex": [ "0.0466407649682668" ], "text/markdown": [ "0.0466407649682668" ], "text/plain": [ "[1] 0.04664076" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nrow(entities_with_no_page_views)/nrow(entity_views)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Entities that have less than 100 page views" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "entities_with_less_than_100_page_views <- subset(entity_views, page_views <= 100)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "10385721" ], "text/latex": [ "10385721" ], "text/markdown": [ "10385721" ], "text/plain": [ "[1] 10385721" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nrow(entities_with_less_than_100_page_views)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Entities with less than 100 page views over all page views" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "0.466773536977785" ], "text/latex": [ "0.466773536977785" ], "text/markdown": [ "0.466773536977785" ], "text/plain": [ "[1] 0.4667735" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "nrow(entities_with_less_than_100_page_views)/nrow(entity_views)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Male versus Female Bias" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### \"Male\" Item Usage " ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true }, "outputs": [], "source": [ "male_item_pages <- filter(sorted_descending_entity_values_by_page_views, entity_id==\"Q6581097\")" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<table>\n", "<thead><tr><th scope=col>entity_id</th><th scope=col>page_views</th></tr></thead>\n", "<tbody>\n", "\t<tr><td>Q6581097 </td><td>3273952711</td></tr>\n", "</tbody>\n", "</table>\n" ], "text/latex": [ "\\begin{tabular}{r|ll}\n", " entity\\_id & page\\_views\\\\\n", "\\hline\n", "\t Q6581097 & 3273952711\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "entity_id | page_views | \n", "|---|\n", "| Q6581097 | 3273952711 | \n", "\n", "\n" ], "text/plain": [ " entity_id page_views\n", "1 Q6581097 3273952711" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(male_item_pages)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### \"Female\" Item Usage" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true }, "outputs": [], "source": [ "female_item_pages <-filter(sorted_descending_entity_values_by_page_views, entity_id==\"Q6581072\")" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<table>\n", "<thead><tr><th scope=col>entity_id</th><th scope=col>page_views</th></tr></thead>\n", "<tbody>\n", "\t<tr><td>Q6581072 </td><td>1027466361</td></tr>\n", "</tbody>\n", "</table>\n" ], "text/latex": [ "\\begin{tabular}{r|ll}\n", " entity\\_id & page\\_views\\\\\n", "\\hline\n", "\t Q6581072 & 1027466361\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "entity_id | page_views | \n", "|---|\n", "| Q6581072 | 1027466361 | \n", "\n", "\n" ], "text/plain": [ " entity_id page_views\n", "1 Q6581072 1027466361" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(female_item_pages)" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [], "text/latex": [], "text/markdown": [], "text/plain": [ "numeric(0)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "female_item_pages$n/male_item_pages$n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "R [r]", "language": "R", "name": "R [r]" }, "language_info": { "codemirror_mode": "r", "file_extension": ".r", "mimetype": "text/x-r-source", "name": "R", "pygments_lexer": "r", "version": "3.3.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
mkhuthir/learnPython
jupyter/Basics/Fibonacci_Sequence.ipynb
1
2308
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Recursive Fibonacci sequence!" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "def fibo(n): \n", " if n == 0:\n", " return 0\n", " elif n == 1:\n", " return 1\n", " return fibo(n-1) + fibo(n-2)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fibo(5)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "55" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fibo(10)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fibo(0)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "1" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fibo(1)\n" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "3" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fibo(4)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.4" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
saashimi/code_guild
interactive-coding-challenges/stacks_queues/sort_stack/sort_stack_challenge.ipynb
2
5023
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<small><i>This notebook was prepared by [Donne Martin](http://donnemartin.com). Source and license info is on [GitHub](https://github.com/donnemartin/interactive-coding-challenges).</i></small>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Challenge Notebook" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Problem: Sort a stack. You can use another stack as a buffer.\n", "\n", "* [Constraints](#Constraints)\n", "* [Test Cases](#Test-Cases)\n", "* [Algorithm](#Algorithm)\n", "* [Code](#Code)\n", "* [Unit Test](#Unit-Test)\n", "* [Solution Notebook](#Solution-Notebook)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Constraints\n", "\n", "* When sorted, should the largest element be at the top or bottom?\n", " * Top\n", "* Can you have duplicate values like 5, 5?\n", " * Yes\n", "* Can we assume we already have a stack class that can be used for this problem?\n", " * Yes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Test Cases\n", "\n", "* Empty stack -> None\n", "* One element stack\n", "* Two or more element stack (general case)\n", "* Already sorted stack" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Algorithm\n", "\n", "Refer to the [Solution Notebook](http://nbviewer.ipython.org/github/donnemartin/interactive-coding-challenges/blob/master/stacks_queues/sort_stack/sort_stack_solution.ipynb). If you are stuck and need a hint, the solution notebook's algorithm discussion might be a good place to start." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Code" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%run ../stack/stack.py\n", "%load ../stack/stack.py" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class MyStack(Stack):\n", "\n", " def sort(self):\n", " # TODO: Implement me\n", " pass" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Unit Test\n", "\n", "\n", "\n", "**The following unit test is expected to fail until you solve the challenge.**" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# %load test_sort_stack.py\n", "from random import randint\n", "from nose.tools import assert_equal\n", "\n", "\n", "class TestSortStack(object):\n", "\n", " def get_sorted_stack(self, numbers):\n", " stack = MyStack()\n", " for x in numbers:\n", " stack.push(x)\n", " sorted_stack = stack.sort()\n", " return sorted_stack\n", "\n", " def test_sort_stack(self):\n", " print('Test: Empty stack')\n", " sorted_stack = self.get_sorted_stack([])\n", " assert_equal(sorted_stack.pop(), None)\n", "\n", " print('Test: One element stack')\n", " sorted_stack = self.get_sorted_stack([1])\n", " assert_equal(sorted_stack.pop(), 1)\n", "\n", " print('Test: Two or more element stack (general case)')\n", " num_items = 10\n", " numbers = [randint(0, 10) for x in range(num_items)]\n", " sorted_stack = self.get_sorted_stack(numbers)\n", " sorted_numbers = []\n", " for _ in range(num_items):\n", " sorted_numbers.append(sorted_stack.pop())\n", " assert_equal(sorted_numbers, sorted(numbers, reverse=True))\n", "\n", " print('Success: test_sort_stack')\n", "\n", "\n", "def main():\n", " test = TestSortStack()\n", " test.test_sort_stack()\n", "\n", "\n", "if __name__ == '__main__':\n", " main()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Solution Notebook\n", "\n", "Review the [Solution Notebook](http://nbviewer.ipython.org/github/donnemartin/interactive-coding-challenges/blob/master/stacks_queues/sort_stack/sort_stack_solution.ipynb) for a discussion on algorithms and code solutions." ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
gastonstat/stat259
tutorials/cyclones.ipynb
1
12175
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "### Read in data" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "myfile = open('cyclone.dat', 'r')\n", "content = myfile.readlines()\n", "season = []\n", "count = []\n", "for line in content:\n", " aux = line.strip()\n", " values = aux.split()\n", " season.append(values[1])\n", " count.append(int(values[2]))\n", "\n", "myfile.close()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['1', '2', '3', '4', '1', '2', '3', '4', '1', '2', '3', '4']\n" ] } ], "source": [ "print season" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[370, 452, 273, 422, 526, 624, 513, 1059, 980, 1200, 995, 1751]\n" ] } ], "source": [ "print count" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Convert numeric seasons into named seasons" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def naming_seasons(season):\n", " \"\"\"Creates a latex table stored in a python list\n", " \n", " Parameteres\n", " -----------\n", " season: list with numeric seasons\n", " \n", " Returns\n", " -------\n", " season_str: list with named seasons\n", " \"\"\"\n", " season_str = []\n", " for s in season:\n", " if s == '1':\n", " season_str.append('Fall')\n", " elif s == '2':\n", " season_str.append('Winter')\n", " elif s == '3':\n", " season_str.append('Spring')\n", " else:\n", " season_str.append('Summer')\n", " return season_str" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['Fall', 'Winter', 'Spring', 'Summer', 'Fall', 'Winter', 'Spring', 'Summer', 'Fall', 'Winter', 'Spring', 'Summer']\n" ] } ], "source": [ "season_name = naming_seasons(season)\n", "print season_name" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# test for function naming_seasons()\n", "def test_naming_seasons():\n", " # We make a fake dictionary\n", " input_val = ['2', '3', '1', '4', '3', '2']\n", " expected_result = ['Winter', 'Spring', 'Fall', 'Summer', 'Spring', 'Winter']\n", " # run function\n", " res = naming_seasons(input_val)\n", " assert res == expected_result" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "test_naming_seasons()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Count total cyclones per season" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def counting_cyclones_per_season(season_lst, count_lst):\n", " \"\"\"Creates a latex table stored in a python list\n", " \n", " Parameteres\n", " -----------\n", " season_lst: list with named seasons\n", " count_lst: list with cyclone counts\n", " \n", " Returns\n", " -------\n", " total_cyclones: dictionary with seasons as keys, and totals as values\n", " \"\"\"\n", " totals = [0, 0, 0, 0]\n", " for i in range(0, len(season_lst)):\n", " if season_lst[i] == 'Fall':\n", " totals[0] = totals[0] + count_lst[i]\n", " elif season_lst[i] == 'Winter':\n", " totals[1] = totals[1] + count_lst[i]\n", " elif season_lst[i] == 'Spring':\n", " totals[2] = totals[2] + count_lst[i]\n", " else:\n", " totals[3] = totals[3] + count_lst[i]\n", " total_cyclones = dict(zip(['Fall', 'Winter', 'Spring', 'Summer'], totals))\n", " return total_cyclones" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{'Spring': 1781, 'Summer': 3232, 'Winter': 2276, 'Fall': 1876}\n" ] } ], "source": [ "total_cyclones = counting_cyclones_per_season(season_name, count)\n", "print total_cyclones" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# test for function cyclones_per_season()\n", "def test_counting_cyclones_per_season():\n", " # We make a fake dictionary\n", " input_season = ['Winter', 'Spring', 'Fall', 'Summer', 'Spring', 'Winter']\n", " input_count = [10, 7, 10, 5, 13, 5]\n", " expected_result = {'Spring': 20, 'Summer': 5, 'Winter': 15, 'Fall': 10}\n", " # run function\n", " res = counting_cyclones_per_season(input_season, input_count)\n", " assert res == expected_result" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "test_counting_cyclones_per_season()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### LaTeX table" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def make_cyclones_table(x):\n", " \"\"\"Creates a latex table stored in a python list\n", " \n", " Parameteres\n", " -----------\n", " x: dictionary\n", " \n", " Returns\n", " -------\n", " latex_tbl: latex table in a list\n", " \"\"\"\n", " latex_tbl = []\n", " latex_tbl.append(\"\\\\begin{center}\\n\")\n", " latex_tbl.append(\" \\\\begin{tabular}{ c c }\\n\")\n", " latex_tbl.append(\" \\\\hline\\n\")\n", " latex_tbl.append(\" Season & Count \\\\\\\\\\n\")\n", " \n", " # add data\n", " for key, value in x.items():\n", " latex_tbl.append(\" %s & %s \\\\\\\\\\n\" % (key, str(value)))\n", " # close table\n", " latex_tbl.append(\" \\\\hline\\n\")\n", " latex_tbl.append(\" \\\\end{tabular}\\n\")\n", " latex_tbl.append(\"\\\\end{center}\\n\")\n", " return latex_tbl" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['\\\\begin{center}\\n',\n", " ' \\\\begin{tabular}{ c c }\\n',\n", " ' \\\\hline\\n',\n", " ' Season & Count \\\\\\\\\\n',\n", " ' Spring & 1781 \\\\\\\\\\n',\n", " ' Summer & 3232 \\\\\\\\\\n',\n", " ' Winter & 2276 \\\\\\\\\\n',\n", " ' Fall & 1876 \\\\\\\\\\n',\n", " ' \\\\hline\\n',\n", " ' \\\\end{tabular}\\n',\n", " '\\\\end{center}\\n']" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "make_cyclones_table(total_cyclones)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# create latex table\n", "latex_table = make_cyclones_table(total_cyclones)\n", "\n", "# write table to a .tex file\n", "tex_file = open('cyclones_table.tex', 'w')\n", "for elem in latex_table:\n", " tex_file.write(elem)\n", "tex_file.close()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "---\n", "\n", "# Numpy Arrays" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# this won't work\n", "np.load('cyclone.dat')" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 1 1 370]\n", " [ 1 2 452]\n", " [ 1 3 273]\n", " [ 1 4 422]\n", " [ 2 1 526]\n", " [ 2 2 624]\n", " [ 2 3 513]\n", " [ 2 4 1059]\n", " [ 3 1 980]\n", " [ 3 2 1200]\n", " [ 3 3 995]\n", " [ 3 4 1751]]\n" ] } ], "source": [ "# this works\n", "dat = np.loadtxt('cyclone.dat', dtype = 'int')\n", "print dat" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(12, 3)" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# dimensions\n", "dat.shape" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 1, 1, 370])" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# first row\n", "dat[0]" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "273" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# some cells\n", "dat[2,2]" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# selecting seasons and cyclones\n", "seasons = dat[:,1]\n", "cyclones = dat[:,2]" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([370, 526, 980])" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# cyclones of season 1\n", "cyclones[(seasons == 1)]" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[ 1876. 2276. 1781. 3232.]\n" ] } ], "source": [ "totals = np.zeros(4)\n", "for s in range(4):\n", " totals[s] = sum(cyclones[(seasons == s + 1)])\n", " \n", "print totals" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "---" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
luwei0917/awsemmd_script
notebook/Optimization/structure_prediction_analysis_old_set.ipynb
1
1193793
null
mit
rhiever/scipy_2015_sklearn_tutorial
notebooks/03.2 Methods - Unsupervised Preprocessing.ipynb
19
6337
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Example from Image Processing" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Using PCA to extract features" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we'll take a look at unsupervised learning on a facial recognition example.\n", "This uses a dataset available within scikit-learn consisting of a\n", "subset of the [Labeled Faces in the Wild](http://vis-www.cs.umass.edu/lfw/)\n", "data. Note that this is a relatively large download (~200MB) so it may\n", "take a while to execute." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(1288, 1850)" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from sklearn import datasets\n", "lfw_people = datasets.fetch_lfw_people(min_faces_per_person=70, resize=0.4,\n", " data_home='datasets')\n", "lfw_people.data.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's visualize these faces to see what we're working with:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fig = plt.figure(figsize=(8, 6))\n", "# plot several images\n", "for i in range(15):\n", " ax = fig.add_subplot(3, 5, i + 1, xticks=[], yticks=[])\n", " ax.imshow(lfw_people.images[i], cmap=plt.cm.bone)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We'll do a typical train-test split on the images before performing unsupervised learning:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn.cross_validation import train_test_split\n", "X_train, X_test, y_train, y_test = train_test_split(lfw_people.data, lfw_people.target, random_state=0)\n", "\n", "print(X_train.shape, X_test.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Feature Reduction Using Principal Component Analysis" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can use PCA to reduce the original 1850 features of the face images to a manageable\n", "size, while maintaining most of the information in the dataset. Here it is useful to use a variant\n", "of PCA called ``RandomizedPCA``, which is an approximation of PCA that can be much faster for large\n", "datasets." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn import decomposition\n", "pca = decomposition.RandomizedPCA(n_components=150, whiten=True)\n", "pca.fit(X_train)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "One interesting part of PCA is that it computes the \"mean\" face, which can be\n", "interesting to examine:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.imshow(pca.mean_.reshape((50, 37)), cmap=plt.cm.bone)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The principal components measure deviations about this mean along orthogonal axes.\n", "It is also interesting to visualize these principal components:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(pca.components_.shape)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fig = plt.figure(figsize=(16, 6))\n", "for i in range(30):\n", " ax = fig.add_subplot(3, 10, i + 1, xticks=[], yticks=[])\n", " ax.imshow(pca.components_[i].reshape((50, 37)), cmap=plt.cm.bone)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The components (\"eigenfaces\") are ordered by their importance from top-left to bottom-right.\n", "We see that the first few components seem to primarily take care of lighting\n", "conditions; the remaining components pull out certain identifying features:\n", "the nose, eyes, eyebrows, etc." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "With this projection computed, we can now project our original training\n", "and test data onto the PCA basis:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "X_train_pca = pca.transform(X_train)\n", "X_test_pca = pca.transform(X_test)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(X_train_pca.shape)\n", "print(X_test_pca.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "These projected components correspond to factors in a linear combination of\n", "component images such that the combination approaches the original face." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
cc0-1.0
mne-tools/mne-tools.github.io
0.18/_downloads/a35e576fa66929a73782579dc334f91a/plot_time_frequency_mixed_norm_inverse.ipynb
1
8096
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n# Compute MxNE with time-frequency sparse prior\n\n\nThe TF-MxNE solver is a distributed inverse method (like dSPM or sLORETA)\nthat promotes focal (sparse) sources (such as dipole fitting techniques)\n[1]_ [2]_. The benefit of this approach is that:\n\n - it is spatio-temporal without assuming stationarity (sources properties\n can vary over time)\n - activations are localized in space, time and frequency in one step.\n - with a built-in filtering process based on a short time Fourier\n transform (STFT), data does not need to be low passed (just high pass\n to make the signals zero mean).\n - the solver solves a convex optimization problem, hence cannot be\n trapped in local minima.\n\nReferences\n----------\n.. [1] A. Gramfort, D. Strohmeier, J. Haueisen, M. Hamalainen, M. Kowalski\n \"Time-Frequency Mixed-Norm Estimates: Sparse M/EEG imaging with\n non-stationary source activations\",\n Neuroimage, Volume 70, pp. 410-422, 15 April 2013.\n DOI: 10.1016/j.neuroimage.2012.12.051\n\n.. [2] A. Gramfort, D. Strohmeier, J. Haueisen, M. Hamalainen, M. Kowalski\n \"Functional Brain Imaging with M/EEG Using Structured Sparsity in\n Time-Frequency Dictionaries\",\n Proceedings Information Processing in Medical Imaging\n Lecture Notes in Computer Science, Volume 6801/2011, pp. 600-611, 2011.\n DOI: 10.1007/978-3-642-22092-0_49\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Author: Alexandre Gramfort <[email protected]>\n# Daniel Strohmeier <[email protected]>\n#\n# License: BSD (3-clause)\n\nimport numpy as np\n\nimport mne\nfrom mne.datasets import sample\nfrom mne.minimum_norm import make_inverse_operator, apply_inverse\nfrom mne.inverse_sparse import tf_mixed_norm, make_stc_from_dipoles\nfrom mne.viz import (plot_sparse_source_estimates,\n plot_dipole_locations, plot_dipole_amplitudes)\n\nprint(__doc__)\n\ndata_path = sample.data_path()\nsubjects_dir = data_path + '/subjects'\nfwd_fname = data_path + '/MEG/sample/sample_audvis-meg-eeg-oct-6-fwd.fif'\nave_fname = data_path + '/MEG/sample/sample_audvis-no-filter-ave.fif'\ncov_fname = data_path + '/MEG/sample/sample_audvis-shrunk-cov.fif'\n\n# Read noise covariance matrix\ncov = mne.read_cov(cov_fname)\n\n# Handling average file\ncondition = 'Left visual'\nevoked = mne.read_evokeds(ave_fname, condition=condition, baseline=(None, 0))\nevoked = mne.pick_channels_evoked(evoked)\n# We make the window slightly larger than what you'll eventually be interested\n# in ([-0.05, 0.3]) to avoid edge effects.\nevoked.crop(tmin=-0.1, tmax=0.4)\n\n# Handling forward solution\nforward = mne.read_forward_solution(fwd_fname)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run solver\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# alpha parameter is between 0 and 100 (100 gives 0 active source)\nalpha = 40. # general regularization parameter\n# l1_ratio parameter between 0 and 1 promotes temporal smoothness\n# (0 means no temporal regularization)\nl1_ratio = 0.03 # temporal regularization parameter\n\nloose, depth = 0.2, 0.9 # loose orientation & depth weighting\n\n# Compute dSPM solution to be used as weights in MxNE\ninverse_operator = make_inverse_operator(evoked.info, forward, cov,\n loose=loose, depth=depth)\nstc_dspm = apply_inverse(evoked, inverse_operator, lambda2=1. / 9.,\n method='dSPM')\n\n# Compute TF-MxNE inverse solution with dipole output\ndipoles, residual = tf_mixed_norm(\n evoked, forward, cov, alpha=alpha, l1_ratio=l1_ratio, loose=loose,\n depth=depth, maxit=200, tol=1e-6, weights=stc_dspm, weights_min=8.,\n debias=True, wsize=16, tstep=4, window=0.05, return_as_dipoles=True,\n return_residual=True)\n\n# Crop to remove edges\nfor dip in dipoles:\n dip.crop(tmin=-0.05, tmax=0.3)\nevoked.crop(tmin=-0.05, tmax=0.3)\nresidual.crop(tmin=-0.05, tmax=0.3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Plot dipole activations\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plot_dipole_amplitudes(dipoles)\n\n# Plot dipole location of the strongest dipole with MRI slices\nidx = np.argmax([np.max(np.abs(dip.amplitude)) for dip in dipoles])\nplot_dipole_locations(dipoles[idx], forward['mri_head_t'], 'sample',\n subjects_dir=subjects_dir, mode='orthoview',\n idx='amplitude')\n\n# # Plot dipole locations of all dipoles with MRI slices\n# for dip in dipoles:\n# plot_dipole_locations(dip, forward['mri_head_t'], 'sample',\n# subjects_dir=subjects_dir, mode='orthoview',\n# idx='amplitude')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Show the evoked response and the residual for gradiometers\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ylim = dict(grad=[-120, 120])\nevoked.pick_types(meg='grad', exclude='bads')\nevoked.plot(titles=dict(grad='Evoked Response: Gradiometers'), ylim=ylim,\n proj=True, time_unit='s')\n\nresidual.pick_types(meg='grad', exclude='bads')\nresidual.plot(titles=dict(grad='Residuals: Gradiometers'), ylim=ylim,\n proj=True, time_unit='s')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Generate stc from dipoles\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "stc = make_stc_from_dipoles(dipoles, forward['src'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "View in 2D and 3D (\"glass\" brain like 3D plot)\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plot_sparse_source_estimates(forward['src'], stc, bgcolor=(1, 1, 1),\n opacity=0.1, fig_name=\"TF-MxNE (cond %s)\"\n % condition, modes=['sphere'], scale_factors=[1.])\n\ntime_label = 'TF-MxNE time=%0.2f ms'\nclim = dict(kind='value', lims=[10e-9, 15e-9, 20e-9])\nbrain = stc.plot('sample', 'inflated', 'rh', views='medial',\n clim=clim, time_label=time_label, smoothing_steps=5,\n subjects_dir=subjects_dir, initial_time=150, time_unit='ms')\nbrain.add_label(\"V1\", color=\"yellow\", scalar_thresh=.5, borders=True)\nbrain.add_label(\"V2\", color=\"red\", scalar_thresh=.5, borders=True)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
phanrahan/magmathon
notebooks/tutorial/icestick/TFF.ipynb
1
4961
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Toggle Flip-Flop\n", "\n", "In this example we create a toggle flip-flop (TFF) from a d-flip-flop (DFF) and an xor gate. In `Magma`, finite state machines can be constructed by composing combinational logic with register primitives, such as a `DFF` or `Register`." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import magma as m\n", "m.set_mantle_target(\"ice40\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As before, we can use a native Python function to organize the definition of our TFF into a reusable component." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "import lattice ice40\n", "import lattice mantle40\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "magma:ERROR:Input port SB_DFF_inst0.C not driven\n" ] } ], "source": [ "from mantle import DFF\n", "\n", "class TFF(m.Circuit):\n", " IO = ['O', m.Out(m.Bit)] + m.ClockInterface()\n", " @classmethod\n", " def definition(io):\n", " # instance a dff to hold the state of the toggle flip-flop - this needs to be done first\n", " dff = DFF()\n", " # compute the next state as the not of the old state ff.O\n", " io.O <= dff(~dff.O)\n", " \n", "def tff():\n", " return TFF()()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Then we simply call this function inside our definition of the IceStick `main`." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "from loam.boards.icestick import IceStick\n", "\n", "icestick = IceStick()\n", "icestick.Clock.on()\n", "icestick.J3[0].rename('J3').output().on()\n", "\n", "main = icestick.DefineMain()\n", "main.J3 <= tff()\n", "m.EndDefine()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We'll compile and build our program using the standard flow." ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "m.compile(\"build/tff\", main)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/Users/hanrahan/git/magmathon/notebooks/tutorial/icestick/build\n" ] } ], "source": [ "%%bash\n", "cd build\n", "yosys -q -p 'synth_ice40 -top main -blif tff.blif' tff.v\n", "arachne-pnr -q -d 1k -o tff.txt -p tff.pcf tff.blif \n", "icepack tff.txt tff.bin\n", "#iceprog tff.bin" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's inspect the generated verilog." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "module TFF (output O, input CLK);\r\n", "wire SB_DFF_inst0_Q;\r\n", "wire SB_LUT4_inst0_O;\r\n", "SB_DFF SB_DFF_inst0 (.C(CLK), .D(SB_LUT4_inst0_O), .Q(SB_DFF_inst0_Q));\r\n", "SB_LUT4 #(.LUT_INIT(16'h5555)) SB_LUT4_inst0 (.I0(SB_DFF_inst0_Q), .I1(1'b0), .I2(1'b0), .I3(1'b0), .O(SB_LUT4_inst0_O));\r\n", "assign O = SB_DFF_inst0_Q;\r\n", "endmodule\r\n", "\r\n", "module main (output J3, input CLKIN);\r\n", "wire TFF_inst0_O;\r\n", "TFF TFF_inst0 (.O(TFF_inst0_O), .CLK(CLKIN));\r\n", "assign J3 = TFF_inst0_O;\r\n", "endmodule\r\n", "\r\n" ] } ], "source": [ "%cat build/tff.v" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can verify our implementation is function correctly by using a logic analyzer." ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "set_io J3 62\r\n", "set_io CLKIN 21\r\n" ] } ], "source": [ "%cat build/tff.pcf" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "![](images/tff.png)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
chaitra8/ml_lab_ecsc_306
labwork/lab2/sci-learn/non_linear_regression.ipynb
19
3403
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "execution_count": null, "cell_type": "code", "source": [ "%matplotlib inline" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "\n===================================================================\nSupport Vector Regression (SVR) using linear and non-linear kernels\n===================================================================\n\nToy example of 1D regression using linear, polynomial and RBF kernels.\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "print(__doc__)\n\nimport numpy as np\nfrom sklearn.svm import SVR\nimport matplotlib.pyplot as plt" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Generate sample data\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "X = np.sort(5 * np.random.rand(40, 1), axis=0)\ny = np.sin(X).ravel()" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Add noise to targets\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "y[::5] += 3 * (0.5 - np.random.rand(8))" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Fit regression model\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "svr_rbf = SVR(kernel='rbf', C=1e3, gamma=0.1)\nsvr_lin = SVR(kernel='linear', C=1e3)\nsvr_poly = SVR(kernel='poly', C=1e3, degree=2)\ny_rbf = svr_rbf.fit(X, y).predict(X)\ny_lin = svr_lin.fit(X, y).predict(X)\ny_poly = svr_poly.fit(X, y).predict(X)" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "look at the results\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "lw = 2\nplt.scatter(X, y, color='darkorange', label='data')\nplt.hold('on')\nplt.plot(X, y_rbf, color='navy', lw=lw, label='RBF model')\nplt.plot(X, y_lin, color='c', lw=lw, label='Linear model')\nplt.plot(X, y_poly, color='cornflowerblue', lw=lw, label='Polynomial model')\nplt.xlabel('data')\nplt.ylabel('target')\nplt.title('Support Vector Regression')\nplt.legend()\nplt.show()" ], "outputs": [], "metadata": { "collapsed": false } } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.13", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
apache-2.0
RaspberryJamBe/ipython-notebooks
notebooks/nl-be/101 - Intro - Python leren kennen en IPython gebruiken.ipynb
1
16768
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "#Hm, zullen we maar beginnen?\n", "Deze applicatie heet IPython en je kan er Python code mee uitvoeren (Python is een soort programmeertaal; een manier om aan een computer uit te leggen wat die computer voor jou moet doen).\n", "\n", "Selecteer de cel met de som hieronder door erin te klikken (er komt dan een groene kader omheen staan) en druk op Shift+Enter of op de Play (<i class=\"fa-play fa\"></i>) knop hierboven om de code in de cel uit te voeren:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "5+11" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "De code is georganiseerd in cellen en als je wil kan je kan de code in de cellen aanpassen en opnieuw uitvoeren. Pas hierboven de som aan en voer ze opnieuw uit.\n", "\n", "... doe maar, ik wacht hier even ...\n", "\n", "\"Pffff, dat kan ik ook met eender welke rekenmachine\" \n", "Klopt, maar dit is nog maar het begin; laat ons eens iets anders proberen: " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(\"Hallo allemaal!\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "IPython zal, als het commando een resultaat geeft, deze \"output\" onder de cell uitprinten. \n", "En als je iets vergeet of fout typt, wordt-ie boos:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(\"Dit lukt dus niet\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Er wordt dan door Python geprobeerd om uit te leggen wat er mis gaat, maar dat is niet altijd 100% duidelijk. Kan je uitvissen wat er hierboven misloopt?\n", "\n", "-Tip: het \"Hallo allemaal\" commando kan misschien helpen, het is maar een kleine vergetelheid, maar een computer kan van iets dergelijks helemaal in de war raken-" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#OK, wat kan Python nog?\n", "###Dingen onthouden\n", "daar zijn computers goed in (zolang je de stekker niet uittrekt...)\n", "Een variabele noemen we dat. Die moet je wel een naam geven, anders vind je ze niet meer terug: " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "a = 'Dit is een tekst' # tekst moet je tussen aanhalingstekens '...' zetten\n", "a = \"Dit is een tekst\" # maar het mogen ook dubbele aanhalingstekens \"...\" zijn (als je ze maar niet door mekaar haalt)\n", "# oh, ja en alles wat achter een # staat is commentaar, dat slaat Python gewoon over\n", "\n", "b = 13\n", "c = 273.15 # voor decimale cijfers, geen komma's, maar punten!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Zie, je geen resultaat, dus IPython print niets uit, maar de variabelen zitten wel in het geheugen, kijk maar:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(a, b, c)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Methodes en \"dot notation\" (punt notatie)\n", "sommige \"dingen\" of objecten die je in Python gebruikt krijgen een soort superpowers mee in de vorm van methodes die je kan aanroepen. \n", "Dit doe je door een punt achter het object te zetten en dan de methode te typen (opgelet, voor het aanroepen van een functie moet je altijd haakjes achter de functienaam zetten, desnoods zonder iets tussen):" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# bvb een tekst in hoofdletters omzetten:\n", "a.upper()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Door na het punt op de <TAB> toets te drukken, zal IPython een lijst van beschikbare methodes laten zien; zet je cursor achter het punt en type <TAB> om het uit te proberen:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "a." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "###Lijstjes onthouden\n", "Als je een lijst van objecten wil opslaan, kan dat met vierkante haakjes: " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "minions = ['Dave','Stuart','Jerry','Jorge']\n", "print(minions[2])\n", "#opgelet, elementnummers beginnen te tellen bij 0, daarom wordt de derde minion in de lijst geprint!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Maar als je eigenlijk de favoriete ijsjes van de minions wil opslaan, gebruik je best een dictionary (Engels voor \"woordenboek\", omdat het je toelaat om dingen op te zoeken op basis van een index / sleutel):" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "minion_ijsjes = {\n", " 'Dave':'aardbei', # 'Dave' is hier de sleutel, 'aardbei' is de ermee gekoppelde waarde \n", " 'Stuart':'vanille',\n", " 'Jerry':['mokka', 'vanille'], # Inderdaad, we kunnen dit nog veel ingewikkelder maken :-)\n", " 'Jorge':'chocolade'\n", "}\n", "print(minion_ijsjes['Jerry'])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# en begrijp je deze?\n", "print(minion_ijsjes[minions[2]])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Loopen\n", "-ja, dat moet echt met dubbele 'oo' en je spreekt het uit als 'loepen'-\n", "\n", "Kan ik in plaats van maar één ook de ijsjes van alle minions printen? \n", "nota tussendoor: range() is een functie om lijstjes van nummers te maken." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(range(4))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Dit kunnen we gebruiken om 4 keer dezelfde print te herhalen, maar telkens met één nummer hoger" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for nummer in range(4):\n", " print(minions[nummer])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Opgelet! de \"whitespace\" (witruimte) vóór het print commando is van belang! Zonder deze spaties zou Python niet weten wat er binnen en wat er buiten de loop valt:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for nummer in range(4):\n", " print(nummer)\n", " print(minions[nummer])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Is niet hetzelfde als:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for nummer in range(4):\n", " print(nummer)\n", "print(minions[nummer])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We kunnen ook iets één keer herhalen voor elke minion in ons minions lijstje." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for minion in minions:\n", " print(minion)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Of voor elke minion in ons minions lijstje de minion printen plus zijn ijsje(s) uit de minion_ijsjes dictionary" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for minion in minions:\n", " print(minion, minion_ijsjes[minion])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "While is een gelijkaardig soort loop. \"while\" is Engels voor \"terwijl\" en het betekent dat de instructies in de loop uitgevoerd zullen worden terwijl aan een bepaalde voorwaarde voldaan is. \n", "Bijvoorbeeld met een dobbelsteen gooien tot er 6 gegooid wordt:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import random # om de random module te kunnen gebruiken; import wordt verder nog uitgelegd\n", "\n", "worp = 0 # een worp van 0 kan niet, maar we moeten ergens beginnen...\n", "while worp < 6:\n", " worp = random.randint(1,6) # een willekeurig getal van 1 tot 6\n", " print(worp)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Als je het een paar keer probeert kan je zien dat het echt willekeurig is (met Ctrl+Enter wordt de cel uitgevoerd terwijl de cursor blijft staan)\n", "\n", "Een speciaal geval is de \"while True:\" constructie; aangezien aan True (Engels voor \"Waar\") altijd voldaan wordt, blijft dit voor eeuwig loopen, tenzij je de executie manueel onderbreekt door op de Stop knop (<i class=\"fa-stop fa\"></i>) in de menubalk te drukken of Kernel > Interrupt te kiezen uit het dropdown menu." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import time # om de time module te kunnen gebruiken; import wordt verder nog uitgelegd\n", "\n", "while True:\n", " print('.'), # met de komma voorkom je dat er na elk punt een nieuwe lijn gestart wordt\n", " time.sleep(0.2) # 0.2 seconden pauzeren" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Druk op de Stop knop (<i class=\"fa-stop fa\"></i>) om de executie te beëindigen. \n", "Deze methode wordt soms gebruikt om een programma te starten dat moet blijven lopen.\n", "\n", "####Voor gevorderden: Je kan foutmeldingen neutralizeren en een dergelijke Kernel Interrupt dus op een elegante manier opvangen zonder dat IPython lelijke KeyboardInterrupts op het scherm toont.\n", "\n", "Dat gebeurt met een \"try except\" constructie en wel op de volgende manier:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import time\n", "\n", "while True:\n", " try: # probeer de code uit te voeren...\n", " print('.'),\n", " time.sleep(0.2)\n", " except KeyboardInterrupt: # ... en als een KeyboardInterrupt fout optreedt, toon ze dan niet, maar:\n", " print('\\nEinde') # print 'Einde' (op een nieuwe lijn)\n", " break # verlaat de while lus" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Voorwaarden\n", "Met een \"if\" uitdrukking kunnen we beïnvloeden hoe de uitvoering van de code verloopt. \n", "\"if\" betekent \"als\" in het Engels en het laat ons toe om de computer iets wel of niet te laten doen, afhankelijk wat we erachter zetten." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "punten = 85\n", "if punten > 90:\n", " print('Schitterend')\n", "elif punten > 80:\n", " print('Zeer goed')\n", "elif punten > 60:\n", " print('Goed')\n", "else:\n", " print('Hm')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Bijvoorbeeld: die Jerry is me toch wat gulzig, dus:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for minion in minions:\n", " if minion == 'Jerry':\n", " print('--Gulzigaard--')\n", " else:\n", " print(minion, minion_ijsjes[minion])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Echt programmeren\n", "We kunnen in Python ook zelf functies maken en die vervolgens gebruiken; dat helpt om de code ordelijk te houden en bepaalde stukjes code maar één maal te moeten schrijven / corrigeren / onderhouden." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def begroet(naam):\n", " print('Dag ' + naam)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Zullen we onze nieuwe functie eens uitproberen?" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "begroet('Mariette')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "###En nog een extraatje\n", "we kunnen strings (tekst variabelen) gebruiken als template om teksten samen te stellen zoals de Samenvoegen functonaliteit in tekstverwerkers zoals Microsoft Word.\n", "\n", "Dat gebeurt met de format() functie: \n", "'Dag {}'.format(naam) \n", "maakt dat de accolades in de tekst vervangen worden door de waarde van de variabele" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def begroet(naam):\n", " print('Dag {}'.format(naam))\n", "\n", "begroet('Willy')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Python libraries (Bibliotheken)\n", "Natuurlijk zijn er al heel wat mensen die Python code geschreven hebben en veel van die code is beschikbaar in de vorm van libraries die je kant en klaar kan installeren. \n", "Als zo'n library geïnstalleerd hebt, kan je ze importeren en de functies ervan beginnen gebruiken:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import math\n", "print(\"PI: {}\".format(math.pi))\n", "print(\"sin(PI/2): {}\".format(math.cos(math.pi)))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "requests is een bibliotheek om webpagina's in te laden; hier bezoeken we een openweathermap en drukken een deel van de weersvoorspelling voor Mechelen af." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import requests\n", "r = requests.get('http://api.openweathermap.org/data/2.5/weather?q=Mechelen').json()\n", "print(r['weather'][0]['description'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Of een quote uit de online database van iheartquotes.com" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import requests\n", "r = requests.get('http://www.iheartquotes.com/api/v1/random')\n", "print(r.text)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Er is natuurlijk nog veel meer te leren, maar dit geeft je al een goede basis om Python code te begrijpen en zelf één en ander in mekaar te knutselen. Laat het weten als je suggesties of opmerkingen hebt!\n", "\n", "veel plezier met Python!" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.8" } }, "nbformat": 4, "nbformat_minor": 0 }
cc0-1.0
mprego/NBA
Regression/Regression.ipynb
2
143387
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# NBA Regression Model\n", "##Predicts game scores and outcomes through regression" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Collect Data" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Game_ID</th>\n", " <th>Date</th>\n", " <th>Home Team</th>\n", " <th>Home ID</th>\n", " <th>Away Team</th>\n", " <th>Away ID</th>\n", " <th>Home Team Score</th>\n", " <th>Away Team Score</th>\n", " <th>Home EFG</th>\n", " <th>Home TOV</th>\n", " <th>...</th>\n", " <th>Away ORB</th>\n", " <th>Away FTFGA</th>\n", " <th>Home Team Win</th>\n", " <th>h_dunk_made</th>\n", " <th>h_dunk_miss</th>\n", " <th>a_dunk_made</th>\n", " <th>a_dunk_miss</th>\n", " <th>h_dunk_score</th>\n", " <th>a_dunk_score</th>\n", " <th>h_dunk_win</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>21400443</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>110</td>\n", " <td>98</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>21400444</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>102</td>\n", " <td>94</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>5.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>21400445</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>88</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>21400446</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>103</td>\n", " <td>95</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>21400447</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>100</td>\n", " <td>107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>21400448</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>110</td>\n", " <td>85</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>21400449</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>90</td>\n", " <td>85</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>21400450</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>71</td>\n", " <td>88</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>21400451</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>129</td>\n", " <td>135</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>21400452</td>\n", " <td>2014-12-27 00:00:00</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>97</td>\n", " <td>110</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>21400453</td>\n", " <td>2014-12-28 00:00:00</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>103</td>\n", " <td>80</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>21400454</td>\n", " <td>2014-12-28 00:00:00</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>106</td>\n", " <td>110</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>21400455</td>\n", " <td>2014-12-28 00:00:00</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>107</td>\n", " <td>112</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>21400456</td>\n", " <td>2014-12-28 00:00:00</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>79</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>21400457</td>\n", " <td>2014-12-28 00:00:00</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>116</td>\n", " <td>102</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>21400458</td>\n", " <td>2014-12-28 00:00:00</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>116</td>\n", " <td>107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>-2.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>21400459</td>\n", " <td>2014-12-29 00:00:00</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>104</td>\n", " <td>94</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>21400460</td>\n", " <td>2014-12-29 00:00:00</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>92</td>\n", " <td>90</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>21400461</td>\n", " <td>2014-12-29 00:00:00</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>99</td>\n", " <td>107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>21400462</td>\n", " <td>2014-12-29 00:00:00</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>102</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>21400463</td>\n", " <td>2014-12-29 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>104</td>\n", " <td>103</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>21400464</td>\n", " <td>2014-12-29 00:00:00</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>97</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>21400465</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>109</td>\n", " <td>86</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>21400466</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>101</td>\n", " <td>109</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>21400467</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>96</td>\n", " <td>82</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>21400468</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>87</td>\n", " <td>95</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>21400469</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>106</td>\n", " <td>110</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>21400470</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>87</td>\n", " <td>114</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>21400471</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>111</td>\n", " <td>103</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>21400472</td>\n", " <td>2014-12-30 00:00:00</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>94</td>\n", " <td>100</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>759</th>\n", " <td>21401201</td>\n", " <td>2015-04-12 00:00:00</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>120</td>\n", " <td>106</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>8.0</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>7.0</td>\n", " <td>4.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>760</th>\n", " <td>21401202</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>100</td>\n", " <td>90</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>761</th>\n", " <td>21401203</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>97</td>\n", " <td>109</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>762</th>\n", " <td>21401204</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>107</td>\n", " <td>97</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>763</th>\n", " <td>21401205</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>112</td>\n", " <td>108</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>764</th>\n", " <td>21401206</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>113</td>\n", " <td>86</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>7.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>7.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>765</th>\n", " <td>21401207</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>93</td>\n", " <td>100</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>766</th>\n", " <td>21401208</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>100</td>\n", " <td>88</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>767</th>\n", " <td>21401209</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>90</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>768</th>\n", " <td>21401210</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>92</td>\n", " <td>109</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>769</th>\n", " <td>21401211</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>92</td>\n", " <td>102</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>770</th>\n", " <td>21401212</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>107</td>\n", " <td>111</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>-1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>771</th>\n", " <td>21401213</td>\n", " <td>2015-04-13 00:00:00</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>103</td>\n", " <td>110</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>772</th>\n", " <td>21401214</td>\n", " <td>2015-04-14 00:00:00</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>93</td>\n", " <td>95</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>773</th>\n", " <td>21401215</td>\n", " <td>2015-04-14 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>95</td>\n", " <td>99</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>774</th>\n", " <td>21401216</td>\n", " <td>2015-04-14 00:00:00</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>112</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>775</th>\n", " <td>21401228</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>87</td>\n", " <td>92</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>776</th>\n", " <td>21401217</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>85</td>\n", " <td>91</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>777</th>\n", " <td>21401218</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>98</td>\n", " <td>114</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>778</th>\n", " <td>21401219</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>91</td>\n", " <td>117</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>779</th>\n", " <td>21401221</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>105</td>\n", " <td>100</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>780</th>\n", " <td>21401222</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>138</td>\n", " <td>113</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>781</th>\n", " <td>21401223</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>103</td>\n", " <td>108</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>782</th>\n", " <td>21401224</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>88</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>783</th>\n", " <td>21401225</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>108</td>\n", " <td>113</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>784</th>\n", " <td>21401226</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>112</td>\n", " <td>90</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>785</th>\n", " <td>21401227</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>105</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>786</th>\n", " <td>21401220</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>83</td>\n", " <td>95</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>787</th>\n", " <td>21401229</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>126</td>\n", " <td>133</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>788</th>\n", " <td>21401230</td>\n", " <td>2015-04-15 00:00:00</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>122</td>\n", " <td>99</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>789 rows × 24 columns</p>\n", "</div>" ], "text/plain": [ " Game_ID Date Home Team Home ID Away Team \\\n", "0 21400443 2014-12-27 00:00:00 TOR 1610612761 LAC \n", "1 21400444 2014-12-27 00:00:00 ORL 1610612753 CHA \n", "2 21400445 2014-12-27 00:00:00 BOS 1610612738 WAS \n", "3 21400446 2014-12-27 00:00:00 MEM 1610612763 MIA \n", "4 21400447 2014-12-27 00:00:00 NOP 1610612740 CHI \n", "5 21400448 2014-12-27 00:00:00 IND 1610612754 BKN \n", "6 21400449 2014-12-27 00:00:00 ATL 1610612737 MIL \n", "7 21400450 2014-12-27 00:00:00 PHI 1610612755 UTA \n", "8 21400451 2014-12-27 00:00:00 NYK 1610612752 SAC \n", "9 21400452 2014-12-27 00:00:00 MIN 1610612750 GSW \n", "10 21400453 2014-12-28 00:00:00 DET 1610612765 CLE \n", "11 21400454 2014-12-28 00:00:00 HOU 1610612745 SAS \n", "12 21400455 2014-12-28 00:00:00 OKC 1610612760 DAL \n", "13 21400456 2014-12-28 00:00:00 NYK 1610612752 POR \n", "14 21400457 2014-12-28 00:00:00 TOR 1610612761 DEN \n", "15 21400458 2014-12-28 00:00:00 PHX 1610612756 LAL \n", "16 21400459 2014-12-29 00:00:00 MIL 1610612749 CHA \n", "17 21400460 2014-12-29 00:00:00 CHI 1610612741 IND \n", "18 21400461 2014-12-29 00:00:00 SAC 1610612758 BKN \n", "19 21400462 2014-12-29 00:00:00 ORL 1610612753 MIA \n", "20 21400463 2014-12-29 00:00:00 WAS 1610612764 HOU \n", "21 21400464 2014-12-29 00:00:00 UTA 1610612762 LAC \n", "22 21400465 2014-12-30 00:00:00 DET 1610612765 ORL \n", "23 21400466 2014-12-30 00:00:00 CLE 1610612739 ATL \n", "24 21400467 2014-12-30 00:00:00 BKN 1610612751 CHI \n", "25 21400468 2014-12-30 00:00:00 SAS 1610612759 MEM \n", "26 21400469 2014-12-30 00:00:00 PHX 1610612756 NOP \n", "27 21400470 2014-12-30 00:00:00 WAS 1610612764 DAL \n", "28 21400471 2014-12-30 00:00:00 LAL 1610612747 DEN \n", "29 21400472 2014-12-30 00:00:00 MIN 1610612750 UTA \n", ".. ... ... ... ... ... \n", "759 21401201 2015-04-12 00:00:00 DAL 1610612742 LAL \n", "760 21401202 2015-04-13 00:00:00 HOU 1610612745 CHA \n", "761 21401203 2015-04-13 00:00:00 DET 1610612765 CLE \n", "762 21401204 2015-04-13 00:00:00 MIL 1610612749 PHI \n", "763 21401205 2015-04-13 00:00:00 NYK 1610612752 ATL \n", "764 21401206 2015-04-13 00:00:00 CHI 1610612741 BKN \n", "765 21401207 2015-04-13 00:00:00 ORL 1610612753 MIA \n", "766 21401208 2015-04-13 00:00:00 NOP 1610612740 MIN \n", "767 21401209 2015-04-13 00:00:00 POR 1610612757 OKC \n", "768 21401210 2015-04-13 00:00:00 DAL 1610612742 UTA \n", "769 21401211 2015-04-13 00:00:00 LAL 1610612747 SAC \n", "770 21401212 2015-04-13 00:00:00 MEM 1610612763 GSW \n", "771 21401213 2015-04-13 00:00:00 DEN 1610612743 LAC \n", "772 21401214 2015-04-14 00:00:00 TOR 1610612761 BOS \n", "773 21401215 2015-04-14 00:00:00 WAS 1610612764 IND \n", "774 21401216 2015-04-14 00:00:00 LAC 1610612746 PHX \n", "775 21401228 2015-04-15 00:00:00 CHA 1610612766 TOR \n", "776 21401217 2015-04-15 00:00:00 ATL 1610612737 CHI \n", "777 21401218 2015-04-15 00:00:00 POR 1610612757 DAL \n", "778 21401219 2015-04-15 00:00:00 UTA 1610612762 HOU \n", "779 21401221 2015-04-15 00:00:00 BOS 1610612738 MIL \n", "780 21401222 2015-04-15 00:00:00 OKC 1610612760 MIN \n", "781 21401223 2015-04-15 00:00:00 SAS 1610612759 NOP \n", "782 21401224 2015-04-15 00:00:00 ORL 1610612753 BKN \n", "783 21401225 2015-04-15 00:00:00 WAS 1610612764 CLE \n", "784 21401226 2015-04-15 00:00:00 DET 1610612765 NYK \n", "785 21401227 2015-04-15 00:00:00 MIA 1610612748 PHI \n", "786 21401220 2015-04-15 00:00:00 IND 1610612754 MEM \n", "787 21401229 2015-04-15 00:00:00 DEN 1610612743 GSW \n", "788 21401230 2015-04-15 00:00:00 SAC 1610612758 LAL \n", "\n", " Away ID Home Team Score Away Team Score Home EFG Home TOV \\\n", "0 1610612746 110 98 NaN NaN \n", "1 1610612766 102 94 NaN NaN \n", "2 1610612764 88 101 NaN NaN \n", "3 1610612748 103 95 NaN NaN \n", "4 1610612741 100 107 NaN NaN \n", "5 1610612751 110 85 NaN NaN \n", "6 1610612749 90 85 NaN NaN \n", "7 1610612762 71 88 NaN NaN \n", "8 1610612758 129 135 NaN NaN \n", "9 1610612744 97 110 NaN NaN \n", "10 1610612739 103 80 NaN NaN \n", "11 1610612759 106 110 NaN NaN \n", "12 1610612742 107 112 NaN NaN \n", "13 1610612757 79 101 NaN NaN \n", "14 1610612743 116 102 NaN NaN \n", "15 1610612747 116 107 NaN NaN \n", "16 1610612766 104 94 NaN NaN \n", "17 1610612754 92 90 NaN NaN \n", "18 1610612751 99 107 NaN NaN \n", "19 1610612748 102 101 NaN NaN \n", "20 1610612745 104 103 NaN NaN \n", "21 1610612746 97 101 NaN NaN \n", "22 1610612753 109 86 NaN NaN \n", "23 1610612737 101 109 NaN NaN \n", "24 1610612741 96 82 NaN NaN \n", "25 1610612763 87 95 NaN NaN \n", "26 1610612740 106 110 NaN NaN \n", "27 1610612742 87 114 NaN NaN \n", "28 1610612743 111 103 NaN NaN \n", "29 1610612762 94 100 NaN NaN \n", ".. ... ... ... ... ... \n", "759 1610612747 120 106 NaN NaN \n", "760 1610612766 100 90 NaN NaN \n", "761 1610612739 97 109 NaN NaN \n", "762 1610612755 107 97 NaN NaN \n", "763 1610612737 112 108 NaN NaN \n", "764 1610612751 113 86 NaN NaN \n", "765 1610612748 93 100 NaN NaN \n", "766 1610612750 100 88 NaN NaN \n", "767 1610612760 90 101 NaN NaN \n", "768 1610612762 92 109 NaN NaN \n", "769 1610612758 92 102 NaN NaN \n", "770 1610612744 107 111 NaN NaN \n", "771 1610612746 103 110 NaN NaN \n", "772 1610612738 93 95 NaN NaN \n", "773 1610612754 95 99 NaN NaN \n", "774 1610612756 112 101 NaN NaN \n", "775 1610612761 87 92 NaN NaN \n", "776 1610612741 85 91 NaN NaN \n", "777 1610612742 98 114 NaN NaN \n", "778 1610612745 91 117 NaN NaN \n", "779 1610612749 105 100 NaN NaN \n", "780 1610612750 138 113 NaN NaN \n", "781 1610612740 103 108 NaN NaN \n", "782 1610612751 88 101 NaN NaN \n", "783 1610612739 108 113 NaN NaN \n", "784 1610612752 112 90 NaN NaN \n", "785 1610612755 105 101 NaN NaN \n", "786 1610612763 83 95 NaN NaN \n", "787 1610612744 126 133 NaN NaN \n", "788 1610612747 122 99 NaN NaN \n", "\n", " ... Away ORB Away FTFGA Home Team Win h_dunk_made \\\n", "0 ... NaN NaN 1 2.0 \n", "1 ... NaN NaN 1 5.0 \n", "2 ... NaN NaN 0 3.0 \n", "3 ... NaN NaN 1 2.0 \n", "4 ... NaN NaN 0 1.0 \n", "5 ... NaN NaN 1 2.0 \n", "6 ... NaN NaN 1 1.0 \n", "7 ... NaN NaN 0 2.0 \n", "8 ... NaN NaN 0 1.0 \n", "9 ... NaN NaN 0 4.0 \n", "10 ... NaN NaN 1 2.0 \n", "11 ... NaN NaN 0 2.0 \n", "12 ... NaN NaN 0 2.0 \n", "13 ... NaN NaN 0 1.0 \n", "14 ... NaN NaN 1 3.0 \n", "15 ... NaN NaN 1 2.0 \n", "16 ... NaN NaN 1 1.0 \n", "17 ... NaN NaN 1 2.0 \n", "18 ... NaN NaN 0 2.0 \n", "19 ... NaN NaN 1 3.0 \n", "20 ... NaN NaN 1 1.0 \n", "21 ... NaN NaN 0 2.0 \n", "22 ... NaN NaN 1 2.0 \n", "23 ... NaN NaN 0 2.0 \n", "24 ... NaN NaN 1 2.0 \n", "25 ... NaN NaN 0 0.0 \n", "26 ... NaN NaN 0 3.0 \n", "27 ... NaN NaN 0 3.0 \n", "28 ... NaN NaN 1 0.0 \n", "29 ... NaN NaN 0 4.0 \n", ".. ... ... ... ... ... \n", "759 ... NaN NaN 1 8.0 \n", "760 ... NaN NaN 1 3.0 \n", "761 ... NaN NaN 0 3.0 \n", "762 ... NaN NaN 1 5.0 \n", "763 ... NaN NaN 1 0.0 \n", "764 ... NaN NaN 1 7.0 \n", "765 ... NaN NaN 0 1.0 \n", "766 ... NaN NaN 1 5.0 \n", "767 ... NaN NaN 0 2.0 \n", "768 ... NaN NaN 0 3.0 \n", "769 ... NaN NaN 0 2.0 \n", "770 ... NaN NaN 0 2.0 \n", "771 ... NaN NaN 0 2.0 \n", "772 ... NaN NaN 0 1.0 \n", "773 ... NaN NaN 0 1.0 \n", "774 ... NaN NaN 1 3.0 \n", "775 ... NaN NaN 0 1.0 \n", "776 ... NaN NaN 0 0.0 \n", "777 ... NaN NaN 0 2.0 \n", "778 ... NaN NaN 0 5.0 \n", "779 ... NaN NaN 1 0.0 \n", "780 ... NaN NaN 1 1.0 \n", "781 ... NaN NaN 0 2.0 \n", "782 ... NaN NaN 0 1.0 \n", "783 ... NaN NaN 0 1.0 \n", "784 ... NaN NaN 1 0.0 \n", "785 ... NaN NaN 1 1.0 \n", "786 ... NaN NaN 0 2.0 \n", "787 ... NaN NaN 0 0.0 \n", "788 ... NaN NaN 1 5.0 \n", "\n", " h_dunk_miss a_dunk_made a_dunk_miss h_dunk_score a_dunk_score \\\n", "0 1.0 0.0 0.0 1.0 0.0 \n", "1 1.0 1.0 0.0 4.0 1.0 \n", "2 0.0 1.0 0.0 3.0 1.0 \n", "3 1.0 3.0 0.0 1.0 3.0 \n", "4 0.0 0.0 0.0 1.0 0.0 \n", "5 0.0 2.0 0.0 2.0 2.0 \n", "6 0.0 1.0 0.0 1.0 1.0 \n", "7 1.0 5.0 1.0 1.0 4.0 \n", "8 0.0 2.0 0.0 1.0 2.0 \n", "9 0.0 5.0 0.0 4.0 5.0 \n", "10 0.0 3.0 0.0 2.0 3.0 \n", "11 0.0 3.0 0.0 2.0 3.0 \n", "12 0.0 0.0 0.0 2.0 0.0 \n", "13 0.0 3.0 0.0 1.0 3.0 \n", "14 0.0 4.0 0.0 3.0 4.0 \n", "15 0.0 0.0 2.0 2.0 -2.0 \n", "16 0.0 2.0 0.0 1.0 2.0 \n", "17 0.0 1.0 0.0 2.0 1.0 \n", "18 1.0 2.0 0.0 1.0 2.0 \n", "19 0.0 1.0 0.0 3.0 1.0 \n", "20 0.0 1.0 0.0 1.0 1.0 \n", "21 0.0 1.0 0.0 2.0 1.0 \n", "22 0.0 1.0 1.0 2.0 0.0 \n", "23 0.0 1.0 0.0 2.0 1.0 \n", "24 1.0 2.0 0.0 1.0 2.0 \n", "25 0.0 0.0 0.0 0.0 0.0 \n", "26 0.0 2.0 0.0 3.0 2.0 \n", "27 0.0 2.0 0.0 3.0 2.0 \n", "28 0.0 6.0 0.0 0.0 6.0 \n", "29 0.0 5.0 0.0 4.0 5.0 \n", ".. ... ... ... ... ... \n", "759 1.0 4.0 0.0 7.0 4.0 \n", "760 0.0 4.0 0.0 3.0 4.0 \n", "761 0.0 4.0 1.0 3.0 3.0 \n", "762 0.0 3.0 1.0 5.0 2.0 \n", "763 0.0 1.0 0.0 0.0 1.0 \n", "764 0.0 0.0 0.0 7.0 0.0 \n", "765 1.0 5.0 0.0 0.0 5.0 \n", "766 0.0 2.0 1.0 5.0 1.0 \n", "767 0.0 0.0 0.0 2.0 0.0 \n", "768 0.0 5.0 1.0 3.0 4.0 \n", "769 0.0 2.0 0.0 2.0 2.0 \n", "770 0.0 0.0 1.0 2.0 -1.0 \n", "771 0.0 3.0 2.0 2.0 1.0 \n", "772 0.0 2.0 1.0 1.0 1.0 \n", "773 0.0 2.0 1.0 1.0 1.0 \n", "774 0.0 2.0 0.0 3.0 2.0 \n", "775 0.0 2.0 0.0 1.0 2.0 \n", "776 0.0 2.0 0.0 0.0 2.0 \n", "777 0.0 0.0 0.0 2.0 0.0 \n", "778 0.0 6.0 0.0 5.0 6.0 \n", "779 1.0 0.0 0.0 -1.0 0.0 \n", "780 0.0 5.0 1.0 1.0 4.0 \n", "781 0.0 3.0 0.0 2.0 3.0 \n", "782 0.0 2.0 0.0 1.0 2.0 \n", "783 1.0 3.0 0.0 0.0 3.0 \n", "784 0.0 3.0 1.0 0.0 2.0 \n", "785 0.0 6.0 0.0 1.0 6.0 \n", "786 0.0 1.0 1.0 2.0 0.0 \n", "787 0.0 3.0 0.0 0.0 3.0 \n", "788 0.0 1.0 0.0 5.0 1.0 \n", "\n", " h_dunk_win \n", "0 1.0 \n", "1 1.0 \n", "2 1.0 \n", "3 0.0 \n", "4 1.0 \n", "5 -1.0 \n", "6 -1.0 \n", "7 0.0 \n", "8 0.0 \n", "9 0.0 \n", "10 0.0 \n", "11 0.0 \n", "12 1.0 \n", "13 0.0 \n", "14 0.0 \n", "15 1.0 \n", "16 0.0 \n", "17 1.0 \n", "18 0.0 \n", "19 1.0 \n", "20 -1.0 \n", "21 1.0 \n", "22 1.0 \n", "23 1.0 \n", "24 0.0 \n", "25 -1.0 \n", "26 1.0 \n", "27 1.0 \n", "28 0.0 \n", "29 0.0 \n", ".. ... \n", "759 1.0 \n", "760 0.0 \n", "761 -1.0 \n", "762 1.0 \n", "763 0.0 \n", "764 1.0 \n", "765 0.0 \n", "766 1.0 \n", "767 1.0 \n", "768 0.0 \n", "769 -1.0 \n", "770 1.0 \n", "771 1.0 \n", "772 -1.0 \n", "773 -1.0 \n", "774 1.0 \n", "775 0.0 \n", "776 0.0 \n", "777 1.0 \n", "778 0.0 \n", "779 0.0 \n", "780 0.0 \n", "781 0.0 \n", "782 0.0 \n", "783 0.0 \n", "784 0.0 \n", "785 0.0 \n", "786 1.0 \n", "787 0.0 \n", "788 1.0 \n", "\n", "[789 rows x 24 columns]" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%timeit\n", "#Imports python class for collecting schedule and stats from NBA website\n", "from Schedule import Schedule\n", "import pandas as pd\n", "\n", "#season_14_15 = Schedule('14-15', '10/28/2014', '4/15/2015')\n", "season_14_15 = Schedule('14-15', '12/27/2014', '4/15/2015')\n", "season_14_15.games.to_csv('games_14_15.csv', index = False)\n", "season_14_15.set_games(pd.read_csv('games_14_15.csv'))\n", "season_14_15.games" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "//anaconda/lib/python2.7/site-packages/pandas/computation/__init__.py:19: UserWarning: The installed version of numexpr 2.4.4 is not supported in pandas and will be not be used\n", "\n", " UserWarning)\n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Game_ID</th>\n", " <th>Date</th>\n", " <th>Home Team</th>\n", " <th>Home ID</th>\n", " <th>Away Team</th>\n", " <th>Away ID</th>\n", " <th>Home Team Score</th>\n", " <th>Away Team Score</th>\n", " <th>Home EFG</th>\n", " <th>Home TOV</th>\n", " <th>...</th>\n", " <th>Away ORB</th>\n", " <th>Away FTFGA</th>\n", " <th>Home Team Win</th>\n", " <th>h_dunk_made</th>\n", " <th>h_dunk_miss</th>\n", " <th>a_dunk_made</th>\n", " <th>a_dunk_miss</th>\n", " <th>h_dunk_score</th>\n", " <th>a_dunk_score</th>\n", " <th>h_dunk_win</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>21500891</td>\n", " <td>2016-03-01 00:00:00</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>92</td>\n", " <td>126</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>21500892</td>\n", " <td>2016-03-01 00:00:00</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>111</td>\n", " <td>129</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>21500893</td>\n", " <td>2016-03-01 00:00:00</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>104</td>\n", " <td>85</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>21500894</td>\n", " <td>2016-03-01 00:00:00</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>108</td>\n", " <td>121</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>21500895</td>\n", " <td>2016-03-01 00:00:00</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>105</td>\n", " <td>109</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>21500896</td>\n", " <td>2016-03-01 00:00:00</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>101</td>\n", " <td>107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>21500897</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>89</td>\n", " <td>102</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>21500898</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>119</td>\n", " <td>99</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>21500899</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>93</td>\n", " <td>116</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>21500900</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>94</td>\n", " <td>104</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>21500901</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>95</td>\n", " <td>100</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>21500902</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>98</td>\n", " <td>104</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>21500903</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>104</td>\n", " <td>99</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>-1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>21500904</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>104</td>\n", " <td>98</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>21500905</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>81</td>\n", " <td>97</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>21500906</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>107</td>\n", " <td>117</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>21500907</td>\n", " <td>2016-03-02 00:00:00</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>98</td>\n", " <td>103</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>21500908</td>\n", " <td>2016-03-03 00:00:00</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>92</td>\n", " <td>108</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>21500909</td>\n", " <td>2016-03-03 00:00:00</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>94</td>\n", " <td>86</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>21500910</td>\n", " <td>2016-03-03 00:00:00</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>104</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>21500911</td>\n", " <td>2016-03-03 00:00:00</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>106</td>\n", " <td>121</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>21500912</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>101</td>\n", " <td>108</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>21500913</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>102</td>\n", " <td>84</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>21500914</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>112</td>\n", " <td>102</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>21500915</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>104</td>\n", " <td>105</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>21500916</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>115</td>\n", " <td>117</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>21500917</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>83</td>\n", " <td>108</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>21500918</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>88</td>\n", " <td>94</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>21500919</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>101</td>\n", " <td>116</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>21500920</td>\n", " <td>2016-03-04 00:00:00</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>121</td>\n", " <td>120</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>310</th>\n", " <td>21501201</td>\n", " <td>2016-04-10 00:00:00</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>92</td>\n", " <td>86</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>311</th>\n", " <td>21501202</td>\n", " <td>2016-04-10 00:00:00</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>93</td>\n", " <td>89</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>312</th>\n", " <td>21501203</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>94</td>\n", " <td>109</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>313</th>\n", " <td>21501204</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>98</td>\n", " <td>107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>314</th>\n", " <td>21501205</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>114</td>\n", " <td>100</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>315</th>\n", " <td>21501206</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>120</td>\n", " <td>111</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>316</th>\n", " <td>21501207</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>129</td>\n", " <td>105</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>317</th>\n", " <td>21501208</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>121</td>\n", " <td>116</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>318</th>\n", " <td>21501209</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>79</td>\n", " <td>112</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>319</th>\n", " <td>21501210</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>101</td>\n", " <td>92</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>320</th>\n", " <td>21501211</td>\n", " <td>2016-04-11 00:00:00</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>105</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>321</th>\n", " <td>21501212</td>\n", " <td>2016-04-12 00:00:00</td>\n", " <td>NYK</td>\n", " <td>1610612752</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>90</td>\n", " <td>102</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>322</th>\n", " <td>21501213</td>\n", " <td>2016-04-12 00:00:00</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>99</td>\n", " <td>93</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>323</th>\n", " <td>21501214</td>\n", " <td>2016-04-12 00:00:00</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>98</td>\n", " <td>122</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>324</th>\n", " <td>21501215</td>\n", " <td>2016-04-12 00:00:00</td>\n", " <td>OKC</td>\n", " <td>1610612760</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>98</td>\n", " <td>102</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>325</th>\n", " <td>21501216</td>\n", " <td>2016-04-12 00:00:00</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>84</td>\n", " <td>110</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>3.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>326</th>\n", " <td>21501217</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>MIA</td>\n", " <td>1610612748</td>\n", " <td>BOS</td>\n", " <td>1610612738</td>\n", " <td>88</td>\n", " <td>98</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>327</th>\n", " <td>21501218</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>TOR</td>\n", " <td>1610612761</td>\n", " <td>BKN</td>\n", " <td>1610612751</td>\n", " <td>103</td>\n", " <td>96</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>328</th>\n", " <td>21501219</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>ORL</td>\n", " <td>1610612753</td>\n", " <td>CHA</td>\n", " <td>1610612766</td>\n", " <td>103</td>\n", " <td>117</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>329</th>\n", " <td>21501220</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>DET</td>\n", " <td>1610612765</td>\n", " <td>CLE</td>\n", " <td>1610612739</td>\n", " <td>112</td>\n", " <td>110</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>330</th>\n", " <td>21501221</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>ATL</td>\n", " <td>1610612737</td>\n", " <td>WAS</td>\n", " <td>1610612764</td>\n", " <td>98</td>\n", " <td>109</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>331</th>\n", " <td>21501222</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>PHI</td>\n", " <td>1610612755</td>\n", " <td>CHI</td>\n", " <td>1610612741</td>\n", " <td>105</td>\n", " <td>115</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>332</th>\n", " <td>21501223</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>SAS</td>\n", " <td>1610612759</td>\n", " <td>DAL</td>\n", " <td>1610612742</td>\n", " <td>96</td>\n", " <td>91</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>333</th>\n", " <td>21501224</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>SAC</td>\n", " <td>1610612758</td>\n", " <td>HOU</td>\n", " <td>1610612745</td>\n", " <td>81</td>\n", " <td>116</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>3.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>334</th>\n", " <td>21501225</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>IND</td>\n", " <td>1610612754</td>\n", " <td>MIL</td>\n", " <td>1610612749</td>\n", " <td>97</td>\n", " <td>92</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>-1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>335</th>\n", " <td>21501226</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>NOP</td>\n", " <td>1610612740</td>\n", " <td>MIN</td>\n", " <td>1610612750</td>\n", " <td>109</td>\n", " <td>144</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>-1.0</td>\n", " </tr>\n", " <tr>\n", " <th>336</th>\n", " <td>21501227</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>MEM</td>\n", " <td>1610612763</td>\n", " <td>GSW</td>\n", " <td>1610612744</td>\n", " <td>104</td>\n", " <td>125</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>2.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>337</th>\n", " <td>21501228</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>UTA</td>\n", " <td>1610612762</td>\n", " <td>LAL</td>\n", " <td>1610612747</td>\n", " <td>96</td>\n", " <td>101</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>338</th>\n", " <td>21501229</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>LAC</td>\n", " <td>1610612746</td>\n", " <td>PHX</td>\n", " <td>1610612756</td>\n", " <td>105</td>\n", " <td>114</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>339</th>\n", " <td>21501230</td>\n", " <td>2016-04-13 00:00:00</td>\n", " <td>DEN</td>\n", " <td>1610612743</td>\n", " <td>POR</td>\n", " <td>1610612757</td>\n", " <td>99</td>\n", " <td>107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>340 rows × 24 columns</p>\n", "</div>" ], "text/plain": [ " Game_ID Date Home Team Home ID Away Team \\\n", "0 21500891 2016-03-01 00:00:00 PHX 1610612756 CHA \n", "1 21500892 2016-03-01 00:00:00 CHI 1610612741 MIA \n", "2 21500893 2016-03-01 00:00:00 POR 1610612757 NYK \n", "3 21500894 2016-03-01 00:00:00 ORL 1610612753 DAL \n", "4 21500895 2016-03-01 00:00:00 ATL 1610612737 GSW \n", "5 21500896 2016-03-01 00:00:00 BKN 1610612751 LAL \n", "6 21500897 2016-03-02 00:00:00 CHI 1610612741 ORL \n", "7 21500898 2016-03-02 00:00:00 CHA 1610612766 PHI \n", "8 21500899 2016-03-02 00:00:00 POR 1610612757 BOS \n", "9 21500900 2016-03-02 00:00:00 UTA 1610612762 TOR \n", "10 21500901 2016-03-02 00:00:00 NOP 1610612740 HOU \n", "11 21500902 2016-03-02 00:00:00 SAC 1610612758 MEM \n", "12 21500903 2016-03-02 00:00:00 IND 1610612754 MIL \n", "13 21500904 2016-03-02 00:00:00 WAS 1610612764 MIN \n", "14 21500905 2016-03-02 00:00:00 DET 1610612765 SAS \n", "15 21500906 2016-03-02 00:00:00 LAL 1610612747 DEN \n", "16 21500907 2016-03-02 00:00:00 OKC 1610612760 LAC \n", "17 21500908 2016-03-03 00:00:00 PHX 1610612756 MIA \n", "18 21500909 2016-03-03 00:00:00 SAS 1610612759 NOP \n", "19 21500910 2016-03-03 00:00:00 SAC 1610612758 DAL \n", "20 21500911 2016-03-03 00:00:00 OKC 1610612760 GSW \n", "21 21500912 2016-03-04 00:00:00 IND 1610612754 CHA \n", "22 21500913 2016-03-04 00:00:00 PHX 1610612756 ORL \n", "23 21500914 2016-03-04 00:00:00 MIA 1610612748 PHI \n", "24 21500915 2016-03-04 00:00:00 NYK 1610612752 BOS \n", "25 21500916 2016-03-04 00:00:00 POR 1610612757 TOR \n", "26 21500917 2016-03-04 00:00:00 WAS 1610612764 CLE \n", "27 21500918 2016-03-04 00:00:00 UTA 1610612762 MEM \n", "28 21500919 2016-03-04 00:00:00 MIN 1610612750 MIL \n", "29 21500920 2016-03-04 00:00:00 BKN 1610612751 DEN \n", ".. ... ... ... ... ... \n", "310 21501201 2016-04-10 00:00:00 GSW 1610612744 SAS \n", "311 21501202 2016-04-10 00:00:00 TOR 1610612761 NYK \n", "312 21501203 2016-04-11 00:00:00 ATL 1610612737 CLE \n", "313 21501204 2016-04-11 00:00:00 MIL 1610612749 ORL \n", "314 21501205 2016-04-11 00:00:00 CHA 1610612766 BOS \n", "315 21501206 2016-04-11 00:00:00 WAS 1610612764 BKN \n", "316 21501207 2016-04-11 00:00:00 HOU 1610612745 MIN \n", "317 21501208 2016-04-11 00:00:00 CHI 1610612741 NOP \n", "318 21501209 2016-04-11 00:00:00 LAL 1610612747 OKC \n", "319 21501210 2016-04-11 00:00:00 DAL 1610612742 UTA \n", "320 21501211 2016-04-11 00:00:00 SAC 1610612758 PHX \n", "321 21501212 2016-04-12 00:00:00 NYK 1610612752 IND \n", "322 21501213 2016-04-12 00:00:00 MIA 1610612748 DET \n", "323 21501214 2016-04-12 00:00:00 PHI 1610612755 TOR \n", "324 21501215 2016-04-12 00:00:00 OKC 1610612760 SAS \n", "325 21501216 2016-04-12 00:00:00 MEM 1610612763 LAC \n", "326 21501217 2016-04-13 00:00:00 MIA 1610612748 BOS \n", "327 21501218 2016-04-13 00:00:00 TOR 1610612761 BKN \n", "328 21501219 2016-04-13 00:00:00 ORL 1610612753 CHA \n", "329 21501220 2016-04-13 00:00:00 DET 1610612765 CLE \n", "330 21501221 2016-04-13 00:00:00 ATL 1610612737 WAS \n", "331 21501222 2016-04-13 00:00:00 PHI 1610612755 CHI \n", "332 21501223 2016-04-13 00:00:00 SAS 1610612759 DAL \n", "333 21501224 2016-04-13 00:00:00 SAC 1610612758 HOU \n", "334 21501225 2016-04-13 00:00:00 IND 1610612754 MIL \n", "335 21501226 2016-04-13 00:00:00 NOP 1610612740 MIN \n", "336 21501227 2016-04-13 00:00:00 MEM 1610612763 GSW \n", "337 21501228 2016-04-13 00:00:00 UTA 1610612762 LAL \n", "338 21501229 2016-04-13 00:00:00 LAC 1610612746 PHX \n", "339 21501230 2016-04-13 00:00:00 DEN 1610612743 POR \n", "\n", " Away ID Home Team Score Away Team Score Home EFG Home TOV \\\n", "0 1610612766 92 126 NaN NaN \n", "1 1610612748 111 129 NaN NaN \n", "2 1610612752 104 85 NaN NaN \n", "3 1610612742 108 121 NaN NaN \n", "4 1610612744 105 109 NaN NaN \n", "5 1610612747 101 107 NaN NaN \n", "6 1610612753 89 102 NaN NaN \n", "7 1610612755 119 99 NaN NaN \n", "8 1610612738 93 116 NaN NaN \n", "9 1610612761 94 104 NaN NaN \n", "10 1610612745 95 100 NaN NaN \n", "11 1610612763 98 104 NaN NaN \n", "12 1610612749 104 99 NaN NaN \n", "13 1610612750 104 98 NaN NaN \n", "14 1610612759 81 97 NaN NaN \n", "15 1610612743 107 117 NaN NaN \n", "16 1610612746 98 103 NaN NaN \n", "17 1610612748 92 108 NaN NaN \n", "18 1610612740 94 86 NaN NaN \n", "19 1610612742 104 101 NaN NaN \n", "20 1610612744 106 121 NaN NaN \n", "21 1610612766 101 108 NaN NaN \n", "22 1610612753 102 84 NaN NaN \n", "23 1610612755 112 102 NaN NaN \n", "24 1610612738 104 105 NaN NaN \n", "25 1610612761 115 117 NaN NaN \n", "26 1610612739 83 108 NaN NaN \n", "27 1610612763 88 94 NaN NaN \n", "28 1610612749 101 116 NaN NaN \n", "29 1610612743 121 120 NaN NaN \n", ".. ... ... ... ... ... \n", "310 1610612759 92 86 NaN NaN \n", "311 1610612752 93 89 NaN NaN \n", "312 1610612739 94 109 NaN NaN \n", "313 1610612753 98 107 NaN NaN \n", "314 1610612738 114 100 NaN NaN \n", "315 1610612751 120 111 NaN NaN \n", "316 1610612750 129 105 NaN NaN \n", "317 1610612740 121 116 NaN NaN \n", "318 1610612760 79 112 NaN NaN \n", "319 1610612762 101 92 NaN NaN \n", "320 1610612756 105 101 NaN NaN \n", "321 1610612754 90 102 NaN NaN \n", "322 1610612765 99 93 NaN NaN \n", "323 1610612761 98 122 NaN NaN \n", "324 1610612759 98 102 NaN NaN \n", "325 1610612746 84 110 NaN NaN \n", "326 1610612738 88 98 NaN NaN \n", "327 1610612751 103 96 NaN NaN \n", "328 1610612766 103 117 NaN NaN \n", "329 1610612739 112 110 NaN NaN \n", "330 1610612764 98 109 NaN NaN \n", "331 1610612741 105 115 NaN NaN \n", "332 1610612742 96 91 NaN NaN \n", "333 1610612745 81 116 NaN NaN \n", "334 1610612749 97 92 NaN NaN \n", "335 1610612750 109 144 NaN NaN \n", "336 1610612744 104 125 NaN NaN \n", "337 1610612747 96 101 NaN NaN \n", "338 1610612756 105 114 NaN NaN \n", "339 1610612757 99 107 NaN NaN \n", "\n", " ... Away ORB Away FTFGA Home Team Win h_dunk_made \\\n", "0 ... NaN NaN 0 2.0 \n", "1 ... NaN NaN 0 1.0 \n", "2 ... NaN NaN 1 2.0 \n", "3 ... NaN NaN 0 2.0 \n", "4 ... NaN NaN 0 0.0 \n", "5 ... NaN NaN 0 2.0 \n", "6 ... NaN NaN 0 0.0 \n", "7 ... NaN NaN 1 0.0 \n", "8 ... NaN NaN 0 0.0 \n", "9 ... NaN NaN 0 1.0 \n", "10 ... NaN NaN 0 0.0 \n", "11 ... NaN NaN 0 1.0 \n", "12 ... NaN NaN 1 0.0 \n", "13 ... NaN NaN 1 2.0 \n", "14 ... NaN NaN 0 3.0 \n", "15 ... NaN NaN 0 2.0 \n", "16 ... NaN NaN 0 2.0 \n", "17 ... NaN NaN 0 3.0 \n", "18 ... NaN NaN 1 0.0 \n", "19 ... NaN NaN 1 1.0 \n", "20 ... NaN NaN 0 1.0 \n", "21 ... NaN NaN 0 0.0 \n", "22 ... NaN NaN 1 1.0 \n", "23 ... NaN NaN 1 0.0 \n", "24 ... NaN NaN 0 0.0 \n", "25 ... NaN NaN 0 0.0 \n", "26 ... NaN NaN 0 0.0 \n", "27 ... NaN NaN 0 0.0 \n", "28 ... NaN NaN 0 1.0 \n", "29 ... NaN NaN 1 1.0 \n", ".. ... ... ... ... ... \n", "310 ... NaN NaN 1 0.0 \n", "311 ... NaN NaN 1 0.0 \n", "312 ... NaN NaN 0 0.0 \n", "313 ... NaN NaN 0 4.0 \n", "314 ... NaN NaN 1 1.0 \n", "315 ... NaN NaN 1 4.0 \n", "316 ... NaN NaN 1 3.0 \n", "317 ... NaN NaN 1 1.0 \n", "318 ... NaN NaN 0 0.0 \n", "319 ... NaN NaN 1 1.0 \n", "320 ... NaN NaN 1 1.0 \n", "321 ... NaN NaN 0 0.0 \n", "322 ... NaN NaN 1 2.0 \n", "323 ... NaN NaN 0 1.0 \n", "324 ... NaN NaN 0 1.0 \n", "325 ... NaN NaN 0 3.0 \n", "326 ... NaN NaN 0 1.0 \n", "327 ... NaN NaN 1 2.0 \n", "328 ... NaN NaN 0 1.0 \n", "329 ... NaN NaN 1 3.0 \n", "330 ... NaN NaN 0 0.0 \n", "331 ... NaN NaN 0 2.0 \n", "332 ... NaN NaN 1 0.0 \n", "333 ... NaN NaN 0 0.0 \n", "334 ... NaN NaN 1 2.0 \n", "335 ... NaN NaN 0 1.0 \n", "336 ... NaN NaN 0 2.0 \n", "337 ... NaN NaN 0 1.0 \n", "338 ... NaN NaN 0 1.0 \n", "339 ... NaN NaN 0 0.0 \n", "\n", " h_dunk_miss a_dunk_made a_dunk_miss h_dunk_score a_dunk_score \\\n", "0 1.0 3.0 0.0 1.0 3.0 \n", "1 1.0 4.0 0.0 0.0 4.0 \n", "2 0.0 0.0 0.0 2.0 0.0 \n", "3 0.0 1.0 0.0 2.0 1.0 \n", "4 0.0 2.0 0.0 0.0 2.0 \n", "5 0.0 1.0 1.0 2.0 0.0 \n", "6 0.0 0.0 0.0 0.0 0.0 \n", "7 0.0 2.0 0.0 0.0 2.0 \n", "8 0.0 0.0 0.0 0.0 0.0 \n", "9 0.0 0.0 1.0 1.0 -1.0 \n", "10 0.0 1.0 0.0 0.0 1.0 \n", "11 0.0 3.0 0.0 1.0 3.0 \n", "12 1.0 2.0 2.0 -1.0 0.0 \n", "13 0.0 0.0 0.0 2.0 0.0 \n", "14 0.0 0.0 0.0 3.0 0.0 \n", "15 0.0 1.0 0.0 2.0 1.0 \n", "16 0.0 0.0 0.0 2.0 0.0 \n", "17 0.0 6.0 0.0 3.0 6.0 \n", "18 0.0 1.0 0.0 0.0 1.0 \n", "19 0.0 1.0 0.0 1.0 1.0 \n", "20 0.0 0.0 0.0 1.0 0.0 \n", "21 1.0 1.0 0.0 -1.0 1.0 \n", "22 0.0 2.0 0.0 1.0 2.0 \n", "23 0.0 0.0 0.0 0.0 0.0 \n", "24 0.0 0.0 0.0 0.0 0.0 \n", "25 0.0 0.0 0.0 0.0 0.0 \n", "26 0.0 1.0 0.0 0.0 1.0 \n", "27 0.0 0.0 0.0 0.0 0.0 \n", "28 0.0 1.0 0.0 1.0 1.0 \n", "29 0.0 3.0 0.0 1.0 3.0 \n", ".. ... ... ... ... ... \n", "310 0.0 1.0 0.0 0.0 1.0 \n", "311 0.0 2.0 0.0 0.0 2.0 \n", "312 1.0 0.0 0.0 -1.0 0.0 \n", "313 0.0 1.0 0.0 4.0 1.0 \n", "314 0.0 0.0 0.0 1.0 0.0 \n", "315 0.0 5.0 0.0 4.0 5.0 \n", "316 0.0 0.0 0.0 3.0 0.0 \n", "317 0.0 3.0 0.0 1.0 3.0 \n", "318 1.0 3.0 0.0 -1.0 3.0 \n", "319 1.0 3.0 0.0 0.0 3.0 \n", "320 0.0 1.0 0.0 1.0 1.0 \n", "321 0.0 2.0 0.0 0.0 2.0 \n", "322 0.0 2.0 0.0 2.0 2.0 \n", "323 0.0 2.0 0.0 1.0 2.0 \n", "324 1.0 2.0 1.0 0.0 1.0 \n", "325 1.0 1.0 0.0 2.0 1.0 \n", "326 0.0 1.0 0.0 1.0 1.0 \n", "327 0.0 2.0 0.0 2.0 2.0 \n", "328 0.0 2.0 0.0 1.0 2.0 \n", "329 0.0 0.0 0.0 3.0 0.0 \n", "330 1.0 0.0 0.0 -1.0 0.0 \n", "331 0.0 4.0 0.0 2.0 4.0 \n", "332 0.0 0.0 0.0 0.0 0.0 \n", "333 1.0 3.0 0.0 -1.0 3.0 \n", "334 2.0 0.0 1.0 0.0 -1.0 \n", "335 0.0 1.0 0.0 1.0 1.0 \n", "336 0.0 1.0 0.0 2.0 1.0 \n", "337 1.0 1.0 0.0 0.0 1.0 \n", "338 1.0 1.0 0.0 0.0 1.0 \n", "339 0.0 1.0 0.0 0.0 1.0 \n", "\n", " h_dunk_win \n", "0 0.0 \n", "1 0.0 \n", "2 1.0 \n", "3 1.0 \n", "4 0.0 \n", "5 1.0 \n", "6 -1.0 \n", "7 0.0 \n", "8 -1.0 \n", "9 1.0 \n", "10 0.0 \n", "11 0.0 \n", "12 0.0 \n", "13 1.0 \n", "14 1.0 \n", "15 1.0 \n", "16 1.0 \n", "17 0.0 \n", "18 0.0 \n", "19 -1.0 \n", "20 1.0 \n", "21 0.0 \n", "22 0.0 \n", "23 -1.0 \n", "24 -1.0 \n", "25 -1.0 \n", "26 0.0 \n", "27 -1.0 \n", "28 -1.0 \n", "29 0.0 \n", ".. ... \n", "310 0.0 \n", "311 0.0 \n", "312 0.0 \n", "313 1.0 \n", "314 1.0 \n", "315 0.0 \n", "316 1.0 \n", "317 0.0 \n", "318 0.0 \n", "319 0.0 \n", "320 -1.0 \n", "321 0.0 \n", "322 -1.0 \n", "323 0.0 \n", "324 0.0 \n", "325 1.0 \n", "326 -1.0 \n", "327 -1.0 \n", "328 0.0 \n", "329 1.0 \n", "330 0.0 \n", "331 0.0 \n", "332 -1.0 \n", "333 0.0 \n", "334 1.0 \n", "335 -1.0 \n", "336 1.0 \n", "337 0.0 \n", "338 0.0 \n", "339 0.0 \n", "\n", "[340 rows x 24 columns]" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%timeit\n", "#Imports python class for collecting schedule and stats from NBA website\n", "from Schedule import Schedule\n", "import pandas as pd\n", "\n", "season_15_16 = Schedule('15-16', '3/1/2016', '4/13/2016')\n", "#season_15_16 = Schedule('15-16', '1/1/2016', '4/13/2016')\n", "#season_15_16 = Schedule('15-16', '12/26/2015', '4/13/2016')\n", "season_15_16.games.to_csv('games_15_16.csv', index = False)\n", "season_15_16.set_games(pd.read_csv('games_15_16.csv'))\n", "season_15_16.games" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#collect last 5 game stats\n", "season_14_15_5_stats = season_14_15.get_scoring_set(5)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "## Analyze the dunk score at least to start" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "## look at elo stuff" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "### add stuff about 4 factors and how the averages relate to winning games" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
leewujung/ooi_sonar
notebooks/before201709/Check single EK60 RAW file .ipynb
1
448985
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "import glob, os, sys\n", "import datetime as dt\n", "from matplotlib.dates import date2num, num2date\n", "from calendar import monthrange\n", "import h5py\n", "import matplotlib.pylab as plt\n", "# from modest_image import imshow\n", "# import numpy as np # already imported in zplsc_b\n", "\n", "sys.path.append('/Users/wujung/code/mi-instrument/')\n", "from mi.instrument.kut.ek60.ooicore.zplsc_b import *" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "ff = glob.glob('/Volumes/wjlee_apl_2/ooi_zplsc_600m/OOI-D2017082*.raw')" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "['/Volumes/wjlee_apl_2/ooi_zplsc_600m/OOI-D20170821-T000000.raw',\n", " '/Volumes/wjlee_apl_2/ooi_zplsc_600m/OOI-D20170820-T000000.raw']" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ff" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Processing: /Volumes/wjlee_apl_2/ooi_zplsc_600m/OOI-D20170821-T000000.raw\n" ] } ], "source": [ "particle_data, data_times, power_data_dict, freq, bin_size, config_header, config_transducer = \\\n", " parse_echogram_file(ff[0])" ] }, { "cell_type": "code", "execution_count": 113, "metadata": {}, "outputs": [], "source": [ "# xticks\n", "xtick_interval = 5\n", "ping_num_per_xtick = int(np.floor(data_times.shape[0]/xtick_interval))\n", "ping_num = range(0,(tick_num+1)*ping_num_per_tick,ping_num_per_xtick)\n", "ping_time = [num2date(data_times[x]) for x in ping_num]\n", "xtick_label = [x.strftime(\"%H:%M:%S\") for x in ping_time]" ] }, { "cell_type": "code", "execution_count": 114, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "datetime.datetime(2017, 8, 21, 0, 18, 52, 757836, tzinfo=<matplotlib.dates._UTC object at 0x10ab5cc10>)" ] }, "execution_count": 114, "metadata": {}, "output_type": "execute_result" } ], "source": [ "num2date(data_times[-1])" ] }, { "cell_type": "code", "execution_count": 137, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.text.Text at 0x133c78d10>,\n", " <matplotlib.text.Text at 0x1338de450>,\n", " <matplotlib.text.Text at 0x133b2e350>,\n", " <matplotlib.text.Text at 0x133b432d0>,\n", " <matplotlib.text.Text at 0x133b43a10>,\n", " <matplotlib.text.Text at 0x133b4e190>]" ] }, "execution_count": 137, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAi4AAAFkCAYAAAAHYbBIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1331a9ed0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig,ax = plt.subplots(3,sharex=True)\n", "for iSub in range(3):\n", "# ax[iSub].plot(np.random.normal(0,1,100))\n", " ax[iSub].imshow(power_data_dict[iSub+1][-1:None:-1,:],aspect='auto',\\\n", " extent=[0,power_data_dict[iSub+1].shape[1],-bin_size*power_data_dict[iSub+1].shape[1],0],\\\n", " vmin=-160, vmax=-60)\n", "ax[2].set_xticks(ping_num)\n", "ax[2].set_xticklabels(xtick_label)" ] }, { "cell_type": "code", "execution_count": 129, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(array([ 1.06000000e+02, 1.77670000e+04, 6.26989000e+05,\n", " 4.21578000e+05, 1.04968000e+05, 2.57100000e+04,\n", " 7.52500000e+03, 0.00000000e+00, 2.00000000e+00,\n", " 5.64300000e+03]),\n", " array([-193.91740853, -172.73477439, -151.55214024, -130.36950609,\n", " -109.18687194, -88.00423779, -66.82160365, -45.6389695 ,\n", " -24.45633535, -3.2737012 , 17.90893295]),\n", " <a list of 10 Patch objects>)" ] }, "execution_count": 129, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAigAAAFkCAYAAAAKf8APAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11917b0d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.hist(np.reshape(power_data_dict[1],-1))" ] }, { "cell_type": "code", "execution_count": 138, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "'1.11.3'" ] }, "execution_count": 138, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.version.version" ] }, { "cell_type": "code", "execution_count": 140, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 140, "metadata": {}, "output_type": "execute_result" } ], "source": [ "-1%3" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [conda env:py27]", "language": "python", "name": "conda-env-py27-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
tranlyvu/kaggle
San Francisco Crime Classification/notebook/Untitled.ipynb
2
20480
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Author : [Vu Tran](https://github.com/tranlyvu). Other info is on [github](https://github.com/tranlyvu/kaggle/tree/master/San%20Francisco%20Crime%20Classification)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Kaggle Competition: San Francisco Crime Classification\n", "\n", "* Info from Competition Site\n", " * Description\n", " * Evaluation\n", " * Data Set\n", "* First attempt: \n", " * Working with data\n", " * Feature 'review'\n", " * Training Naive Bayes\n", " * Predicting with Naive Bayes\n", " * Preparing for kaggle submission\n", " * Performance Evaluation \n", " * Splitting train data set\n", " * Evaluating performance using splitted data set\n", " * Plotting ROC curve\n", " * Hyperparameters \n", " * Other improvements\n", "* Second attempt (in progress)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#Info from Competition Site\n", "\n", "##[Description](https://www.kaggle.com/c/sf-crime)\n", "\n", "![image](https://raw.githubusercontent.com/tranlyvu/kaggle/master/San%20Francisco%20Crime%20Classification/image/image.jpg)\n", "\n", "###Predict the category of crimes that occurred in the city by the bay\n", "\n", "From 1934 to 1963, San Francisco was infamous for housing some of the world's most notorious criminals on the inescapable island of Alcatraz.\n", "\n", "Today, the city is known more for its tech scene than its criminal past. But, with rising wealth inequality, housing shortages, and a proliferation of expensive digital toys riding BART to work, there is no scarcity of crime in the city by the bay.\n", "\n", "From Sunset to SOMA, and Marina to Excelsior, this competition's dataset provides nearly 12 years of crime reports from across all of San Francisco's neighborhoods. Given time and location, you must predict the category of crime that occurred.\n", "\n", "We're also encouraging you to explore the dataset visually. What can we learn about the city through visualizations like this Top Crimes Map? The top most up-voted scripts from this competition will receive official Kaggle swag as prizes.\n", "\n", " \n", "##[Evaluation](https://www.kaggle.com/c/sf-crime/details/evaluation)\n", "\n", "\n", "Submissions are evaluated using the multi-class logarithmic loss. Each incident has been labeled with one true class. For each incident, you must submit a set of predicted probabilities (one for every class). The formula is then,\n", "\n", "logloss=−1N∑i=1N∑j=1Myijlog(pij),\n", "logloss=−1N∑i=1N∑j=1Myijlog⁡(pij),\n", "\n", "where N is the number of cases in the test set, M is the number of class labels, loglog is the natural logarithm, yijyij is 1 if observation ii is in class jj and 0 otherwise, and pijpij is the predicted probability that observation ii belongs to class jj.\n", "\n", "The submitted probabilities for a given incident are not required to sum to one because they are rescaled prior to being scored (each row is divided by the row sum). In order to avoid the extremes of the log function, predicted probabilities are replaced with max(min(p,1−10−15),10−15)max(min(p,1−10−15),10−15).\n", "\n", "###Submission Format\n", "\n", "You must submit a csv file with the incident id, all candidate class names, and a probability for each class. The order of the rows does not matter. The file must have a header and should look like the following:\n", "\n", "Id,ARSON,ASSAULT,BAD CHECKS,BRIBERY,BURGLARY,DISORDERLY CONDUCT,DRIVING UNDER THE INFLUENCE,DRUG/NARCOTIC,DRUNKENNESS,EMBEZZLEMENT,EXTORTION,FAMILY OFFENSES,FORGERY/COUNTERFEITING,FRAUD,GAMBLING,KIDNAPPING,LARCENY/THEFT,LIQUOR LAWS,LOITERING,MISSING PERSON,NON-CRIMINAL,OTHER OFFENSES,PORNOGRAPHY/OBSCENE MAT,PROSTITUTION,RECOVERED VEHICLE,ROBBERY,RUNAWAY,SECONDARY CODES,SEX OFFENSES FORCIBLE,SEX OFFENSES NON FORCIBLE,STOLEN PROPERTY,SUICIDE,SUSPICIOUS OCC,TREA,TRESPASS,VANDALISM,VEHICLE THEFT,WARRANTS,WEAPON LAWS\n", "0,0.9,0.1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0\n", "1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1\n", "...\n", "etc.\n", " \n", "##[Data Set](https://www.kaggle.com/c/sf-crime/data)\n", "\n", "###Data Files\n", "\n", "File Name \t|Available Formats\n", "--------------|----------------\n", "test.csv \t|.zip (18.75 mb)\n", "sampleSubmission.csv | \t.zip (2.38 mb)\n", "train.csv |\t.zip (22.09 mb)\n", "\n", "This dataset contains incidents derived from SFPD Crime Incident Reporting system. The data ranges from 1/1/2003 to 5/13/2015. The training set and test set rotate every week, meaning week 1,3,5,7... belong to test set, week 2,4,6,8 belong to training set. \n", "\n", "![image](https://raw.githubusercontent.com/tranlyvu/kaggle/master/San%20Francisco%20Crime%20Classification/image/data.png)\n", "\n", "###Data fields\n", "\n", " Dates - timestamp of the crime incident\n", " Category - category of the crime incident (only in train.csv). This is the target variable you are going to predict.\n", " Descript - detailed description of the crime incident (only in train.csv)\n", " DayOfWeek - the day of the week\n", " PdDistrict - name of the Police Department District\n", " Resolution - how the crime incident was resolved (only in train.csv)\n", " Address - the approximate street address of the crime incident \n", " X - Longitude\n", " Y - Latitude\n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#First attempt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "##Working with data" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Dates</th>\n", " <th>Category</th>\n", " <th>Descript</th>\n", " <th>DayOfWeek</th>\n", " <th>PdDistrict</th>\n", " <th>Resolution</th>\n", " <th>Address</th>\n", " <th>X</th>\n", " <th>Y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>2015-05-13 23:53:00</td>\n", " <td>WARRANTS</td>\n", " <td>WARRANT ARREST</td>\n", " <td>Wednesday</td>\n", " <td>NORTHERN</td>\n", " <td>ARREST, BOOKED</td>\n", " <td>OAK ST / LAGUNA ST</td>\n", " <td>-122.425892</td>\n", " <td>37.774599</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2015-05-13 23:53:00</td>\n", " <td>OTHER OFFENSES</td>\n", " <td>TRAFFIC VIOLATION ARREST</td>\n", " <td>Wednesday</td>\n", " <td>NORTHERN</td>\n", " <td>ARREST, BOOKED</td>\n", " <td>OAK ST / LAGUNA ST</td>\n", " <td>-122.425892</td>\n", " <td>37.774599</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2015-05-13 23:33:00</td>\n", " <td>OTHER OFFENSES</td>\n", " <td>TRAFFIC VIOLATION ARREST</td>\n", " <td>Wednesday</td>\n", " <td>NORTHERN</td>\n", " <td>ARREST, BOOKED</td>\n", " <td>VANNESS AV / GREENWICH ST</td>\n", " <td>-122.424363</td>\n", " <td>37.800414</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2015-05-13 23:30:00</td>\n", " <td>LARCENY/THEFT</td>\n", " <td>GRAND THEFT FROM LOCKED AUTO</td>\n", " <td>Wednesday</td>\n", " <td>NORTHERN</td>\n", " <td>NONE</td>\n", " <td>1500 Block of LOMBARD ST</td>\n", " <td>-122.426995</td>\n", " <td>37.800873</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2015-05-13 23:30:00</td>\n", " <td>LARCENY/THEFT</td>\n", " <td>GRAND THEFT FROM LOCKED AUTO</td>\n", " <td>Wednesday</td>\n", " <td>PARK</td>\n", " <td>NONE</td>\n", " <td>100 Block of BRODERICK ST</td>\n", " <td>-122.438738</td>\n", " <td>37.771541</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Dates Category Descript \\\n", "0 2015-05-13 23:53:00 WARRANTS WARRANT ARREST \n", "1 2015-05-13 23:53:00 OTHER OFFENSES TRAFFIC VIOLATION ARREST \n", "2 2015-05-13 23:33:00 OTHER OFFENSES TRAFFIC VIOLATION ARREST \n", "3 2015-05-13 23:30:00 LARCENY/THEFT GRAND THEFT FROM LOCKED AUTO \n", "4 2015-05-13 23:30:00 LARCENY/THEFT GRAND THEFT FROM LOCKED AUTO \n", "\n", " DayOfWeek PdDistrict Resolution Address \\\n", "0 Wednesday NORTHERN ARREST, BOOKED OAK ST / LAGUNA ST \n", "1 Wednesday NORTHERN ARREST, BOOKED OAK ST / LAGUNA ST \n", "2 Wednesday NORTHERN ARREST, BOOKED VANNESS AV / GREENWICH ST \n", "3 Wednesday NORTHERN NONE 1500 Block of LOMBARD ST \n", "4 Wednesday PARK NONE 100 Block of BRODERICK ST \n", "\n", " X Y \n", "0 -122.425892 37.774599 \n", "1 -122.425892 37.774599 \n", "2 -122.424363 37.800414 \n", "3 -122.426995 37.800873 \n", "4 -122.438738 37.771541 " ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "import zipfile\n", "\n", "#reading train dataset:\n", "archive=zipfile.ZipFile(\"C:/Users/vutran/Desktop/github/kaggle/San Francisco Crime Classification/data/train.csv.zip\",'r')\n", "train_data=pd.read_csv(archive.open(\"train.csv\"))\n", "train_data.head()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Dates</th>\n", " <th>Category</th>\n", " <th>Descript</th>\n", " <th>DayOfWeek</th>\n", " <th>PdDistrict</th>\n", " <th>Resolution</th>\n", " <th>Address</th>\n", " <th>X</th>\n", " <th>Y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>878044</th>\n", " <td>2003-01-06 00:15:00</td>\n", " <td>ROBBERY</td>\n", " <td>ROBBERY ON THE STREET WITH A GUN</td>\n", " <td>Monday</td>\n", " <td>TARAVAL</td>\n", " <td>NONE</td>\n", " <td>FARALLONES ST / CAPITOL AV</td>\n", " <td>-122.459033</td>\n", " <td>37.714056</td>\n", " </tr>\n", " <tr>\n", " <th>878045</th>\n", " <td>2003-01-06 00:01:00</td>\n", " <td>LARCENY/THEFT</td>\n", " <td>GRAND THEFT FROM LOCKED AUTO</td>\n", " <td>Monday</td>\n", " <td>INGLESIDE</td>\n", " <td>NONE</td>\n", " <td>600 Block of EDNA ST</td>\n", " <td>-122.447364</td>\n", " <td>37.731948</td>\n", " </tr>\n", " <tr>\n", " <th>878046</th>\n", " <td>2003-01-06 00:01:00</td>\n", " <td>LARCENY/THEFT</td>\n", " <td>GRAND THEFT FROM LOCKED AUTO</td>\n", " <td>Monday</td>\n", " <td>SOUTHERN</td>\n", " <td>NONE</td>\n", " <td>5TH ST / FOLSOM ST</td>\n", " <td>-122.403390</td>\n", " <td>37.780266</td>\n", " </tr>\n", " <tr>\n", " <th>878047</th>\n", " <td>2003-01-06 00:01:00</td>\n", " <td>VANDALISM</td>\n", " <td>MALICIOUS MISCHIEF, VANDALISM OF VEHICLES</td>\n", " <td>Monday</td>\n", " <td>SOUTHERN</td>\n", " <td>NONE</td>\n", " <td>TOWNSEND ST / 2ND ST</td>\n", " <td>-122.390531</td>\n", " <td>37.780607</td>\n", " </tr>\n", " <tr>\n", " <th>878048</th>\n", " <td>2003-01-06 00:01:00</td>\n", " <td>FORGERY/COUNTERFEITING</td>\n", " <td>CHECKS, FORGERY (FELONY)</td>\n", " <td>Monday</td>\n", " <td>BAYVIEW</td>\n", " <td>NONE</td>\n", " <td>1800 Block of NEWCOMB AV</td>\n", " <td>-122.394926</td>\n", " <td>37.738212</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Dates Category \\\n", "878044 2003-01-06 00:15:00 ROBBERY \n", "878045 2003-01-06 00:01:00 LARCENY/THEFT \n", "878046 2003-01-06 00:01:00 LARCENY/THEFT \n", "878047 2003-01-06 00:01:00 VANDALISM \n", "878048 2003-01-06 00:01:00 FORGERY/COUNTERFEITING \n", "\n", " Descript DayOfWeek PdDistrict \\\n", "878044 ROBBERY ON THE STREET WITH A GUN Monday TARAVAL \n", "878045 GRAND THEFT FROM LOCKED AUTO Monday INGLESIDE \n", "878046 GRAND THEFT FROM LOCKED AUTO Monday SOUTHERN \n", "878047 MALICIOUS MISCHIEF, VANDALISM OF VEHICLES Monday SOUTHERN \n", "878048 CHECKS, FORGERY (FELONY) Monday BAYVIEW \n", "\n", " Resolution Address X Y \n", "878044 NONE FARALLONES ST / CAPITOL AV -122.459033 37.714056 \n", "878045 NONE 600 Block of EDNA ST -122.447364 37.731948 \n", "878046 NONE 5TH ST / FOLSOM ST -122.403390 37.780266 \n", "878047 NONE TOWNSEND ST / 2ND ST -122.390531 37.780607 \n", "878048 NONE 1800 Block of NEWCOMB AV -122.394926 37.738212 " ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "train_data.tail()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Dates object\n", "Category object\n", "Descript object\n", "DayOfWeek object\n", "PdDistrict object\n", "Resolution object\n", "Address object\n", "X float64\n", "Y float64\n", "dtype: object" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "train_data.dtypes" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'pandas.core.frame.DataFrame'>\n", "Int64Index: 878049 entries, 0 to 878048\n", "Data columns (total 9 columns):\n", "Dates 878049 non-null object\n", "Category 878049 non-null object\n", "Descript 878049 non-null object\n", "DayOfWeek 878049 non-null object\n", "PdDistrict 878049 non-null object\n", "Resolution 878049 non-null object\n", "Address 878049 non-null object\n", "X 878049 non-null float64\n", "Y 878049 non-null float64\n", "dtypes: float64(2), object(7)\n", "memory usage: 67.0+ MB\n" ] } ], "source": [ "train_data.info()" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "array(['WARRANTS', 'OTHER OFFENSES', 'LARCENY/THEFT', 'VEHICLE THEFT',\n", " 'VANDALISM', 'NON-CRIMINAL', 'ROBBERY', 'ASSAULT', 'WEAPON LAWS',\n", " 'BURGLARY', 'SUSPICIOUS OCC', 'DRUNKENNESS',\n", " 'FORGERY/COUNTERFEITING', 'DRUG/NARCOTIC', 'STOLEN PROPERTY',\n", " 'SECONDARY CODES', 'TRESPASS', 'MISSING PERSON', 'FRAUD',\n", " 'KIDNAPPING', 'RUNAWAY', 'DRIVING UNDER THE INFLUENCE',\n", " 'SEX OFFENSES FORCIBLE', 'PROSTITUTION', 'DISORDERLY CONDUCT',\n", " 'ARSON', 'FAMILY OFFENSES', 'LIQUOR LAWS', 'BRIBERY',\n", " 'EMBEZZLEMENT', 'SUICIDE', 'LOITERING', 'SEX OFFENSES NON FORCIBLE',\n", " 'EXTORTION', 'GAMBLING', 'BAD CHECKS', 'TREA', 'RECOVERED VEHICLE',\n", " 'PORNOGRAPHY/OBSCENE MAT'], dtype=object)" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.unique(train_data.Category)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(39L,)" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.unique(train_data.Category).shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now that we already have general idea of Data Set. We next clean, transform data to create useful features for machine learning" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "##Feature 'Dates' and 'DayOfWeek'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Deature Dates include both date and time, I'll shall only use time" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([23, 22, 21, 20, 19, 18, 17, 16, 15, 14, 13, 12, 11, 10, 9, 8, 7,\n", " 6, 5, 4, 3, 2, 1, 0], dtype=int64)" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "feature_hour=pd.to_datetime(train_data.Dates).dt.hour\n", "pd.unique(feature_hour)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dow = {\n", " 'Monday':0,\n", " 'Tuesday':1,\n", " 'Wednesday':2,\n", " 'Thursday':3,\n", " 'Friday':4,\n", " 'Saturday':5,\n", " 'Sunday':6\n", "}\n", "df['dayofweek'] = df.DayOfWeek.map(dow)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
zzsza/Datascience_School
03. 파이썬 프로그래밍/03. Python 시작하기.ipynb
1
90350
{ "cells": [ { "cell_type": "markdown", "metadata": { "school_cell_uuid": "5c453f03661344b9a4f1172ec5b37f85" }, "source": [ "# Python 시작하기" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "f5aa5408d6d04a57aea07bf0c08c4db6" }, "source": [ "이 노트북은 처음으로 Python을 시작하는 사람이 실제로 명령어를 실행시키면서 기본 개념을 익히기 위한 문서이다." ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "5f08d7b48fa34c89b782104d4302163d" }, "source": [ "## print 문" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "school_cell_uuid": "2c52e05bcd6b42a68e386e157509ae60" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Hello, world!\n" ] } ], "source": [ "print(\"Hello, world!\")" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 버전에 따른 print 문법 차이" } }, "school_cell_uuid": "881ba9d5d87449819cf3514d42879ee4" }, "source": [ "* python 2\n", "\n", " * `print 1`\n", "\n", "* python 3\n", "\n", " * `print(1)`\n", "\n", "* python 2에서 python 3 문법을 사용하려면\n", " \n", " * `from __future__ import print_function`\n", " * 본 강의에서는 python 3 문법 사용" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "school_cell_uuid": "22dbafbdca5c4805966e9ef3e235d2c5" }, "outputs": [], "source": [ "a = 3\n", "b = 2 * a" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "school_cell_uuid": "2695e0914663475081f509c4d2c05b56" }, "outputs": [ { "data": { "text/plain": [ "6" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a\n", "b" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 기본 자료형" } }, "school_cell_uuid": "6a8fe04a8eb242138f25a9284c0f399d" }, "source": [ "#### Basic Types 기본 자료형\n", "\n", "* Boolean 부울리언\n", "* Integer 정수\n", "* Float 부동소수점\n", "* Complex 복소수\n", "* None" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "8203a4907eba47f4b6ce1d950d3df80a" }, "source": [ "### Boolean 부울리언" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "school_cell_uuid": "32d55222902340e6903da3309f579435" }, "outputs": [ { "data": { "text/plain": [ "(True, False)" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "True, False" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "school_cell_uuid": "be82524a574c40d3b7069025cf1e62fd" }, "outputs": [ { "data": { "text/plain": [ "False" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "test = (3 > 4)\n", "test" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "school_cell_uuid": "6f65dd4373264dd7a6fd584798d0ddc5" }, "outputs": [ { "data": { "text/plain": [ "bool" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(test) " ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "552e9467908e4250978943ae515257bc" }, "source": [ "### Integer 정수" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true, "school_cell_uuid": "15d4b5a249dd4cac85eaa60f2f101466" }, "outputs": [], "source": [ "a = 4" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "school_cell_uuid": "60b11969be6e4b7d9c450ca4074e249e" }, "outputs": [ { "data": { "text/plain": [ "int" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(a) " ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "b5b5428943a5480a95641c0719508766" }, "source": [ "### Float 부동소수점" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true, "school_cell_uuid": "3b82b704f7f3477e94270cf11ba9fd21" }, "outputs": [], "source": [ "c = 2.1" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "school_cell_uuid": "48841f0e4987418f9435252448e76e46" }, "outputs": [ { "data": { "text/plain": [ "float" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(c) " ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "d09136b2f71543c5b7e867e98228c6bf" }, "source": [ "### Complex 복소수" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false, "school_cell_uuid": "2fba84d740bb4e228d83f65921270e04" }, "outputs": [ { "data": { "text/plain": [ "(1.5+0.5j)" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 1.5 + 0.5j\n", "a" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false, "school_cell_uuid": "270680e1bc08475c8206ca5b4f3bedd9" }, "outputs": [ { "data": { "text/plain": [ "1.5" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a.real" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false, "school_cell_uuid": "421f1760104949f59f398e603fb5ad2d" }, "outputs": [ { "data": { "text/plain": [ "0.5" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a.imag" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false, "school_cell_uuid": "3c91203501c04248928dd272bb220adb" }, "outputs": [ { "data": { "text/plain": [ "complex" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(1. + 0j) " ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "fa342c01093342c6b528c004ac13b1ed" }, "source": [ "### Casting 자료형 변환" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false, "school_cell_uuid": "5d6ae6d4fd6646d19d605f75ef49a42d" }, "outputs": [ { "data": { "text/plain": [ "1.0" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "float(1)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false, "school_cell_uuid": "3b9c5c2e4ef74f8e9b0afc77defab7d8" }, "outputs": [ { "data": { "text/plain": [ "21.0" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "7 * 3." ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "32f195945bc144c18f3a8bbc9e807426" }, "source": [ "### Division" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true, "school_cell_uuid": "a9ec195a5f3b4492a85c32447c226ad9" }, "outputs": [], "source": [ "from __future__ import division" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false, "school_cell_uuid": "d83e5b71109a44ad8bef723a07bb5f41" }, "outputs": [ { "data": { "text/plain": [ "1.5" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "3 / 2" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true, "school_cell_uuid": "0232e527465c45b29fd2f3ba72f05faa" }, "outputs": [], "source": [ "# without from __future__ import division\n", "# 3 / 2 => 1" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false, "school_cell_uuid": "f055803a9cb24704a3ff1f823857d43b" }, "outputs": [ { "data": { "text/plain": [ "1" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "3 // 2" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "90b42aff4357415ab60f87a9e5062c59" }, "source": [ "### Power" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false, "school_cell_uuid": "75ba2ba4a1284c8780fd8ad5775b50bb" }, "outputs": [ { "data": { "text/plain": [ "1024" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "2**10" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "d304c844185e42dc9fb1c5ce7daeb6ab" }, "source": [ "### Modulo" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false, "school_cell_uuid": "6deaa83c67db4ea6a148acdbd8a2be12" }, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "8 % 3" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "9633efc9cb5446a79b489a78b86622bd" }, "source": [ "### Assignment" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false, "school_cell_uuid": "28acf932e761416085bbfaec9e71266b" }, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 1\n", "a += 1\n", "a" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false, "school_cell_uuid": "1e88d9b8999540d4b6882e711ae05e4f" }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 1\n", "a -= 1\n", "a" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false, "school_cell_uuid": "e7f3191c42ce45e4bfe5ff1d10c345e9" }, "outputs": [ { "data": { "text/plain": [ "20" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 10\n", "a *= 2\n", "a" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false, "school_cell_uuid": "d90f24f8914848909940ebb34c1ec80e" }, "outputs": [ { "data": { "text/plain": [ "5.0" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 10\n", "a /= 2\n", "a" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "cf76b3626bbf4a71ba4553e6d62fb7f0" }, "source": [ "### Comparison 비교" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "9692b7220b084d15b5e120e97bcf3ba7" }, "source": [ "$$ 2 > 1, \\;\\;\\; 2 \\geq 1, \\;\\;\\; 2 = 1 $$" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false, "school_cell_uuid": "b748921da0c34829989b6a04ace5ed8f" }, "outputs": [ { "data": { "text/plain": [ "(True, True, False)" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "2 > 1, 2 >= 1, 2 == 1" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 고급 자료형" } }, "school_cell_uuid": "db784134cd174879b62cc1801b184c66" }, "source": [ "## Containers 고급 자료형\n", "\n", "* list 리스트\n", "* dictionary 사전\n", "* tuple 튜플\n", "* string 문자열\n" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "5963419617cd4ac49781c49b300539fe" }, "source": [ "### List 리스트" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false, "school_cell_uuid": "9a454bb151ce4c82be9f26d00a4f9a7d" }, "outputs": [ { "data": { "text/plain": [ "list" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l = ['red', 'blue', 'green', 'black', 'white']\n", "type(l) " ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 인덱싱" } }, "school_cell_uuid": "1f543d9a7cef4a4ba69185604723399f" }, "source": [ "#### Indexing 인덱싱\n", "\n", "* container 유형의 자료에서 일부 자료만 뽑아내는 일" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false, "school_cell_uuid": "26577cf6936741c589c9f7d08918d3cf" }, "outputs": [ { "data": { "text/plain": [ "'red'" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[0]" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false, "school_cell_uuid": "6128701e435b4ad6b6a5dde0bc1682b6" }, "outputs": [ { "data": { "text/plain": [ "'blue'" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[1]" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false, "school_cell_uuid": "ce0c0f64d6f14a16aa115e3c1216ecfb" }, "outputs": [ { "data": { "text/plain": [ "'white'" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[-1]" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false, "school_cell_uuid": "f346959fd4044925bc38a5d5cd03e5c7" }, "outputs": [ { "data": { "text/plain": [ "'black'" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[-2]" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "01ef2504d69b448980af076481840f18" }, "source": [ "#### Slicing 슬라이싱" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false, "school_cell_uuid": "4e6ebc58d144463a91c05e93aecc0182" }, "outputs": [ { "data": { "text/plain": [ "['green', 'black']" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[2:4]" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 슬라이싱" } }, "school_cell_uuid": "40f9f62760fd4d02b94d380dfbc5bf6e" }, "source": [ "* `l[start:stop:step]`\n", " * `start<= < stop`\n", " * `i = i + step`\n", "* All slicing parameters are optional:" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false, "school_cell_uuid": "1a41afbcd4a64a838db8724fdccd34b6" }, "outputs": [ { "data": { "text/plain": [ "['green', 'black', 'white']" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[2:]" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false, "school_cell_uuid": "38a07a2834574b94a19f57e2edf79d51" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue']" ] }, "execution_count": 35, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[:2]" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false, "school_cell_uuid": "ebf1a06f38d8427aa5eddffaf4a6a083" }, "outputs": [ { "data": { "text/plain": [ "['red', 'green', 'white']" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[::2]" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "89ebe1de328f450887b0945a94facc9d" }, "source": [ "* Lists are mutable \n", "* can be modified" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false, "school_cell_uuid": "f03da65400664332836e3e842309fabe" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 37, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false, "school_cell_uuid": "21d92b08eb7d4d80a64f4b91ed2e34dd" }, "outputs": [ { "data": { "text/plain": [ "['yellow', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[0] = 'yellow'\n", "l" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false, "school_cell_uuid": "4b9d322c632c456d868fe913c7ad60d9" }, "outputs": [ { "data": { "text/plain": [ "['yellow', 'blue', 'gray', 'purple', 'white']" ] }, "execution_count": 39, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[2:4] = ['gray', 'purple']\n", "l" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "1263e243ceb54803b3d7cf78d5955391" }, "source": [ "* list may have different types\n" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": true, "school_cell_uuid": "fd1e65fae017448e9e483807610e8d09" }, "outputs": [], "source": [ "l = [3.14, -200, 'hello']" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false, "school_cell_uuid": "e08058435ad0436bbc65b9e5ba637db2" }, "outputs": [ { "data": { "text/plain": [ "(-200, 'hello')" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l[1], l[2]" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "1e28f71785b44723a0f07e0d5f360436" }, "source": [ "#### Methods 메소드" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "0e08eaf8ac6d4e5a8cccfbce49584984" }, "source": [ "* Add, Remove" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": true, "school_cell_uuid": "6e43419cbd5f45fa9e356e38c479dbf6" }, "outputs": [], "source": [ "L = ['red', 'blue', 'green', 'black', 'white']" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": true, "school_cell_uuid": "d52d9f8074914188822c85e61b8d4e54" }, "outputs": [], "source": [ "L.append('pink')" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false, "school_cell_uuid": "664bfa53eaf449328b95a324c2209a0f" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white', 'pink']" ] }, "execution_count": 44, "metadata": {}, "output_type": "execute_result" } ], "source": [ "L" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false, "school_cell_uuid": "c8779df9be0443c4805b5b4566cd512c" }, "outputs": [ { "data": { "text/plain": [ "'pink'" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "L.pop() # removes and returns the last item" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false, "school_cell_uuid": "26359d97a9bd464dbcfa4b4c7d8be2db" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 46, "metadata": {}, "output_type": "execute_result" } ], "source": [ "L" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": true, "school_cell_uuid": "94fbaa7fb9d644a3becc76b78d2e2d51" }, "outputs": [], "source": [ "L.extend(['pink', 'purple']) # extend L, in-place" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false, "school_cell_uuid": "11994070ad184a3591cf63d1f00ad281" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white', 'pink', 'purple']" ] }, "execution_count": 48, "metadata": {}, "output_type": "execute_result" } ], "source": [ "L" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false, "school_cell_uuid": "0e48f9c9beea461ab1d85ac6d2ac674c" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "L = L[:-2]\n", "L" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "1c171035333b489d82cde20721d54107" }, "source": [ "* Reverse" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false, "school_cell_uuid": "5625f09d938048bfad186884ce94c231" }, "outputs": [ { "data": { "text/plain": [ "['white', 'black', 'green', 'blue', 'red']" ] }, "execution_count": 50, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r = L[::-1]\n", "r" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": true, "run_control": { "marked": false }, "school_cell_uuid": "290da364b931429aa9de1cc97b94601e" }, "outputs": [], "source": [ "r.reverse()" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false, "school_cell_uuid": "3263661a9595414a82d93e753cea7025" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": false, "school_cell_uuid": "548098a0446c470aa8fb003a2f650e02" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 53, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r2 = list(L)\n", "r2" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false, "school_cell_uuid": "40cae3deb8fe4dedac94dd903ca38482" }, "outputs": [ { "data": { "text/plain": [ "['white', 'black', 'green', 'blue', 'red']" ] }, "execution_count": 54, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r2.reverse() # in-place\n", "r2" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "d8d4cf16784647f38414e407209377cc" }, "source": [ "* Concatenate and repeat lists" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": false, "school_cell_uuid": "2237c99df4104315b45a13be47bac2f4" }, "outputs": [ { "data": { "text/plain": [ "['red',\n", " 'blue',\n", " 'green',\n", " 'black',\n", " 'white',\n", " 'red',\n", " 'blue',\n", " 'green',\n", " 'black',\n", " 'white']" ] }, "execution_count": 55, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r + L" ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false, "school_cell_uuid": "b458382687824133a16d5fec8825284a" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 56, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false, "school_cell_uuid": "1273c9edb69d4d739f616b137d91a93e" }, "outputs": [ { "data": { "text/plain": [ "['red',\n", " 'blue',\n", " 'green',\n", " 'black',\n", " 'white',\n", " 'red',\n", " 'blue',\n", " 'green',\n", " 'black',\n", " 'white']" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r * 2" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "5966c327f2b24d14bb33f6bcc0f75cd9" }, "source": [ "* Sort" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false, "school_cell_uuid": "8a29a8484f0f4af89edd1bb33e47b032" }, "outputs": [ { "data": { "text/plain": [ "['black', 'blue', 'green', 'red', 'white']" ] }, "execution_count": 58, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sorted(r) # new object" ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "collapsed": false, "school_cell_uuid": "870015a482314e6ca1a8389bc267f19c" }, "outputs": [ { "data": { "text/plain": [ "['red', 'blue', 'green', 'black', 'white']" ] }, "execution_count": 59, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": true, "run_control": { "marked": false }, "school_cell_uuid": "0195c5f485624ce4bb6837fcf5a379c2" }, "outputs": [], "source": [ "r.sort() # in-place" ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false, "school_cell_uuid": "a2249cfafafa4136b9a5ebe3e8d69641" }, "outputs": [ { "data": { "text/plain": [ "['black', 'blue', 'green', 'red', 'white']" ] }, "execution_count": 61, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "8fa55dbc10fb453996ea051b6e2e91e5" }, "source": [ "* All methods\n", " * `r.` + press <TAB>" ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false, "school_cell_uuid": "83c529d4737341b5bcaab03ff4f3d2d5" }, "outputs": [ { "ename": "SyntaxError", "evalue": "invalid syntax (<ipython-input-62-ea092d2967a4>, line 1)", "output_type": "error", "traceback": [ "\u001b[1;36m File \u001b[1;32m\"<ipython-input-62-ea092d2967a4>\"\u001b[1;36m, line \u001b[1;32m1\u001b[0m\n\u001b[1;33m r.\u001b[0m\n\u001b[1;37m ^\u001b[0m\n\u001b[1;31mSyntaxError\u001b[0m\u001b[1;31m:\u001b[0m invalid syntax\n" ] } ], "source": [ "r." ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false, "school_cell_uuid": "d96044a4818349d783ba652d368d5ec8" }, "outputs": [ { "data": { "text/plain": [ "['__add__',\n", " '__class__',\n", " '__contains__',\n", " '__delattr__',\n", " '__delitem__',\n", " '__delslice__',\n", " '__doc__',\n", " '__eq__',\n", " '__format__',\n", " '__ge__',\n", " '__getattribute__',\n", " '__getitem__',\n", " '__getslice__',\n", " '__gt__',\n", " '__hash__',\n", " '__iadd__',\n", " '__imul__',\n", " '__init__',\n", " '__iter__',\n", " '__le__',\n", " '__len__',\n", " '__lt__',\n", " '__mul__',\n", " '__ne__',\n", " '__new__',\n", " '__reduce__',\n", " '__reduce_ex__',\n", " '__repr__',\n", " '__reversed__',\n", " '__rmul__',\n", " '__setattr__',\n", " '__setitem__',\n", " '__setslice__',\n", " '__sizeof__',\n", " '__str__',\n", " '__subclasshook__',\n", " 'append',\n", " 'count',\n", " 'extend',\n", " 'index',\n", " 'insert',\n", " 'pop',\n", " 'remove',\n", " 'reverse',\n", " 'sort']" ] }, "execution_count": 63, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dir(r)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "7c2e64dd18074050a25a1518bf7c87cc" }, "source": [ "### String 문자열" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "collapsed": false, "school_cell_uuid": "b0fa78f79fd24dfcb7dcb78c2da6b83c" }, "outputs": [ { "data": { "text/plain": [ "'Hello, how are you?'" ] }, "execution_count": 64, "metadata": {}, "output_type": "execute_result" } ], "source": [ "s = 'Hello, how are you?'\n", "s" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "bdf555d785234a79a05160c0e922a766" }, "source": [ "* Multi-line string" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false, "school_cell_uuid": "bd8898c44fb7406a97b18f4c8162ac43" }, "outputs": [ { "data": { "text/plain": [ "'Hello, \\n how are you'" ] }, "execution_count": 65, "metadata": {}, "output_type": "execute_result" } ], "source": [ "s = '''Hello, \n", " how are you'''\n", "s" ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false, "school_cell_uuid": "6e265ee8c30f450fb2eeac2ab377c783" }, "outputs": [ { "data": { "text/plain": [ "\"Hi,\\nwhat's up?\"" ] }, "execution_count": 66, "metadata": {}, "output_type": "execute_result" } ], "source": [ "s = \"\"\"Hi,\n", "what's up?\"\"\"\n", "s" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false, "school_cell_uuid": "16baa161e94447b283a009dfc2b2224a" }, "outputs": [ { "ename": "SyntaxError", "evalue": "invalid syntax (<ipython-input-67-1b11fbf9496e>, line 1)", "output_type": "error", "traceback": [ "\u001b[1;36m File \u001b[1;32m\"<ipython-input-67-1b11fbf9496e>\"\u001b[1;36m, line \u001b[1;32m1\u001b[0m\n\u001b[1;33m s = 'Hi, what's up?'\u001b[0m\n\u001b[1;37m ^\u001b[0m\n\u001b[1;31mSyntaxError\u001b[0m\u001b[1;31m:\u001b[0m invalid syntax\n" ] } ], "source": [ "s = 'Hi, what's up?'" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "a8c5e2f2674545cb90c58ab282c09e7c" }, "source": [ "* nested" ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "collapsed": false, "school_cell_uuid": "d510a08f2709465f8db34183f567ddf3" }, "outputs": [ { "data": { "text/plain": [ "\"Hi, what's up?\"" ] }, "execution_count": 68, "metadata": {}, "output_type": "execute_result" } ], "source": [ "s = \"Hi, what's up?\"\n", "s" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "399ab1ff08e54976875a6b407887b149" }, "source": [ "* string is a container" ] }, { "cell_type": "code", "execution_count": 69, "metadata": { "collapsed": true, "school_cell_uuid": "a5e9004022084189866ac075f0f0f4bc" }, "outputs": [], "source": [ "a = \"hello\"" ] }, { "cell_type": "code", "execution_count": 70, "metadata": { "collapsed": false, "school_cell_uuid": "117c47f900444f1c9e10d6ca1c9ea460" }, "outputs": [ { "data": { "text/plain": [ "'h'" ] }, "execution_count": 70, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a[0]" ] }, { "cell_type": "code", "execution_count": 71, "metadata": { "collapsed": false, "school_cell_uuid": "1ff30fc92c104ee7b6994477f140d343" }, "outputs": [ { "data": { "text/plain": [ "'e'" ] }, "execution_count": 71, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a[1]" ] }, { "cell_type": "code", "execution_count": 72, "metadata": { "collapsed": false, "school_cell_uuid": "0cd6d351bb994e5cb3bab5f0f42895d1" }, "outputs": [ { "data": { "text/plain": [ "'o'" ] }, "execution_count": 72, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a[-1]" ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "collapsed": true, "school_cell_uuid": "c830ec31cd4448afa5847d70500d1cb7" }, "outputs": [], "source": [ "a = \"hello, world!\"" ] }, { "cell_type": "code", "execution_count": 74, "metadata": { "collapsed": false, "school_cell_uuid": "73e07821974d4e55b536d1272727ba72" }, "outputs": [ { "data": { "text/plain": [ "'lo,'" ] }, "execution_count": 74, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a[3:6] " ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "collapsed": false, "school_cell_uuid": "c0f4c17c9d6a48a2ae5129d194bdb0e1" }, "outputs": [ { "data": { "text/plain": [ "'lo o'" ] }, "execution_count": 75, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a[2:10:2]" ] }, { "cell_type": "code", "execution_count": 76, "metadata": { "collapsed": false, "school_cell_uuid": "bc92dc1e903841008fba6e79aeb83ee4" }, "outputs": [ { "data": { "text/plain": [ "'hl r!'" ] }, "execution_count": 76, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a[::3]" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "2055cf36b01340629ea5142ee42b1176" }, "source": [ "* immutable: cannot change" ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "collapsed": false, "school_cell_uuid": "15c98eab00fb4486991412a1b17282cc" }, "outputs": [ { "ename": "TypeError", "evalue": "'str' object does not support item assignment", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-77-d57c4312feba>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0ma\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m'z'\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mTypeError\u001b[0m: 'str' object does not support item assignment" ] } ], "source": [ "a[2] = 'z'" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "school_cell_uuid": "958586672f024d03a48f5756cffed43b" }, "outputs": [], "source": [ "a.replace('l', 'z')" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false, "run_control": { "marked": false }, "school_cell_uuid": "f0d471cd303e4ef39f73cbb59ee71b11" }, "outputs": [ { "data": { "text/plain": [ "'hello, world!'" ] }, "execution_count": 78, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "5a73587e34c04c9ea38c116398198cd3" }, "source": [ "* format\n", " * string `%` argument\n", " * `%d`: integer\n", " * `%f`: float\n", " * `%s`: string" ] }, { "cell_type": "code", "execution_count": 79, "metadata": { "collapsed": false, "school_cell_uuid": "bf8f6842d6744ce298cdf8c9c1caf436" }, "outputs": [ { "data": { "text/plain": [ "'x=1'" ] }, "execution_count": 79, "metadata": {}, "output_type": "execute_result" } ], "source": [ "\"x=%d\" % 1" ] }, { "cell_type": "code", "execution_count": 80, "metadata": { "collapsed": false, "run_control": { "marked": false }, "school_cell_uuid": "59f4421f57924d71b6555420b0bfd20a" }, "outputs": [ { "data": { "text/plain": [ "'pi=3.140000'" ] }, "execution_count": 80, "metadata": {}, "output_type": "execute_result" } ], "source": [ "\"%s=%f\" % (\"pi\", 3.14)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "ad5b7716a46b4e8ab0b25093109fe275" }, "source": [ "### Dictionary 사전" ] }, { "cell_type": "code", "execution_count": 81, "metadata": { "collapsed": false, "school_cell_uuid": "0c5c2996705f4b76b7b86e6bc1a22e9c" }, "outputs": [ { "data": { "text/plain": [ "{'emmanuelle': 5752, 'sebastian': 5578}" ] }, "execution_count": 81, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tel = {'emmanuelle': 5752, 'sebastian': 5578}\n", "tel" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "collapsed": false, "school_cell_uuid": "d4b396cefdb74b4bb7356d5a70f86a1d" }, "outputs": [ { "data": { "text/plain": [ "5578" ] }, "execution_count": 82, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tel['sebastian']" ] }, { "cell_type": "code", "execution_count": 83, "metadata": { "collapsed": false, "school_cell_uuid": "2375458e531e40e8b196e4f955fa5cad" }, "outputs": [ { "data": { "text/plain": [ "{'emmanuelle': 5752, 'francis': 5915, 'sebastian': 5578}" ] }, "execution_count": 83, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tel['francis'] = 5915\n", "tel" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false, "school_cell_uuid": "3ba48b9f39b649beb023e83fcbadf66a" }, "outputs": [ { "data": { "text/plain": [ "['sebastian', 'francis', 'emmanuelle']" ] }, "execution_count": 84, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tel.keys() " ] }, { "cell_type": "code", "execution_count": 85, "metadata": { "collapsed": false, "school_cell_uuid": "0b50b461541e4fbabb98d2b8395995be" }, "outputs": [ { "data": { "text/plain": [ "[5578, 5915, 5752]" ] }, "execution_count": 85, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tel.values()" ] }, { "cell_type": "code", "execution_count": 86, "metadata": { "collapsed": false, "school_cell_uuid": "a7a6908ccdb4445497b86013a110bbaf" }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 86, "metadata": {}, "output_type": "execute_result" } ], "source": [ "'francis' in tel" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "1ddd23b1f4af47009612f6a10a0f67b6" }, "source": [ "### Tuple 튜플" ] }, { "cell_type": "code", "execution_count": 87, "metadata": { "collapsed": false, "run_control": { "marked": false }, "school_cell_uuid": "f9cb3f404633469e8b595193a80fb712" }, "outputs": [ { "data": { "text/plain": [ "(0, 2)" ] }, "execution_count": 87, "metadata": {}, "output_type": "execute_result" } ], "source": [ "u = (0, 2)\n", "u" ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "collapsed": false, "school_cell_uuid": "d554f67c1b6c4a2a880c1941455d0591" }, "outputs": [ { "data": { "text/plain": [ "(12345, 54321, 'hello!')" ] }, "execution_count": 88, "metadata": {}, "output_type": "execute_result" } ], "source": [ "t = 12345, 54321, 'hello!'\n", "t" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false, "school_cell_uuid": "f918a05a2dc24e7894cf73716021fc7e" }, "outputs": [ { "data": { "text/plain": [ "12345" ] }, "execution_count": 89, "metadata": {}, "output_type": "execute_result" } ], "source": [ "t[0]" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false, "school_cell_uuid": "fc76693988884158a0d8f47c0df021e4" }, "outputs": [ { "ename": "TypeError", "evalue": "'int' object does not support item assignment", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-90-d28c64b6d9cf>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mb\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;36m1\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mTypeError\u001b[0m: 'int' object does not support item assignment" ] } ], "source": [ "b[0] = 1" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "c1912241eb1e4196a262bbdeb3ded015" }, "source": [ "### Reference, Mutable, Immutable" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "collapsed": true, "school_cell_uuid": "f1c460c404d243e1a9f621eb1ee4aedc" }, "outputs": [], "source": [ "a = [1, 2, 3]\n", "b = a" ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": false, "school_cell_uuid": "912f62aa9f704474bc50416e5882ef21" }, "outputs": [ { "data": { "text/plain": [ "[1, 2, 3]" ] }, "execution_count": 92, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a" ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "collapsed": false, "school_cell_uuid": "a3d2090670fa4ee7b8d0b107c741b6b9" }, "outputs": [ { "data": { "text/plain": [ "[1, 2, 3]" ] }, "execution_count": 93, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false, "school_cell_uuid": "59246f2fad8e462e946b71c32beb0ca0" }, "outputs": [ { "data": { "text/plain": [ "(139806688343608, 139806688343608)" ] }, "execution_count": 94, "metadata": {}, "output_type": "execute_result" } ], "source": [ "id(a), id(b)" ] }, { "cell_type": "code", "execution_count": 95, "metadata": { "collapsed": true, "run_control": { "marked": false }, "school_cell_uuid": "091f12e497584725b8b37400db1d77ae" }, "outputs": [], "source": [ "a[0] = 11" ] }, { "cell_type": "code", "execution_count": 96, "metadata": { "collapsed": false, "school_cell_uuid": "aadd1c51f33045f19bf671eb57906eb2" }, "outputs": [ { "data": { "text/plain": [ "[11, 2, 3]" ] }, "execution_count": 96, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a" ] }, { "cell_type": "code", "execution_count": 97, "metadata": { "collapsed": false, "run_control": { "marked": false }, "school_cell_uuid": "48905353973d4818a05a2163d7011567" }, "outputs": [ { "data": { "text/plain": [ "[11, 2, 3]" ] }, "execution_count": 97, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "run_control": { "marked": false }, "school_cell_uuid": "93295d1937d243dab5eb56a16fd937d7" }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 98, "metadata": { "collapsed": true, "school_cell_uuid": "d2bdba8000e14d5f93701b2825995040" }, "outputs": [], "source": [ "b[1] = 'hi!'" ] }, { "cell_type": "code", "execution_count": 99, "metadata": { "collapsed": false, "school_cell_uuid": "7025be9264b148e8ab1cba4f63e1764a" }, "outputs": [ { "data": { "text/plain": [ "[11, 'hi!', 3]" ] }, "execution_count": 99, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false, "school_cell_uuid": "278d8c4e340641a89002336c2bac7e56" }, "outputs": [ { "data": { "text/plain": [ "[11, 'hi!', 3]" ] }, "execution_count": 100, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a" ] }, { "cell_type": "code", "execution_count": 101, "metadata": { "collapsed": true, "school_cell_uuid": "f706317c7a004e70a2117450d459f6bc" }, "outputs": [], "source": [ "a = [1, 'hi!', 3]" ] }, { "cell_type": "code", "execution_count": 102, "metadata": { "collapsed": true, "school_cell_uuid": "7ae465c2fc9f4753a765ea5aefce32ef" }, "outputs": [], "source": [ "b = 1" ] }, { "cell_type": "code", "execution_count": 103, "metadata": { "collapsed": false, "school_cell_uuid": "3d708a789e1c4266b9a95e9917404bf8" }, "outputs": [ { "data": { "text/plain": [ "(139806689796752, 9666936)" ] }, "execution_count": 103, "metadata": {}, "output_type": "execute_result" } ], "source": [ "id(a), id(b)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "6250b433a80b47219f42972b08f246ac" }, "source": [ "### zip" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": true, "school_cell_uuid": "f6d4fada936e4201a42e503708d32d13" }, "outputs": [], "source": [ "a = [1, 2, 3]\n", "b = [10, 20, 30]" ] }, { "cell_type": "code", "execution_count": 105, "metadata": { "collapsed": false, "school_cell_uuid": "a267a44834a0475dad3e374da4c2cbd7" }, "outputs": [ { "data": { "text/plain": [ "[(1, 10), (2, 20), (3, 30)]" ] }, "execution_count": 105, "metadata": {}, "output_type": "execute_result" } ], "source": [ "c = zip(a, b)\n", "c" ] }, { "cell_type": "code", "execution_count": 106, "metadata": { "collapsed": false, "school_cell_uuid": "f147b37466344b23bc326aefa275fc8e" }, "outputs": [ { "data": { "text/plain": [ "{1: 10, 2: 20, 3: 30}" ] }, "execution_count": 106, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d = dict(c)\n", "d" ] }, { "cell_type": "code", "execution_count": 107, "metadata": { "collapsed": false, "school_cell_uuid": "45544ad990ff47888886dc7d4c279af9" }, "outputs": [ { "data": { "text/plain": [ "[(1, 2, 3), (10, 20, 30)]" ] }, "execution_count": 107, "metadata": {}, "output_type": "execute_result" } ], "source": [ "zip(*c)" ] }, { "cell_type": "code", "execution_count": 108, "metadata": { "collapsed": false, "school_cell_uuid": "72368b548666460d873fbe4ebe8c9068" }, "outputs": [ { "data": { "text/plain": [ "[(1, 10), (2, 20), (3, 30)]" ] }, "execution_count": 108, "metadata": {}, "output_type": "execute_result" } ], "source": [ "zip(*zip(*c))" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: 여러줄로 나누어 쓰기" } }, "school_cell_uuid": "9ab871f0fb234fa9a16a1096c1efee56" }, "source": [ "## Code Line-Break 여러 줄로 나누어 쓰기\n", "\n", "* 리스트나 딕셔너리 정의, 함수 호출/정의 등의 경우에는 문법적으로 완료되지 않으면 그냥 다음 줄 사용 가능\n", "* 그렇지 않은 경우 backslash사용" ] }, { "cell_type": "code", "execution_count": 109, "metadata": { "collapsed": false, "school_cell_uuid": "1caa3d0645f942eca97d23aa1e091ca7" }, "outputs": [ { "data": { "text/plain": [ "[1, 2, 3, 4, 5, 6]" ] }, "execution_count": 109, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = [1, 2, 3,\n", " 4, 5, 6]\n", "a" ] }, { "cell_type": "code", "execution_count": 110, "metadata": { "collapsed": false, "school_cell_uuid": "06a5fedb0fc04b9593e4661cffff7834" }, "outputs": [ { "ename": "SyntaxError", "evalue": "invalid syntax (<ipython-input-110-4f831cc21792>, line 1)", "output_type": "error", "traceback": [ "\u001b[1;36m File \u001b[1;32m\"<ipython-input-110-4f831cc21792>\"\u001b[1;36m, line \u001b[1;32m1\u001b[0m\n\u001b[1;33m a = 1 + 3 + 4 +\u001b[0m\n\u001b[1;37m ^\u001b[0m\n\u001b[1;31mSyntaxError\u001b[0m\u001b[1;31m:\u001b[0m invalid syntax\n" ] } ], "source": [ "a = 1 + 3 + 4 +\n", " 5 + 6 + 7" ] }, { "cell_type": "code", "execution_count": 111, "metadata": { "collapsed": false, "school_cell_uuid": "500f3c12944544dd98a8be1b44a673fb" }, "outputs": [ { "data": { "text/plain": [ "26" ] }, "execution_count": 111, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 1 + 3 + 4 + \\\n", " 5 + 6 + 7\n", "a" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 흐름 제어" } }, "school_cell_uuid": "56aa718a266643cb8fe80c564d4ccec4" }, "source": [ "## Control Flow 흐름 제어\n", "\n", "* if/elif/else\n", "* for/range\n", "* while/break/continue\n", "* enumerate\n", "* dictionary loop\n", "* list comprehension" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "3e1a2a8002f24c8b8ad14a0a45b0e946" }, "source": [ "### If/elif/else" ] }, { "cell_type": "code", "execution_count": 112, "metadata": { "collapsed": false, "school_cell_uuid": "d18961d9eaa74c5d996728285af02b33" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Obvious!\n" ] } ], "source": [ "if 2**2 == 4:\n", " print('Obvious!')" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 들여쓰기" } }, "school_cell_uuid": "a2ead6a3a5eb4ba793ad18006de5442b" }, "source": [ "* Python Indentation 들여쓰기\n", " * space or tab\n", " * number of spaces: block level\n", " * convention: 4 spaces" ] }, { "cell_type": "code", "execution_count": 113, "metadata": { "collapsed": false, "school_cell_uuid": "821fcd2333184b69bb3c22e6108e50c5" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "A lot\n" ] } ], "source": [ "a = 10\n", "if a == 1:\n", " print(1)\n", "elif a == 2:\n", " print(2)\n", "else:\n", " print('A lot')" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "6bf87d17cba64630bd17f4b39e1e4521" }, "source": [ "### for/range" ] }, { "cell_type": "code", "execution_count": 114, "metadata": { "collapsed": false, "school_cell_uuid": "bd8834cf6ae34159b5a9bf307e25107d" }, "outputs": [ { "data": { "text/plain": [ "[0, 1, 2, 3, 4, 5, 6, 7, 8, 9]" ] }, "execution_count": 114, "metadata": {}, "output_type": "execute_result" } ], "source": [ "range(10) # :10" ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false, "school_cell_uuid": "3d5febee111c4a369e8baa91fe01549a" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "1\n", "2\n", "3\n" ] } ], "source": [ "for i in range(4):\n", " print(i)" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": false, "school_cell_uuid": "e3704e5d3e4e4c39bf88eb41966ffe56" }, "outputs": [ { "data": { "text/plain": [ "3" ] }, "execution_count": 116, "metadata": {}, "output_type": "execute_result" } ], "source": [ "i" ] }, { "cell_type": "code", "execution_count": 117, "metadata": { "collapsed": false, "school_cell_uuid": "b4d47353441e486fb3bd0ef6df76b2c2" }, "outputs": [ { "data": { "text/plain": [ "[5, 6, 7, 8, 9]" ] }, "execution_count": 117, "metadata": {}, "output_type": "execute_result" } ], "source": [ "range(5, 10) # 5:10" ] }, { "cell_type": "code", "execution_count": 118, "metadata": { "collapsed": false, "school_cell_uuid": "9fcedd9f077f4484959193f25e0d98f6" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "b\n", "c\n", "d\n" ] } ], "source": [ "x = [\"a\", \"b\", \"c\", \"d\", \"e\"]\n", "for i in range(1, 4):\n", " print(x[i]) " ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": false, "school_cell_uuid": "33984818734f40e3bb5791762719bdea" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Python is cool\n", "Python is powerful\n", "Python is readable\n" ] } ], "source": [ "for word in ['cool', 'powerful', 'readable']:\n", " print('Python is %s' % word)" ] }, { "cell_type": "code", "execution_count": 120, "metadata": { "collapsed": false, "school_cell_uuid": "98b4e775d32c4a548d3c7dab806e4dcb" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "b\n", "c\n", "d\n" ] } ], "source": [ "for xi in x[1:4]:\n", " print(xi)" ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": false, "school_cell_uuid": "ac3b7333842d4ce395d97ac50d9855b4" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['b', 'c', 'd']\n" ] } ], "source": [ "print(x[1:4])" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "406cfc43c1fe4d299cc610efbb33d405" }, "source": [ "### while/break/continue" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false, "school_cell_uuid": "b62cc03f8724464ea147836609462dd1" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(1+2j) 2.2360679775\n", "(-2+4j) 4.472135955\n", "(-11-16j) 19.4164878389\n", "(-134+352j) 376.643067107\n" ] }, { "data": { "text/plain": [ "(-134+352j)" ] }, "execution_count": 122, "metadata": {}, "output_type": "execute_result" } ], "source": [ "z = 1 + 1j\n", "while abs(z) < 100:\n", " z = z**2 + 1\n", " print(z, abs(z))\n", "z" ] }, { "cell_type": "code", "execution_count": 123, "metadata": { "collapsed": false, "school_cell_uuid": "704bc6973e25410793854e681c79a838" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(1+2j)\n", "(-2+4j)\n", "(-11-16j)\n", "break!\n" ] }, { "data": { "text/plain": [ "(-11-16j)" ] }, "execution_count": 123, "metadata": {}, "output_type": "execute_result" } ], "source": [ "z = 1 + 1j\n", "while abs(z) < 100:\n", " if z.imag < 0:\n", " print(\"break!\")\n", " break\n", " z = z**2 + 1\n", " print(z)\n", "z" ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false, "school_cell_uuid": "76d67607e6294dada49aecdb404c0838" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1.0\n", "0.5\n", "0.25\n" ] } ], "source": [ "a = [1, 0, 2, 4]\n", "for element in a:\n", " if element == 0:\n", " continue\n", " print(1. / element)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "b6fd749b4fe44670af83aaf3783bdd92" }, "source": [ "### Enumerate" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": false, "school_cell_uuid": "cb3ca33a7b524ffbafc7ae61a6e6c91c" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(0, 'cool')\n", "(1, 'powerful')\n", "(2, 'readable')\n" ] } ], "source": [ "words = ('cool', 'powerful', 'readable')\n", "for i in range(len(words)):\n", " print((i, words[i]))" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false, "school_cell_uuid": "e588392a31f84c06a63ef66afcbb756a" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(0, 'cool')\n", "(1, 'powerful')\n", "(2, 'readable')\n" ] } ], "source": [ "for i, item in enumerate(words):\n", " print((i, item))" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "85091cf5a3e14c97ac951f64f0d33752" }, "source": [ "### Dictionary Loop" ] }, { "cell_type": "code", "execution_count": 127, "metadata": { "collapsed": false, "school_cell_uuid": "02723a9e089442f5baa7f79d5e9ec765" }, "outputs": [ { "data": { "text/plain": [ "[('a', 1), ('c', 1j), ('b', 1.2)]" ] }, "execution_count": 127, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d = {'a': 1, 'b':1.2, 'c':1j}\n", "d.items()" ] }, { "cell_type": "code", "execution_count": 128, "metadata": { "collapsed": false, "school_cell_uuid": "19a0c49074c4488c8767d2d197dcfc04" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Key: a has value: 1\n", "Key: b has value: 1.2\n", "Key: c has value: 1j\n" ] } ], "source": [ "for key, val in sorted(d.items()):\n", " print('Key: %s has value: %s' % (key, val))" ] }, { "cell_type": "code", "execution_count": 129, "metadata": { "collapsed": false, "school_cell_uuid": "2feb5a03ec90445b9aab28d53625fe0e" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "item: ('a', 1)\n", "item: ('b', 1.2)\n", "item: ('c', 1j)\n" ] } ], "source": [ "for item in sorted(d.items()):\n", " print('item:', str(item))" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "3c98b825c3a64d5abd67f9facc0ed58a" }, "source": [ "### List Comprehensions" ] }, { "cell_type": "code", "execution_count": 130, "metadata": { "collapsed": false, "school_cell_uuid": "fb1b2b9660eb4321b77a7b071e8ef604" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1000 loops, best of 3: 835 µs per loop\n" ] } ], "source": [ "%%timeit\n", "x = range(10000)\n", "y = []\n", "for i in x:\n", " y.append(i * 2)\n" ] }, { "cell_type": "code", "execution_count": 131, "metadata": { "collapsed": false, "school_cell_uuid": "b6faa5267fa84a84a63b1865692e3a05" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1000 loops, best of 3: 498 µs per loop\n" ] } ], "source": [ "%%timeit\n", "y = [i*2 for i in range(10000)]" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 함수" } }, "school_cell_uuid": "5b351fbde11d45c38969c6764c133efd" }, "source": [ "## Function 함수\n", "\n", "* definition 정의\n", "* parameter 인수\n", "* local, global 스코프\n", "* variable number of parameters\n", "* docstring" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "f527a6bf1f064e00bb731c0b957a08cd" }, "source": [ "### definition 함수의 정의\n", "\n", "* 콜론(:) 사용\n", "* 들여쓰기 (indentation)" ] }, { "cell_type": "code", "execution_count": 132, "metadata": { "collapsed": true, "school_cell_uuid": "c233431921b24d9bb7cce22d847169c5" }, "outputs": [], "source": [ "def test():\n", " print('in test function')" ] }, { "cell_type": "code", "execution_count": 133, "metadata": { "collapsed": false, "school_cell_uuid": "71841bd851534000a8fe279db005f7b1" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "in test function\n" ] } ], "source": [ "test()" ] }, { "cell_type": "code", "execution_count": 134, "metadata": { "collapsed": false, "school_cell_uuid": "f6bc7103aac44ee89fef4b6c70c804dc" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "in test function\n", "in test function\n", "in test function\n", "in test function\n" ] } ], "source": [ "test()\n", "test()\n", "test()\n", "test()" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "7c682e7ce9c7460eaad7b79b461138e8" }, "source": [ "### parameter 인수" ] }, { "cell_type": "code", "execution_count": 135, "metadata": { "collapsed": true, "school_cell_uuid": "9616de32202547c88b105f1b46633a97" }, "outputs": [], "source": [ "def disk_area(radius):\n", " return 3.14 * radius * radius" ] }, { "cell_type": "code", "execution_count": 136, "metadata": { "collapsed": false, "school_cell_uuid": "98e8894802404590b486577d555f2643" }, "outputs": [ { "data": { "text/plain": [ "7.0649999999999995" ] }, "execution_count": 136, "metadata": {}, "output_type": "execute_result" } ], "source": [ "disk_area(1.5)" ] }, { "cell_type": "code", "execution_count": 137, "metadata": { "collapsed": true, "school_cell_uuid": "4b3b51ee552e47b4b6cfaecbc341c713" }, "outputs": [], "source": [ "def double_it(x):\n", " return x * 2" ] }, { "cell_type": "code", "execution_count": 138, "metadata": { "collapsed": false, "school_cell_uuid": "3f5c74e8a5f345a98ecc4898ab0f20aa" }, "outputs": [ { "data": { "text/plain": [ "6" ] }, "execution_count": 138, "metadata": {}, "output_type": "execute_result" } ], "source": [ "double_it(3)" ] }, { "cell_type": "code", "execution_count": 139, "metadata": { "collapsed": false, "school_cell_uuid": "e9fe7a55fafe4a6cba2fd25c22cb1855" }, "outputs": [ { "ename": "TypeError", "evalue": "double_it() takes exactly 1 argument (0 given)", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-139-51cdedbb81b0>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mdouble_it\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mTypeError\u001b[0m: double_it() takes exactly 1 argument (0 given)" ] } ], "source": [ "double_it()" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "cf7d5dc984bd40de9f394be7cf0b8aec" }, "source": [ "### default parameter" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "school_cell_uuid": "850d0728ef834259a0297b3e4784aded" }, "outputs": [], "source": [ "def double_it(x=2):\n", " return x * 2" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "school_cell_uuid": "aa491a01c1144ac4b507427af8454733" }, "outputs": [ { "data": { "text/plain": [ "6" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "double_it(3)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "school_cell_uuid": "9ebcf2b6a54e45b48ae78297fdc2899e" }, "outputs": [ { "data": { "text/plain": [ "4" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "double_it()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true, "school_cell_uuid": "8244c2f0308d4419b8f82041680eddc5" }, "outputs": [], "source": [ "def slicer(seq, start=None, stop=None, step=None):\n", " return seq[start:stop:step]" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "school_cell_uuid": "33a72bcbe66f4ef28f41a3b2f38f00e1" }, "outputs": [ { "data": { "text/plain": [ "['one', 'fish,', 'two', 'fish,', 'red', 'fish,', 'blue', 'fish']" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rhyme = 'one fish, two fish, red fish, blue fish'.split()\n", "rhyme" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "school_cell_uuid": "5a3c6be32453434bb52961a9c4d4264b" }, "outputs": [ { "data": { "text/plain": [ "['one', 'fish,', 'two', 'fish,', 'red', 'fish,', 'blue', 'fish']" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "slicer(rhyme) # rhyme[::]" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "school_cell_uuid": "99f1ecedcb424c18a48907db05ba6a97" }, "outputs": [ { "data": { "text/plain": [ "['one', 'two', 'red', 'blue']" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "slicer(rhyme, step=2) # rhyme[::2]" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "school_cell_uuid": "80e9586cde0243f9886a74da5507f029" }, "outputs": [ { "data": { "text/plain": [ "['fish,', 'fish,', 'fish,', 'fish']" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "slicer(rhyme, 1, step=2) # rhyme[1::2]" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "school_cell_uuid": "e199cb6687e74a3f8e74c6c466b2c0c3" }, "outputs": [ { "data": { "text/plain": [ "['fish,', 'fish,']" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "slicer(rhyme, start=1, stop=4, step=2) # rhyme[1:4:2]" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "24c17d69165d43d785c70cef753c33ca" }, "source": [ "### local variable" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true, "school_cell_uuid": "c27154d2335544f987a58a526d3c0116" }, "outputs": [], "source": [ "def try_to_modify(x, y, z):\n", " x = 23\n", " y.append(42)\n", " z = [99] # new reference\n", " print(x)\n", " print(y)\n", " print(z)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false, "school_cell_uuid": "f62eff206cbb4951aeed5dd830ed7757" }, "outputs": [], "source": [ "a = 77 # immutable variable\n", "b = [99] # mutable variable\n", "c = [28]" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false, "school_cell_uuid": "97d64e7c242445b982036d54b0bc4e04" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "23\n", "[99, 42]\n", "[99]\n" ] } ], "source": [ "try_to_modify(a, b, c)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false, "school_cell_uuid": "787c32e85cf044558e1242ffbe6042bd" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "77\n", "[99, 42]\n", "[28]\n" ] } ], "source": [ "print(a)\n", "print(b)\n", "print(c)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true, "school_cell_uuid": "359ebf9c62074388b3c35ef4392d1c42" }, "outputs": [], "source": [ "x = 5\n", "def addx(y):\n", " return x + y" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false, "school_cell_uuid": "fd3adb52c8be4b6b9334b37236d91b98" }, "outputs": [ { "data": { "text/plain": [ "15" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "addx(10)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false, "school_cell_uuid": "f64e79d3daeb416cb34d40ec1d87f2aa" }, "outputs": [], "source": [ "def setx(y):\n", " x = y\n", " print('x is %d' % x)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false, "school_cell_uuid": "9fd3a457cd5f4da88a5b117cac5409d3" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "x is 10\n" ] } ], "source": [ "setx(10)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false, "school_cell_uuid": "2c1a5726f4104606b30d354f3b1f0f61" }, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "55d2d91ef4e74a1483ca15d6dcc29b82" }, "source": [ "### global variable" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true, "school_cell_uuid": "05a3c74661084c5588b70d4a25cdf13d" }, "outputs": [], "source": [ "def setx(y):\n", " global x\n", " x = y\n", " print('x is %d' % x)" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false, "school_cell_uuid": "dc4a6dfd2cd442d6824df024c3e29721" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "x is 10\n" ] } ], "source": [ "setx(10)" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false, "school_cell_uuid": "277885ec5e12407eb7c9e1201ed768da" }, "outputs": [ { "data": { "text/plain": [ "10" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 가변 인수" } }, "school_cell_uuid": "4ee21530f4004805b419628fe35fe01d" }, "source": [ "### Variable number of parameters\n", " * `*args`: positional arguments (tuple)\n", " * `**kwargs`: keyword arguments (dictionary)" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false, "school_cell_uuid": "f00078025b064640b9192db445a17245" }, "outputs": [], "source": [ "def variable_args(*args, **kwargs):\n", " print('args is', args)\n", " print('kwargs is', kwargs)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false, "school_cell_uuid": "02262fb20baa4f0fb3051c0e210a1b11" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "args is ('one', 'two')\n", "kwargs is {'y': 2, 'x': 1, 'z': 3}\n" ] } ], "source": [ "variable_args('one', 'two', x=1, y=2, z=3)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "9a27f73eba1b488fb293a0166704f357" }, "source": [ "### Docstrings" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false, "school_cell_uuid": "563d8b268e82470abd4db4ac3a032910" }, "outputs": [], "source": [ "def funcname(params):\n", " \"\"\"Concise one-line sentence describing the function.\n", " Extended summary which can contain multiple paragraphs.\n", " \"\"\"\n", " pass" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": true, "school_cell_uuid": "3e319882fafa4b8bb28562aa5900c9bc" }, "outputs": [], "source": [ "funcname??" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false, "school_cell_uuid": "3df97433d7604bad8578c61d407afa09" }, "outputs": [ { "data": { "text/plain": [ "'Concise one-line sentence describing the function.\\n Extended summary which can contain multiple paragraphs.\\n '" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "funcname.__doc__" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python None 자료형" } }, "school_cell_uuid": "0eb1e0c411dc4707a6b86e1281a81da7" }, "source": [ "### `None`\n", "\n", "* 아무것도 출력하지 않거나 \n", "* 아무것도 받지 않는 경우" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": true, "school_cell_uuid": "ace19d0db9dc48e5865fc16be6b23be5" }, "outputs": [], "source": [ "def f():\n", " a = 1" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true, "school_cell_uuid": "e0fea5fd33e2456e97b420e82c9b33b8" }, "outputs": [], "source": [ "x = f()\n", "x" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false, "school_cell_uuid": "97de7931133c47249920641ea1ca152b" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "None\n" ] } ], "source": [ "print(x)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "0b52d1d433b04132b98b1598aa98cbc3" }, "source": [ "### `is` 비교\n", "* 같은 메모리를 가리키고 있는지 비교" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true, "school_cell_uuid": "b1c26fe7009347508cd9c3c3debb5380" }, "outputs": [], "source": [ "a = 3.14 * 2\n", "b = 6.28" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false, "school_cell_uuid": "acf5bc4addd04c439f29d4329dc15d50" }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a == b" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false, "school_cell_uuid": "d4c390a4cfa94e95ad543763e7162745" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "65719224 65719272\n" ] } ], "source": [ "print(id(a), id(b))" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false, "school_cell_uuid": "98e432ccef87493da113e871f684d0ee" }, "outputs": [ { "data": { "text/plain": [ "False" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a is b" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true, "school_cell_uuid": "6f369a90bb25448883ef623533264770" }, "outputs": [], "source": [ "a = None" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false, "school_cell_uuid": "08dcadce1349425183607a103fe48e1c" }, "outputs": [ { "data": { "text/plain": [ "(True, False)" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# a == None <--- 사용하지 말것! __eq__ overload 시 위험!\n", "a is None, a is not None" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": true, "school_cell_uuid": "5be0eead7e484fb4ab5ba34b7af2406f" }, "outputs": [], "source": [ "def f(x, y=None):\n", " if y is None:\n", " return x * x\n", " else:\n", " return x * y" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false, "school_cell_uuid": "31a282ef6be24750bfe7a4357549bec1" }, "outputs": [ { "data": { "text/plain": [ "100" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "f(10)" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false, "school_cell_uuid": "a676f6776ef542d99935a20b6677c468" }, "outputs": [ { "data": { "text/plain": [ "200" ] }, "execution_count": 39, "metadata": {}, "output_type": "execute_result" } ], "source": [ "f(10, 20)" ] }, { "cell_type": "markdown", "metadata": { "school_cell_uuid": "4cff768bc5a14187aa5d825d350e4661" }, "source": [ "## Package Import" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": true, "school_cell_uuid": "8c0de45d0e3248a1bfc9f2749795ade9" }, "outputs": [], "source": [ "import scipy" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false, "school_cell_uuid": "7b0a0dcb989949baa11c2ed4db1d6091" }, "outputs": [ { "data": { "text/plain": [ "'/home/joel/anaconda2/lib/python2.7/site-packages/scipy/__init__.pyc'" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "scipy.__file__" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 패키지 alias" } }, "school_cell_uuid": "5a20f17f0d8844819956a8e904c43b3f" }, "source": [ "* pakage alias\n", " * 패키지 이름이 길거나 다른 이름으로 사용하고 싶은 경우 \n", " * `import XXX as YY`" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": true, "school_cell_uuid": "e3968ef09b2548b5a81764208e75c499" }, "outputs": [], "source": [ "import numpy as np\n", "import scipy as sp\n", "import pandas as pd\n", "import sklearn as sk\n", "import matplotlib as mpl\n", "import matplotlib.pylab as plt\n", "import seaborn as sns" ] }, { "cell_type": "markdown", "metadata": { "bootstrap": { "panel": { "class": "panel-danger", "heading": "요약: Python 서브패키지 임포트" } }, "school_cell_uuid": "05ab01ff1e154bc2be21ad5506f06a02" }, "source": [ "* 서브패키지 임포트\n", "\n", " * 자동 임포트\n", " \n", " * 상위 패키지를 임포트 하면 상위 패키지의 `__init__.py` 내부에서 하위 패키지를 임포트\n", " * 사용자가 하위 패키지를 추가로 임포트할 필요 없음\n", " \n", " * 수동 임포트\n", " \n", " * 메모리 절약을 위해 하위 패키지를 자동으로 임포트 하지 않음\n", " * 사용자가 필요한 서브패키지를 수동으로 임포트" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false, "school_cell_uuid": "b5ddd847e8fc4626b436aeeaa18143ef" }, "outputs": [ { "data": { "text/plain": [ "array([ 0.15060943, -0.23652534, -0.51602361, -0.7335559 , -1.35747775,\n", " 1.87101961, 0.12348571, 0.53643438, 1.70221398, 0.55901586])" ] }, "execution_count": 43, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sp.stats.norm.rvs(size=10)" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false, "school_cell_uuid": "a820c78bf38646e48ba1d0bbaf4c7eb4" }, "outputs": [ { "ename": "AttributeError", "evalue": "'module' object has no attribute 'constants'", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-44-1041528b4e47>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0msp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mconstants\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mc\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mAttributeError\u001b[0m: 'module' object has no attribute 'constants'" ] } ], "source": [ "sp.constants.c" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false, "school_cell_uuid": "39fe8530d93a4fbfaafe42eb93036ac9" }, "outputs": [ { "data": { "text/plain": [ "299792458.0" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import scipy.constants\n", "sp.constants.c" ] } ], "metadata": { "celltoolbar": "Edit Metadata", "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
simkovic/simkovic.github.io
_ipynb/No Way Anova - The Challenge.ipynb
1
3644
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "There has been lot of discussion lately about the problems hampering statistical analysis (significance, $p$-values, replicability, $p$-hacking) and scientific communication (publication system, disfunctional incentives) and their potential solutions (open science, preregistration, new statistics, bayesian statistics). These are all important topics and the proposed solutions deserve serious consideration. Indeed I will consider some in later posts. However, before I do so I want to highlight what I consider the single most serious problem hampering data analysis and scientific communication in psychological research. The problem is Anova. When doing analysis Anova should be avoided. There is always a better way to analyse the data, notably the regressional approach where we look at the magnitude of the regression coeficients instead at the variance.\n", "\n", " To be clear, I don't mind Anova per se. It can be useful as a supplemental analysis or in specialized exploratory settings. Because that's what Anova is: a specialized tool applicable in specific context. In psychology it is instead used as a default option whenever the data can't be analyzed with $t$-test or with correlation test. Just like $p$ values from $t$-test and correlation test don't give researcher the information he is interested so does not the $p$ value, $F$ value and in fact any variance derived statistic provide the information that the researcher is most interested in. As a consequence, the journals are full of results that are difficult to interpret. We can't compare results across similar studies, across replications and not even across experiments from a single study with identical design. And if we try we inevitably commit fallacies such as claiming that the difference between significant and non-significant results is significant (Gelmen & Stern, 2006).\n", " \n", " Speaking more generally, the main problem of Anova is that it is a tool for model comparison. As highlighted by the New Statistics movement (Kline, 2004; Cumming, 2012) we should do parameter estimation - estimation of effect sizes instead. New Statistics advocates unfortunately fail to realize what the relevant effect size is when working with Anova designs. (Geoff Cumming actually discourages the use of variance-derived effect sizes but he doesn't provide any alternative. More on this later.) On the other hand, some authors (for instance Kruschke, 2010) use the term Anova even if they do not look at variance or any variance-derived quantity. Anova is then a regression where all predictors are categorical variables and the usual regresional approach is applied. Obviously I have no issues with this kind of Anova (except that we should avoid the label Anova). \n", "\n", "So what is the challenge? The challenge is to find a case in psychological research where Anova is applicable and it provides more information than a regression analysis. I will blog about some cases typical of the psychological literature. In all of these cases regression turns out to be superior. You can suggest other cases below." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
sdpython/ensae_teaching_cs
_doc/notebooks/td2a_ml/ml_lasso_rf_grid_search_correction.ipynb
1
192882
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Hyperparam\u00e8tres, LassoRandomForestRregressor et grid_search (correction)\n", "\n", "Le notebook explore l'optimisation des hyper parama\u00e8tres du mod\u00e8le [LassoRandomForestRegressor](http://www.xavierdupre.fr/app/ensae_teaching_cs/helpsphinx/ensae_teaching_cs/ml/lasso_random_forest_regressor.html), et fait varier le nombre d'arbre et le param\u00e8tres alpha." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div id=\"my_id_menu_nb\">run previous cell, wait for 2 seconds</div>\n", "<script>\n", "function repeat_indent_string(n){\n", " var a = \"\" ;\n", " for ( ; n > 0 ; --n)\n", " a += \" \";\n", " return a;\n", "}\n", "// look up into all sections and builds an automated menu //\n", "var update_menu_string = function(begin, lfirst, llast, sformat, send, keep_item, begin_format, end_format) {\n", " var anchors = document.getElementsByClassName(\"section\");\n", " if (anchors.length == 0) {\n", " anchors = document.getElementsByClassName(\"text_cell_render rendered_html\");\n", " }\n", " var i,t;\n", " var text_menu = begin;\n", " var text_memo = \"<pre>\\nlength:\" + anchors.length + \"\\n\";\n", " var ind = \"\";\n", " var memo_level = 1;\n", " var href;\n", " var tags = [];\n", " var main_item = 0;\n", " var format_open = 0;\n", " for (i = 0; i <= llast; i++)\n", " tags.push(\"h\" + i);\n", "\n", " for (i = 0; i < anchors.length; i++) {\n", " text_memo += \"**\" + anchors[i].id + \"--\\n\";\n", "\n", " var child = null;\n", " for(t = 0; t < tags.length; t++) {\n", " var r = anchors[i].getElementsByTagName(tags[t]);\n", " if (r.length > 0) {\n", "child = r[0];\n", "break;\n", " }\n", " }\n", " if (child == null) {\n", " text_memo += \"null\\n\";\n", " continue;\n", " }\n", " if (anchors[i].hasAttribute(\"id\")) {\n", " // when converted in RST\n", " href = anchors[i].id;\n", " text_memo += \"#1-\" + href;\n", " // passer \u00e0 child suivant (le chercher)\n", " }\n", " else if (child.hasAttribute(\"id\")) {\n", " // in a notebook\n", " href = child.id;\n", " text_memo += \"#2-\" + href;\n", " }\n", " else {\n", " text_memo += \"#3-\" + \"*\" + \"\\n\";\n", " continue;\n", " }\n", " var title = child.textContent;\n", " var level = parseInt(child.tagName.substring(1,2));\n", "\n", " text_memo += \"--\" + level + \"?\" + lfirst + \"--\" + title + \"\\n\";\n", "\n", " if ((level < lfirst) || (level > llast)) {\n", " continue ;\n", " }\n", " if (title.endsWith('\u00b6')) {\n", " title = title.substring(0,title.length-1).replace(\"<\", \"&lt;\")\n", " .replace(\">\", \"&gt;\").replace(\"&\", \"&amp;\");\n", " }\n", " if (title.length == 0) {\n", " continue;\n", " }\n", "\n", " while (level < memo_level) {\n", " text_menu += end_format + \"</ul>\\n\";\n", " format_open -= 1;\n", " memo_level -= 1;\n", " }\n", " if (level == lfirst) {\n", " main_item += 1;\n", " }\n", " if (keep_item != -1 && main_item != keep_item + 1) {\n", " // alert(main_item + \" - \" + level + \" - \" + keep_item);\n", " continue;\n", " }\n", " while (level > memo_level) {\n", " text_menu += \"<ul>\\n\";\n", " memo_level += 1;\n", " }\n", " text_menu += repeat_indent_string(level-2);\n", " text_menu += begin_format + sformat.replace(\"__HREF__\", href).replace(\"__TITLE__\", title);\n", " format_open += 1;\n", " }\n", " while (1 < memo_level) {\n", " text_menu += end_format + \"</ul>\\n\";\n", " memo_level -= 1;\n", " format_open -= 1;\n", " }\n", " text_menu += send;\n", " //text_menu += \"\\n\" + text_memo;\n", "\n", " while (format_open > 0) {\n", " text_menu += end_format;\n", " format_open -= 1;\n", " }\n", " return text_menu;\n", "};\n", "var update_menu = function() {\n", " var sbegin = \"\";\n", " var sformat = '<a href=\"#__HREF__\">__TITLE__</a>';\n", " var send = \"\";\n", " var begin_format = '<li>';\n", " var end_format = '</li>';\n", " var keep_item = -1;\n", " var text_menu = update_menu_string(sbegin, 2, 4, sformat, send, keep_item,\n", " begin_format, end_format);\n", " var menu = document.getElementById(\"my_id_menu_nb\");\n", " menu.innerHTML=text_menu;\n", "};\n", "window.setTimeout(update_menu,2000);\n", " </script>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from jyquickhelper import add_notebook_menu\n", "add_notebook_menu()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Donn\u00e9es" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "from sklearn.datasets import load_boston\n", "from sklearn.model_selection import train_test_split\n", "data = load_boston()\n", "X, y = data.data, data.target\n", "X_train, X_test, y_train, y_test = train_test_split(X, y)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Premiers mod\u00e8les" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0.7968308255996621" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from sklearn.ensemble import RandomForestRegressor\n", "from sklearn.metrics import r2_score\n", "\n", "rf = RandomForestRegressor()\n", "rf.fit(X_train, y_train)\n", "r2_score(y_test, rf.predict(X_test))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pour le mod\u00e8le, il suffit de copier coller le code \u00e9crit dans ce fichier [lasso_random_forest_regressor.py](https://github.com/sdpython/ensae_teaching_cs/blob/master/src/ensae_teaching_cs/ml/lasso_random_forest_regressor.py)." ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "C:\\xavierdupre\\__home_\\github_fork\\scikit-learn\\sklearn\\linear_model\\coordinate_descent.py:475: ConvergenceWarning: Objective did not converge. You might want to increase the number of iterations. Duality gap: 20.049045743243255, tolerance: 3.3370377783641163\n", " positive)\n" ] }, { "data": { "text/plain": [ "0.7935381412849827" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from ensae_teaching_cs.ml.lasso_random_forest_regressor import LassoRandomForestRegressor\n", "lrf = LassoRandomForestRegressor()\n", "lrf.fit(X_train, y_train)\n", "r2_score(y_test, lrf.predict(X_test))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Le mod\u00e8le a r\u00e9duit le nombre d'arbres." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "40" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(lrf.estimators_)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Grid Search\n", "\n", "On veut trouver la meilleure paire de param\u00e8tres (``n_estimators``, ``alpha``). *scikit-learn* impl\u00e9mente l'objet [GridSearchCV](https://scikit-learn.org/stable/modules/generated/sklearn.model_selection.GridSearchCV.html) qui effectue de nombreux apprentissage avec toutes les valeurs de param\u00e8tres qu'il re\u00e7oit. Voici tous les param\u00e8tres qu'on peut changer :" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{'lasso_estimator__alpha': 1.0,\n", " 'lasso_estimator__copy_X': True,\n", " 'lasso_estimator__fit_intercept': True,\n", " 'lasso_estimator__max_iter': 1000,\n", " 'lasso_estimator__normalize': False,\n", " 'lasso_estimator__positive': False,\n", " 'lasso_estimator__precompute': False,\n", " 'lasso_estimator__random_state': None,\n", " 'lasso_estimator__selection': 'cyclic',\n", " 'lasso_estimator__tol': 0.0001,\n", " 'lasso_estimator__warm_start': False,\n", " 'lasso_estimator': Lasso(alpha=1.0, copy_X=True, fit_intercept=True, max_iter=1000,\n", " normalize=False, positive=False, precompute=False, random_state=None,\n", " selection='cyclic', tol=0.0001, warm_start=False),\n", " 'rf_estimator__bootstrap': True,\n", " 'rf_estimator__ccp_alpha': 0.0,\n", " 'rf_estimator__criterion': 'mse',\n", " 'rf_estimator__max_depth': None,\n", " 'rf_estimator__max_features': 'auto',\n", " 'rf_estimator__max_leaf_nodes': None,\n", " 'rf_estimator__max_samples': None,\n", " 'rf_estimator__min_impurity_decrease': 0.0,\n", " 'rf_estimator__min_impurity_split': None,\n", " 'rf_estimator__min_samples_leaf': 1,\n", " 'rf_estimator__min_samples_split': 2,\n", " 'rf_estimator__min_weight_fraction_leaf': 0.0,\n", " 'rf_estimator__n_estimators': 100,\n", " 'rf_estimator__n_jobs': None,\n", " 'rf_estimator__oob_score': False,\n", " 'rf_estimator__random_state': None,\n", " 'rf_estimator__verbose': 0,\n", " 'rf_estimator__warm_start': False,\n", " 'rf_estimator': RandomForestRegressor(bootstrap=True, ccp_alpha=0.0, criterion='mse',\n", " max_depth=None, max_features='auto', max_leaf_nodes=None,\n", " max_samples=None, min_impurity_decrease=0.0,\n", " min_impurity_split=None, min_samples_leaf=1,\n", " min_samples_split=2, min_weight_fraction_leaf=0.0,\n", " n_estimators=100, n_jobs=None, oob_score=False,\n", " random_state=None, verbose=0, warm_start=False)}" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lrf.get_params()" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "params = {\n", " 'lasso_estimator__alpha': [0.25, 0.5, 0.75, 1., 1.25, 1.5],\n", " 'rf_estimator__n_estimators': [20, 40, 60, 80, 100, 120]\n", "}" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Fitting 5 folds for each of 36 candidates, totalling 180 fits\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "[Parallel(n_jobs=1)]: Using backend SequentialBackend with 1 concurrent workers.\n", "[Parallel(n_jobs=1)]: Done 180 out of 180 | elapsed: 36.4s finished\n" ] }, { "data": { "text/plain": [ "GridSearchCV(cv=None, error_score=nan,\n", " estimator=LassoRandomForestRegressor(lasso_estimator=Lasso(alpha=1.0,\n", " copy_X=True,\n", " fit_intercept=True,\n", " max_iter=1000,\n", " normalize=False,\n", " positive=False,\n", " precompute=False,\n", " random_state=None,\n", " selection='cyclic',\n", " tol=0.0001,\n", " warm_start=False),\n", " rf_estimator=RandomForestRegressor(bootstrap=True,\n", " ccp_alpha=0.0,\n", " criterion='mse',\n", " max_d...\n", " min_weight_fraction_leaf=0.0,\n", " n_estimators=100,\n", " n_jobs=None,\n", " oob_score=False,\n", " random_state=None,\n", " verbose=0,\n", " warm_start=False)),\n", " iid='deprecated', n_jobs=None,\n", " param_grid={'lasso_estimator__alpha': [0.25, 0.5, 0.75, 1.0, 1.25,\n", " 1.5],\n", " 'rf_estimator__n_estimators': [20, 40, 60, 80, 100,\n", " 120]},\n", " pre_dispatch='2*n_jobs', refit=True, return_train_score=False,\n", " scoring=None, verbose=1)" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from sklearn.exceptions import ConvergenceWarning\n", "from sklearn.model_selection import GridSearchCV\n", "\n", "import warnings\n", "warnings.filterwarnings(\"ignore\", category=ConvergenceWarning)\n", "\n", "grid = GridSearchCV(estimator=LassoRandomForestRegressor(),\n", " param_grid=params, verbose=1)\n", "grid.fit(X_train, y_train)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Les meilleurs param\u00e8tres sont les suivants :" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 120}" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "grid.best_params_" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Et le mod\u00e8le a gard\u00e9 un nombre r\u00e9duit d'arbres :" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "45" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(grid.best_estimator_.estimators_)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0.7991144265597927" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "r2_score(y_test, grid.predict(X_test))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Evolution de la performance en fonction des param\u00e8tres" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "scrolled": false }, "outputs": [ { "data": { "text/plain": [ "{'mean_fit_time': array([0.05663986, 0.10452576, 0.16595559, 0.22539721, 0.27168226,\n", " 0.33429265, 0.05565419, 0.10729852, 0.17114191, 0.23077893,\n", " 0.28304234, 0.33431249, 0.05604181, 0.1063158 , 0.17093563,\n", " 0.22779045, 0.26768265, 0.35245051, 0.06323156, 0.14401121,\n", " 0.19288373, 0.25152674, 0.29241681, 0.32273645, 0.05465369,\n", " 0.10490837, 0.17751675, 0.24574986, 0.27805681, 0.32074413,\n", " 0.06244035, 0.10291305, 0.16654687, 0.21403375, 0.2806407 ,\n", " 0.3367074 ]),\n", " 'std_fit_time': array([0.00683437, 0.00293675, 0.00649722, 0.00437011, 0.00134492,\n", " 0.00543854, 0.00469317, 0.00437525, 0.01169219, 0.00994201,\n", " 0.00682215, 0.01900377, 0.00391298, 0.00280159, 0.00885593,\n", " 0.01138138, 0.00560277, 0.02105583, 0.00461818, 0.04150351,\n", " 0.00681097, 0.02927737, 0.02726511, 0.00809343, 0.00521671,\n", " 0.00265498, 0.02124133, 0.0162238 , 0.00868051, 0.00878851,\n", " 0.00323922, 0.00170647, 0.00951473, 0.00600412, 0.01469903,\n", " 0.01193352]),\n", " 'mean_score_time': array([0.00199561, 0.00278964, 0.00359268, 0.00418863, 0.00398006,\n", " 0.00439715, 0.00179882, 0.00240097, 0.00259347, 0.00359073,\n", " 0.00379052, 0.00458755, 0.00179596, 0.00260038, 0.00338974,\n", " 0.00378971, 0.00419002, 0.00399599, 0.00239301, 0.00439 ,\n", " 0.00339122, 0.00399537, 0.00379014, 0.00378962, 0.0026103 ,\n", " 0.00280147, 0.00319166, 0.00537782, 0.00378947, 0.00398731,\n", " 0.00179567, 0.00240479, 0.00319138, 0.00319166, 0.00399151,\n", " 0.00478716]),\n", " 'std_score_time': array([2.30860108e-06, 7.35515012e-04, 8.01309620e-04, 1.16260605e-03,\n", " 8.85867125e-04, 8.03303461e-04, 3.83656712e-04, 4.95055787e-04,\n", " 4.88870129e-04, 7.98297389e-04, 7.46073178e-04, 4.88831366e-04,\n", " 7.47298023e-04, 4.94227888e-04, 4.86466750e-04, 1.16299839e-03,\n", " 7.47195419e-04, 6.31409439e-04, 7.98106470e-04, 1.85030289e-03,\n", " 7.97952120e-04, 6.31174838e-04, 7.46799029e-04, 3.99208553e-04,\n", " 5.00776113e-04, 4.03363522e-04, 3.99852179e-04, 1.85512089e-03,\n", " 7.46620842e-04, 6.41733605e-04, 3.98707686e-04, 4.94055409e-04,\n", " 3.98802796e-04, 3.99852435e-04, 1.09654222e-03, 7.46786568e-04]),\n", " 'param_lasso_estimator__alpha': masked_array(data=[0.25, 0.25, 0.25, 0.25, 0.25, 0.25, 0.5, 0.5, 0.5, 0.5,\n", " 0.5, 0.5, 0.75, 0.75, 0.75, 0.75, 0.75, 0.75, 1.0, 1.0,\n", " 1.0, 1.0, 1.0, 1.0, 1.25, 1.25, 1.25, 1.25, 1.25, 1.25,\n", " 1.5, 1.5, 1.5, 1.5, 1.5, 1.5],\n", " mask=[False, False, False, False, False, False, False, False,\n", " False, False, False, False, False, False, False, False,\n", " False, False, False, False, False, False, False, False,\n", " False, False, False, False, False, False, False, False,\n", " False, False, False, False],\n", " fill_value='?',\n", " dtype=object),\n", " 'param_rf_estimator__n_estimators': masked_array(data=[20, 40, 60, 80, 100, 120, 20, 40, 60, 80, 100, 120, 20,\n", " 40, 60, 80, 100, 120, 20, 40, 60, 80, 100, 120, 20, 40,\n", " 60, 80, 100, 120, 20, 40, 60, 80, 100, 120],\n", " mask=[False, False, False, False, False, False, False, False,\n", " False, False, False, False, False, False, False, False,\n", " False, False, False, False, False, False, False, False,\n", " False, False, False, False, False, False, False, False,\n", " False, False, False, False],\n", " fill_value='?',\n", " dtype=object),\n", " 'params': [{'lasso_estimator__alpha': 0.25, 'rf_estimator__n_estimators': 20},\n", " {'lasso_estimator__alpha': 0.25, 'rf_estimator__n_estimators': 40},\n", " {'lasso_estimator__alpha': 0.25, 'rf_estimator__n_estimators': 60},\n", " {'lasso_estimator__alpha': 0.25, 'rf_estimator__n_estimators': 80},\n", " {'lasso_estimator__alpha': 0.25, 'rf_estimator__n_estimators': 100},\n", " {'lasso_estimator__alpha': 0.25, 'rf_estimator__n_estimators': 120},\n", " {'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 20},\n", " {'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 40},\n", " {'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 60},\n", " {'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 80},\n", " {'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 100},\n", " {'lasso_estimator__alpha': 0.5, 'rf_estimator__n_estimators': 120},\n", " {'lasso_estimator__alpha': 0.75, 'rf_estimator__n_estimators': 20},\n", " {'lasso_estimator__alpha': 0.75, 'rf_estimator__n_estimators': 40},\n", " {'lasso_estimator__alpha': 0.75, 'rf_estimator__n_estimators': 60},\n", " {'lasso_estimator__alpha': 0.75, 'rf_estimator__n_estimators': 80},\n", " {'lasso_estimator__alpha': 0.75, 'rf_estimator__n_estimators': 100},\n", " {'lasso_estimator__alpha': 0.75, 'rf_estimator__n_estimators': 120},\n", " {'lasso_estimator__alpha': 1.0, 'rf_estimator__n_estimators': 20},\n", " {'lasso_estimator__alpha': 1.0, 'rf_estimator__n_estimators': 40},\n", " {'lasso_estimator__alpha': 1.0, 'rf_estimator__n_estimators': 60},\n", " {'lasso_estimator__alpha': 1.0, 'rf_estimator__n_estimators': 80},\n", " {'lasso_estimator__alpha': 1.0, 'rf_estimator__n_estimators': 100},\n", " {'lasso_estimator__alpha': 1.0, 'rf_estimator__n_estimators': 120},\n", " {'lasso_estimator__alpha': 1.25, 'rf_estimator__n_estimators': 20},\n", " {'lasso_estimator__alpha': 1.25, 'rf_estimator__n_estimators': 40},\n", " {'lasso_estimator__alpha': 1.25, 'rf_estimator__n_estimators': 60},\n", " {'lasso_estimator__alpha': 1.25, 'rf_estimator__n_estimators': 80},\n", " {'lasso_estimator__alpha': 1.25, 'rf_estimator__n_estimators': 100},\n", " {'lasso_estimator__alpha': 1.25, 'rf_estimator__n_estimators': 120},\n", " {'lasso_estimator__alpha': 1.5, 'rf_estimator__n_estimators': 20},\n", " {'lasso_estimator__alpha': 1.5, 'rf_estimator__n_estimators': 40},\n", " {'lasso_estimator__alpha': 1.5, 'rf_estimator__n_estimators': 60},\n", " {'lasso_estimator__alpha': 1.5, 'rf_estimator__n_estimators': 80},\n", " {'lasso_estimator__alpha': 1.5, 'rf_estimator__n_estimators': 100},\n", " {'lasso_estimator__alpha': 1.5, 'rf_estimator__n_estimators': 120}],\n", " 'split0_test_score': array([0.8940202 , 0.87745659, 0.89267006, 0.88773409, 0.88764713,\n", " 0.88721727, 0.88030235, 0.88229147, 0.88338305, 0.8841744 ,\n", " 0.87568931, 0.88040145, 0.88224818, 0.86803421, 0.8782666 ,\n", " 0.88402512, 0.87983085, 0.89479441, 0.87792973, 0.8886184 ,\n", " 0.8786744 , 0.8775296 , 0.88777394, 0.8873304 , 0.88272801,\n", " 0.87319492, 0.88929082, 0.87901683, 0.87689482, 0.87903615,\n", " 0.88157204, 0.87444327, 0.88596569, 0.88347627, 0.87777914,\n", " 0.88931924]),\n", " 'split1_test_score': array([0.79704484, 0.84485213, 0.80023779, 0.8581134 , 0.81708241,\n", " 0.88364671, 0.78465591, 0.85299111, 0.8530547 , 0.85618624,\n", " 0.87052386, 0.88326918, 0.85063816, 0.79320864, 0.85897771,\n", " 0.88518101, 0.84289528, 0.83146353, 0.87010914, 0.84243895,\n", " 0.86785386, 0.81390465, 0.86590262, 0.83099966, 0.8427512 ,\n", " 0.85999748, 0.87778341, 0.80600007, 0.82874117, 0.86055027,\n", " 0.78864461, 0.87648724, 0.86358345, 0.84660906, 0.86923607,\n", " 0.8515646 ]),\n", " 'split2_test_score': array([0.91389781, 0.92490052, 0.93859937, 0.90891467, 0.94038985,\n", " 0.93379336, 0.92483562, 0.94347406, 0.92691771, 0.92913799,\n", " 0.93273884, 0.93366021, 0.89996886, 0.94124611, 0.92968597,\n", " 0.92822391, 0.93998711, 0.9297982 , 0.92370424, 0.94017039,\n", " 0.93025174, 0.94268562, 0.92654441, 0.93051024, 0.92906086,\n", " 0.9266844 , 0.93396496, 0.93268742, 0.94347578, 0.93399707,\n", " 0.92104428, 0.93674692, 0.92924889, 0.91370101, 0.92417574,\n", " 0.93610135]),\n", " 'split3_test_score': array([0.87870123, 0.87949269, 0.90185329, 0.89336318, 0.88080773,\n", " 0.88884397, 0.88776187, 0.89833678, 0.89136132, 0.90388563,\n", " 0.89813607, 0.90224458, 0.846408 , 0.90441696, 0.89895751,\n", " 0.88550841, 0.88942613, 0.90262442, 0.84258899, 0.86109812,\n", " 0.88786268, 0.91796995, 0.90608978, 0.91226647, 0.86771125,\n", " 0.8885238 , 0.9012345 , 0.90757908, 0.9049025 , 0.89832926,\n", " 0.90723511, 0.89945538, 0.90380857, 0.90244211, 0.91072623,\n", " 0.90605944]),\n", " 'split4_test_score': array([0.87000685, 0.88453956, 0.86157008, 0.87584732, 0.86631058,\n", " 0.87267254, 0.85968581, 0.85786009, 0.88255153, 0.83106687,\n", " 0.88603786, 0.87960543, 0.86538772, 0.87571858, 0.88723025,\n", " 0.88003698, 0.87751858, 0.88549839, 0.86013719, 0.86229449,\n", " 0.89194402, 0.88812706, 0.87156138, 0.86776254, 0.8888626 ,\n", " 0.85864187, 0.86215456, 0.86389698, 0.86713372, 0.88347567,\n", " 0.86179769, 0.88432831, 0.88138982, 0.86985576, 0.85695583,\n", " 0.87839447]),\n", " 'mean_test_score': array([0.87073419, 0.8822483 , 0.87898612, 0.88479453, 0.87844754,\n", " 0.89323477, 0.86744831, 0.8869907 , 0.88745366, 0.88089023,\n", " 0.89262519, 0.89583617, 0.86893018, 0.8765249 , 0.89062361,\n", " 0.89259509, 0.88593159, 0.88883579, 0.87489386, 0.87892407,\n", " 0.89131734, 0.88804337, 0.89157443, 0.88577386, 0.88222278,\n", " 0.88140849, 0.89288565, 0.87783608, 0.8842296 , 0.89107769,\n", " 0.87205874, 0.89429222, 0.89279928, 0.88321684, 0.8877746 ,\n", " 0.89228782]),\n", " 'std_test_score': array([0.03974785, 0.02550878, 0.046408 , 0.01706329, 0.03959808,\n", " 0.02104863, 0.04644568, 0.03269786, 0.02364982, 0.03452557,\n", " 0.02217278, 0.02064617, 0.01997581, 0.04895129, 0.02349892,\n", " 0.01792068, 0.03128684, 0.03226845, 0.0271143 , 0.03397223,\n", " 0.02115936, 0.04356253, 0.02239465, 0.03472822, 0.02830809,\n", " 0.02507887, 0.02425949, 0.04301028, 0.0383732 , 0.02461451,\n", " 0.04645572, 0.02297537, 0.02227203, 0.02373862, 0.02547628,\n", " 0.02817624]),\n", " 'rank_test_score': array([34, 23, 27, 20, 29, 3, 36, 17, 16, 26, 6, 1, 35, 31, 12, 7, 18,\n", " 13, 32, 28, 10, 14, 9, 19, 24, 25, 4, 30, 21, 11, 33, 2, 5, 22,\n", " 15, 8])}" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "grid.cv_results_" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 1008x432 with 3 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "import numpy\n", "from mpl_toolkits.mplot3d import Axes3D\n", "import matplotlib.pyplot as plt\n", "\n", "fig = plt.figure(figsize=(14, 6))\n", "ax = fig.add_subplot(131, projection='3d')\n", "xs = numpy.array([el['lasso_estimator__alpha'] for el in grid.cv_results_['params']])\n", "ys = numpy.array([el['rf_estimator__n_estimators'] for el in grid.cv_results_['params']])\n", "zs = numpy.array(grid.cv_results_['mean_test_score'])\n", "ax.scatter(xs, ys, zs)\n", "ax.set_title(\"3D...\")\n", "\n", "ax = fig.add_subplot(132)\n", "for x in sorted(set(xs)):\n", " y2 = ys[xs == x]\n", " z2 = zs[xs == x]\n", " ax.plot(y2, z2, label=\"alpha=%1.2f\" % x, lw=x*2)\n", "ax.legend();\n", "\n", "ax = fig.add_subplot(133)\n", "for y in sorted(set(ys)):\n", " x2 = xs[ys == y]\n", " z2 = zs[ys == y]\n", " ax.plot(x2, z2, label=\"n_estimators=%d\" % y, lw=y/40)\n", "ax.legend();" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Il semble que la valeur de alpha importe peu mais qu'un grand nombre d'arbres a un impact positif. Cela dit, il faut ne pas oublier l'\u00e9cart-type de ces variations qui n'est pas n\u00e9gligeable." ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
michaelaye/iuvs
notebooks/2015-09-10 Interpolating HK temps.ipynb
1
375500
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/klay6683/miniconda3/lib/python3.4/site-packages/IPython/kernel/__init__.py:13: ShimWarning: The `IPython.kernel` package has been deprecated. You should import from ipykernel or jupyter_client instead.\n", " \"You should import from ipykernel or jupyter_client instead.\", ShimWarning)\n" ] } ], "source": [ "from pathlib import Path\n", "# root = Path('/home/klay6683/to_keep')\n", "root = Path('/Users/klay6683/data/iuvs')\n", "\n", "from iuvs import hk\n", "hkdb = pd.read_hdf(str(root / 'HK_DB.h5'), 'df')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib nbagg" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# focus on a continuous time interval for now" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "hkdb = hkdb.loc['2015-01-01':'2015-01-01']" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'pandas.core.frame.DataFrame'>\n", "DatetimeIndex: 2880 entries, 2015-01-01 00:00:21.165966331 to 2015-01-01 23:59:49.759748995\n", "Data columns (total 21 columns):\n", "FUV_CHIP_TEMP_C 2880 non-null float64\n", "FUV_DET_TEMP_C 2880 non-null float64\n", "FUV_INT_TEMP_C 2880 non-null float64\n", "GRAT_MOT_TEMP_C 2880 non-null float64\n", "HV_POWR_TEMP_C 2880 non-null float64\n", "IUVS_1_TEMP_C 2880 non-null float64\n", "IUVS_2_TEMP_C 2880 non-null float64\n", "IUVS_3_TEMP_C 2880 non-null float64\n", "IUVS_4_TEMP_C 2880 non-null float64\n", "IUVS_5_TEMP_C 2880 non-null float64\n", "MUV_CHIP_TEMP_C 2880 non-null float64\n", "MUV_DET_TEMP_C 2880 non-null float64\n", "MUV_INT_TEMP_C 2880 non-null float64\n", "OP_1_HTR_TEMP_C 2880 non-null float64\n", "OP_2_HTR_TEMP_C 2880 non-null float64\n", "POWER_BD1_TEMP_C 2880 non-null float64\n", "POWER_BD2_TEMP_C 2880 non-null float64\n", "PROC_BD_TEMP_C 2880 non-null float64\n", "SCAN_MOT_TEMP_C 2880 non-null float64\n", "ZONE_1_HTR_TEMP_C 2880 non-null float64\n", "ZONE_2_HTR_TEMP_C 2880 non-null float64\n", "dtypes: float64(21)\n", "memory usage: 495.0 KB\n" ] } ], "source": [ "hkdb.info()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "newind = pd.DatetimeIndex(start=hkdb.index[0].replace(microsecond=0),\n", " end=hkdb.index[-1], freq='1s')" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "reindexed = hkdb.reindex(newind, method='nearest', tolerance='0.5 second')" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "snew=pd.Series(1, newind)\n", "\n", "sold = pd.Series(2, hkdb.index)\n", "\n", "smerged=pd.concat([snew,sold])\n", "\n", "smerged = smerged.sort_index()\n", "\n", "reindexed = hkdb.reindex(smerged.index)\n", "reindexed.head()" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " this.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width);\n", " canvas.attr('height', height);\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'];\n", " var y0 = fig.canvas.height - msg['y0'];\n", " var x1 = msg['x1'];\n", " var y1 = fig.canvas.height - msg['y1'];\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x;\n", " var y = canvas_pos.y;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overriden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAyAAAAMgCAYAAADbcAZoAAAAAXNSR0IArs4c6QAAQABJREFUeAHsvQmYFdW19r97oAdmmkFBARFRgogDgqJBBjGoOFxxNkYxiVGjN596/5/mmuuT3HiN/u9NMIkRk5jE4UqMOBs1DjEDziImTiBOzKICzdAMzdT9vW9VrVNVZ+rpdJ9uzrufp86u2rtqV51f1a7aa+219nZOQQREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREQAREYDcgMAr/4Sksq7DUYZmMJTn8DQnbsGzEUhPElyJWEAEREAEREAEREAEREIGCI1BccP84t394O4p7CMs0LPUZimb6zVi6Y+kWxL9ErCACIiACIiACIiACIiACBUegtOD+cW7/8PsojgtDkR+l/c2Wl/YAJYqACIiACIiACIiACIjA7khAPSBtc1cvw2nWYlmA5SYsXbAoiIAIiIAIiIAIiIAIiEDBEVAPSPpbfieSL8RC86l0vRd/Q3o6fw8kp4R/Rwp7SdZjOQjL3Vj2wXIuluTAcw3AQl8RBREQAREQAREQAREQgfZJgGb1n2JhW1GhiQTSNa6bWMRuuXtn/KuKLP9sB/KShQQ6oU/B8pcsxzFrApbnsPDBpXN6NOyFjRXRBK2LgAiIgAiIgAiIgAi0SwJ746pWtssra+cXpR6Q9DdoC5K5tEYwSTmd8OcJNcuXL3fdu9NnXaExBK677jr3ox/9qDG7ap8IAXGLwGjkqpg1ElTSbuKWBKQRm2LWCEhpdhG3NFAakSRujYAU2WXjxo1u4MCBTElWRkf20mo2AhJAstFpXF45djNhohPWub0Tyy4s/bAciuUFLBRoDsTyEyyPYanFkjZQ+JAAkhZN2sSysjLxSksme6K4ZeeTLlfM0lFpOE3cGmaUvIeYJRNp3La4NY5T8l7ilkxE261NQE7oLSM8GIdvxbIZC3s2nsJCQeN7WBhoxvVDLOye4zwgj2D5M5YZWBREQAREQAREQAREQAREoOAIqAekZbd8KQ7PJsQtQ/4RLTuFjm6IwNSpUxvaRflpCIhbGigNJIlZA4AyZItbBjBZksUsC5wsWeKWBU6WLHHLAkdZrULATIdapXAV2mQCdPzYgCCToiaj0wEiIAIiIAIiIAIi0PoE6APSo0cPnog/tHBRaCKBbNr7Jhal3UVABERABERABERABERABEQgOwEJINn5KFcEREAEREAEREAEREAERCCHBCSA5BCmihIBERABERABERABERABEchOQAJIdj7KFQEREAEREAEREAEREAERyCEBCSA5hKmiREAEREAEREAEREAEREAEshOQAJKdj3JFQAREQAREQAREQAREQARySEACSA5hqigREAEREAEREAEREAEREIHsBCSAZOejXBEQAREQAREQAREQAREQgRwSkACSQ5gqSgREQAREQAREQAREQAREIDsBCSDZ+ShXBERABERABERABERABEQghwQkgOQQpooSAREQAREQAREQAREQARHITkACSHY+yhUBERABERABERABERABEcghAQkgOYSpokRABERABERABERABERABLITkACSnY9yRUAEREAEREAEREAEREAEckhAAkgOYaooERABERABERABERABERCB7AQkgGTno1wREAEREAEREAEREAEREIEcEpAAkkOYKkoEREAEREAEREAEREAERCA7AQkg2fkoVwREQAREQAREQAREQAREIIcEJIDkEKaKEgEREAEREAEREAEREAERyE5AAkh2PsoVAREQAREQAREQAREQARHIIQEJIDmEqaJEQAREQAREQAREQAREQASyE5AAkp2PckVABERABERABERABERABHJIQAJIDmGqKBEQAREQAREQAREQAREQgewEJIBk56NcERABERABERABERABERCBHBKQAJJDmCpKBERABERABERABERABEQgOwEJINn5KFcEREAEREAEREAEREAERCCHBCSA5BCmihIBERABERABERABERABEchOQAJIdj7KFQEREAEREAEREAEREAERyCEBCSA5hKmiREAEREAEREAEREAEREAEshOQAJKdj3JFQAREQAREQAREQAREQARySEACSA5hqigREAEREAEREAEREAEREIHsBCSAZOejXBEQAREQAREQAREQAREQgRwSkACSQ5gqSgREQAREQAREQAREQAREIDsBCSDZ+ShXBERABERABERABERABEQghwQkgOQQpooSAREQAREQAREQAREQARHITkACSHY+yhUBERABERABERABERABEcghAQkgOYSpokRABERABERABERABERABLITkACSnY9yRUAEREAEREAEREAEREAEckhAAkgOYaooERABERABERABERABERCB7AQkgGTno1wREAEREAEREAEREAEREIEcEpAAkkOYKkoEREAEREAEREAEREAERCA7AQkg2fkoVwREQAREQAREQAREQAREIIcEJIDkEKaKEgEREAEREAEREAEREAERyE5AAkh2PsoVAREQAREQAREQAREQARHIIQEJIDmEqaJEQAREQAREQAREQAREQASyE5AAkp2PckVABERABERABERABERABHJIQAJIDmGqKBEQAREQAREQAREQAREQgewEJIBk56NcERABERABERABERABERCBHBKQAJJDmCpKBERABERABERABERABEQgOwEJINn5KFcERKAJBH7963vc+edf1oQjtKsIiIAIiIAIiEChESgttD+s/ysCItA6BHbs2OG+/e3vuF27arHscPfd95vWOZFKFQEREAEREAER6NAE1APSoW+fLl4E2g+BQw75MgSPGlzQ/u4Pf5jjxow51rFHREEEREAEREAEREAEogSKohtazzuB7riCDQiue3euKohAxyDAZ7Znz8G42G5YjsdyF5YKLJ3c9u2fu06dOmFdQQREQAREQAQ6PoGNGze6Hj168I/wZ2PH/0dt/w/UA9L2zHVGEdjtCBxzzHH4T2VYVjjXGaZXnXdifROW7W7atHPUEwISCiIgAiIgAiIgAj4BCSB6EkRABJpM4L/+6+euS5cDXXHx4a6kZJp7++0qlLHa7wDZgtUSK3KHe+65Z90ll1zujj32LEtULAIiIAIiIAIiUMAEJIAU8M3XXxeB5hKgw/mWLTWuvn6tqyt/Cj0ezzjXFaWZ4EFXEIau2/HDnpAS95e/vOaOO+4cpiqIgAiIgAiIgAgUMAEJIAV88/XXRaA5BIYOPdr98Ic/xaFdsNT7RbDXoy7Y9Mxi/WSX8DLbioRq9+c/f+HKyg53N97482AHRSIgAiIgAiIgAoVGQAJIod1x/V8RaCGB00+nk3lPLPD5qFzqHGULBsoiO4LFhJCUnpD33I4d9e63v72PRyiIgAiIgAiIgAgUIAEJIAV40/WXRaC5BKZMOdf97GdPwO+D9laXh8IHC6QgQiEk6BTxxgbhoFgMiZ6QDdhY6RYvXu26dh2nnhAPjn5EQAREQAREoLAISAAprPutfysCLSKwffs2DKu7FfN9XILeDywMFC56Y6GwYb0h1hNibxj2hFBmcdtw3OeIP4MPCcvijgoiIAIiIAIiIAKFRMCaB4X0n/VfRUAEmkGAI18tWLAcR1LieNQXNih0cAAs+pqz54OCCIUQyBmxnhBshr0g/kZ9/XJ30033qReEOBREQAREQAREoIAISAApoJutvyoCLSGwY8d29FjwlbEfejEe84tiz4YJHxzsioIHhZBdWBiiHRzWC0IBpZI718AfZKV6QchJQQTySODXv77HHXHEVG++Hq4riIAIiEBrE0hYZrf2iVR+owhoJvRGYdJObU2AvR8/+9lsV129w9XVjcPpZzlHWaQXFgogJlxQrmCvCOckXIulMlhH5GqxUEBhoDnWJj7uTOjlevWqQtnvYV1BBESgrQicf/5l7qmnnnPr1q3CKTl5aCXm9ql3PXv2Q+/k9e5b37qgrS5F5xGBDkVAM6G3/HZJAGk5w1yWIAEklzRVVs4ITJp0pvvb3/6J8jD6VeUboa8HhQ22W8z3ozPW6zEEVgmczfl2YR7jTlgY6IPOwOMotLiRWBZj4ahalW7KlNGYuPAPWFcQARFoTQJbtmzBZKKsiKygtJ8cgmUJFgZO6FPpxowZ415//TkvRT8iIAIhAQkgIYvmrskEq7nkdJwIFBCBhx76ldtrr4H4x5CRTdigqRWDbXOd7ZatQ9G7cajfpmEe2zbmlB4dntdzSn8X7ZzN2GEdltVu9OiDECuIgAi0NoHjjjsZp6DOqx+WwVioPRiAhRVzEJbNbt6819zgwQd7pllIUBABERCBnBGg6kOh/RBQD0j7uRe6kggBDr/74otL4LOxEyZY6AFhoPKUwgXNriyw7bJpH/xQ0oD9VddX/XyaYjHQNIvBekK8/ZlAyaUCS6Xr3Lmr27x5MRMVREAEWoGA3/sBXy6vnq5AHKnEXp1kbwhnF12DpcQVFZW42277hbvssq9jW0EEREA9IC1/BtQD0nKGKkEEdnsCc+bc5vr27Qrh4wr/v1rvR6Td4gkkmyYgn4LEeizQqG7aPxwZi0eaUzrlExM+GHte6/QH2YjhedfBDr2v5xRLx1gFERCB3BCgL1dZ2X4wvToMBdLv430sqMTs/LDAOl0GBUBXDpfdBctgV18/zH3721e7ffY5VL0hxkmxCIhAiwiUtuhoHSwCIrDbE2Cj5Q9/eNHtvfdBbkU1NKBUjNLxPG0odgMG9EAPRmcIEdVwboWkQrmCAstaLOwJoRBCn5A+WNjY4cLQFQ4jm9itUoMGTzFsz/+C9RJ3+OGT3RtvcF1BBESgOQTYg/n88y/iUBo91PlxVOhgoVQKMIv1kwNGsI533Yg6icVdg+UnbunSD9ALchnmAdqp3hAQURABEWg+AfWANJ+djhSBgiDw/PMvuIULP3SvvjoCjRK2UIJA86to8LaL3LZtO9z69fNdjx4UJqrRgDkzFELoE8KGDRs5dPtgo4e7MXgGoTVYoSUipRNKLcPc/Pmve0IINhREQASaQWDs2FE4ihIHNACVK7EKsyvWVw6XzZgL6yS3y7GwXjJQOUCzya7/jR8ez1Hwenm9Ib17D9OwvSCiIAIi0DwCEkCax01HiUDBEBg3bjR6M/iq+Ic/qpX5cVjPBUlQiNj0ZfzUuz59ejEFvRglrgSuHaWl85EH4cV6QjgyljV42OixtxBlD4auNN/6DAuFkGFYtntCyIYN5jiCJAUREIFGEWDvx0033YF9aRo53B80gr2YVAawTjK2wSKsXiLJE0LYY0mFAet6V1ZQVthPsdRg2Oxl7pJLLkdPyJXwDWO6ggiIgAg0noB9+ht/hPYUAREoKALXX/9/3GmnTXKdOtFRHMF6LPytyO9a17XrWnfmmdO8tCVL/up27nwfx7Hhg56TTeNDIcQaP2zwMFDjSs0rQ0KwwbwgXR9BAhs3u9yRRx7HXAUREIEmEGDvR3ExfTnQg1H5eOqRzGKICiKscly83g9mIrBe0mi7KystMwZjYY/IFigd9k9MZIhEBREQARFokIAEkAYRaQcRKGwCP/nJHW7BgmUYhhemGwzUiJrgQKsMBm/7EAgbZa6iIhBU/Bx37bWXwXm1L7a+QCPmLF8IYScJzT0YrLFDOYWCCC2wOmGhSZaneUUMo/T331+A0XimYhnthg49mokKIiACWQjQf+uOOx7FHqxQ/Xwhg/vTutEW1jtb524URGhpyTrNusnqzHrJwN5Lr07SScQkkqFu48YV8Nn6G3pD/lW9IeSkIAIi0CABCSANItIOIlC4BNiA+f3v/+5Wrap2Sz5/OATBtgcDTTk88ytu1ML/Y7u7+uqLuZEI3//+FRBABns9KBUV/0C75Qy/YcNGT7LpBxs8bORQsKEgwuA1eLiyGUsNln7uk09qJYQQiYIIZCGwY8d21EnIE3UYVjfa+0ElAs2vogvTWOdYLylfRIUQ1ksTQrDq1UmOolWCOtl1ARI4lN1gnAe/gw9xQ4YcptGyyElBBEQgIwEJIBnRKEMERIANmJUrV7kNG87zhYWM4+adBDOPhe7//t+vucpKGo7Hw1//+r9u+/b34A/CvLfRgDnGb/xQeDHTD+tZMc0ri7BGj+egju3KV5iKICHE56BfEchM4J57HnQ1NZQm9vTrGXelgMFAwd4W1i/WOxNIuA+3kxUErI+2YNXrxWTxnt8WD9oMZcUit2TJInfppVeoN4SMFERABNISkACSFosSRUAEogTq6v7hb5rZFLdillZb4XROlWn2cPjho1x5OQ9cg8bPoX4jh+0WCjbs+TBhJCqEUCtbg8WElcqnsdEbpljOnX768VhXEAERSCbA3stOnfp69SRWWU3Qjx7A+rZpEJYRWMaFygH6h7BOMlidZG+I9YiwTppZlnsVgghfEFx24H1Q79566y2sK4iACIhAKgEJIKlMlCICIgACbMDMmvWA27SpNhQuqgI0lCHYzkgIIfVegyPIzRixJ+Tf//3bEEIorECqMMd0alUpiPTEQmHENK9YdXZOridCvevVax/3xBNvuRtv/HkiVSsiIAI+Ac778fHHq1AvB6Pn8FY/kXWMgT0fFjwTSigDvEl6aFO5FvmTfCHE/ENMMcBsCiImjLAFwbprwXoqHYQZSCZjxlyAiQ8PVx01PopFQAQSBCSAJFBoRQREIErg3/7tYnfMMUfCtGo/tE1m+VmmPaXwQYdVyhFsjKBFM3z4MDicX86NrIE+IePGHQ4hhAXQMX1iaPrBI00YMU3rOiZGAgfgqfw9zMK6wDzsC5h2cUcFERCBKIFx4w5F3WVFne4L9BQ0rP5yRzOn9OpvEQSFeszdU4WhsylFLEO9hFCyFRIIzbJMcOFxJoyYEGK9Icyr4Q/Dx+gNoW1WNSYtdJgE8QUvVT8iIAIiYAQkgBgJxSIgAjECHP1q0aJVmAEdLQi2JSyY9pQND/qebtoDP/u6kSMHpfX/sMOisfWEVFaWoZG0BGUMxTISTu0oy+zQaXrlNY6iR4brdfR4VRABEUhLoFOnTq5zZ/pcPeLnm3Bg9ZemU17vx0Ss9HT77jvIm0D0+uuvcL1794a/1kbUR/RkbBodCiEURKg3YKAgwvppdZSKAy4WvN6Qz+GY3tf99a+bYAo2BcLNoeoNMT6KRaDACUgAKfAHQH9fBDIRoAP68uUrsEzzGxkJc6vkIyaisfJPt2YNJxBsfGBPyJYtb7tu3WhjVYwGCiSP7ZBoPGHkMF9bm6W4ioopburUse673/12lr2UJQKFR4Dmkw888ArqJYaTs9GvKDxYoOIgEYrQG7nKnXHGiV4K6+WaNa+5Ll0oTbAHBYL+poNCxQDn62FZXCiEMFhvZbQ3xM+BUAKfLc9vaw9cTyf1WBoXxSJQ4AQkgBT4A6C/LwINEUg4oNM/I21YAzvzIjdhwui0uQ0lrl8/H8d/4L7//e/AjGs/CCLW6JmS9dA99/yLNz/JzJl3ZN1PmSJQaARo8rRo0SeYrfwcX0ig8oDmV+z1YOCI1pBN3E52gbAZUOduuOEqxGFgvfzBD67EENqUVuD84SkGJiP+kl8Wy2MZFEKiviHYzBTKy2220Ux7KF0ERKBQCEgAKZQ7rf8pAs0kwNFsvBC1H7d2hJlfNLPs6GHUvC5c+LT78pfpd0JV6tnRbF8Dy7YQTbQQqqunuhEjBqXMO+Ln6lcECpfAQw/9yg0Y0AcA3vYhUKZnsB4L1lsKJVsP8kwg99hjD+amBNZJfw4fVvhimFAtRYy6yeO5sAVhPStWNpK8wHwexhihsvI4d/zxR6jH0sehXxEoeAISQAr+ERAAEUglEDPhcCvDHcx+nEKAZz/uZ3XuXNEoB/SwoMxrX/nKONig7wHTrEfDnWgNQgGIduWBP0pZ2cPqAQkJaU0EPAKsu8OHT3Nr19ZCuHjFp2JCQgqjwehxLHbf+tbpKTmWYHP4sJdy2DD4alFy2bQPlpFYJvn1kuVHz8H6yncFB4yAKwlDXd3D7vHHX3KnnHKRn6BfERCBgiYgAaRlt38UDn8Kyyos9IidjCVdmIFEqqL4Sv4My0+xKIhAuyUQm4CwMiIIpL3iZW706AMa7YCetohIIkff6tevDBOoBV0d7AxhsFF7GKNhs3rTw5j0bJebPXuuHFs9QPoRAedYf8aPH4tJAPu7uvI3fCTR3kuD5PVMfIR627nRvYjnnHMyhJt9INiUQ3Bhocv8Hg5W1aC6el9Cq6t2Ljiub9v1KYSQHm7Fih2qr8ZFsQgUMAEJIC27+XwDP4QFXrrW0ZxS4L8h5Xosl2LhuD5DsNyFRUEE2j0Bz/+DphW09U4bpruKispm+3+kK5Izqc+b9zCGBK2BiciPM9csHLxr1+kYfWufRjeg0p1PaSKwOxGIjV4XNYuy3kv+Wa/3kmZX3d2gQXs0WnlgZpK7dr3v/vd//38cz96QA7AMxnKIb+JFcy/zNcGqF9hz2Wk+BJB+7tNPV8sRPcCiSAQKmYAEkJbd/fdx+G+xvImFr9jkwNf8D7D8K5aXsbCXhJ+Ef2JREIF2S+C7370cI0wdhbkBgjE36WSaNmxwVVXdc2Z+ZaegEHLllV+FGdaDlhQ2aiKNm5tvLnJz5vy40Q2osDCticDuSSA2el3WvzgBo1KtRG9jVda9MmV+9NEK9IYMwWzrdCZhU4Kft19lVRiUlU3WyHWZgCpdBAqMgASQ1r3hR6F46o6HY/kAC82v/oSFplsKItBuCZx88tc9e+26OsrWCFH7btp1J0I9zKDW5tyum3bsv/nNkzDD6pk4U8oKRP67756HHpCzZNKRAkcJhU4gMXpdtO7GoKxFD2Jds3svrTdk+/b33KRJR6IntAKlz4mdIXlDI9clE9G2CBQuAQkg6e/9nUimOoedyYyTl78grTGhD3Ziz8ipWI7Bgn5q9xYWDIzudYIjUhCB9kWAjf8VK7bCXGKH21H6kn9xNDb0bMYRcz0xuk0p7MGdu//+Wf5+OfrdsWMH5iJYh9Iytp6865k3bw3mKflMJh054q5idh8CaUevY2cFg1eXv4AfhyX4yc39ffLJ32Iiwz1x+NnxIviu6IqFMUJ19fEauc5HoV8RKHgCya5iBQ8kAHA54n/LAsPcYrPs4mUF43+4G7HF3g+G67DQJOsoLM9gSQnXXXcdTF98NfPUqVPRZT01ZR8liEBrEaAJx6pVX0AA+TYaDt8ITxO1IefjWcOOvI88h9eqquaZcYSFx9c4ueDTT7/hXn99AjJm+5mmLrEYqb5Jx7sa2jOOT1sFSmDKlHPdCy98gp4NVJLK23yDX7KwukuVGg2Da5h4ICYbfD8n5pP0O/nkk8Uo8w4W7IfoSFgUQPDO2Lr1D+6JJ+owtO9J7jvfOdV973vfsb0Vi0C7J/DMM884LgzbtwdSdbu/6vZ7gen8Ftrv1bbvK2MvyRQs0d6RvbG9DMvxWJ7FwkCVEwWT6ViSBZDuSNuA4Lp356qCCLQ9ga1bt7oLL7weJlh1btu2W+A8imugdYXXaAmux2vEHIu5Bra5jz56Nuc+GOyF+fnPH3O1tZWuZueT/nCebETRoJH+KIFWtW/X02HDXuzOPffLaswEt0ZR4RKorq52Bx98NnowzweEGT6IhMARcPG2q+D/0RcjZn3d3XzzNUFG8yO+MwYNOha9lugFqXzE7/fne4MTHlZioedjFyzby12nnbe5k09+1917749y/t7AGRREoE0IbNy4EQOlUMp2/DFlc5uce3c5SUSXuLv8pTb/HzRGYfOMga9cblu/9gqs423s9Xr0C/JuQFyN5SUsCiLQ7gik+H9klIVbx/+DQDiU6MSJh0LLdHrIx9QlFiNn48ZpMukICWmtwAnMmnUvGkW9MDDEnQ2QOA6Ce38M8mCfrgZ2byCbg0asXv0yhB+MELF1eGiu6Zl64WCLMVbvz3/e2T300C0SPhpgqmwR2N0JSABp2R0ejMOp26Geh6/Yp7BQP/s9LBYuxMpHWDhiFgUSjFXoaFNlneJYVRCB9kNgzpzbXN++XTGPwEX+RbGn2RoQ1GYyeNsbYMLROef+HyyeDRqObjVzJuX5ILCtxJrGOOiNueWWco2CFeBRJAI2AtaGDRf4MHpnYrLGff7556jjuTMjYa/lp59uRs/K4Ewn9XpGNHBEZjzKEYFCIiABpGV3eykOJ0P2eESXH0aKpaDxTSw0ku+L5UQsC7AoiEC7I0Ab8gEDprl162CnURkIILxKE5cpbtOEw9veH9rWYmhb+WjnNrAxw9GtZs40y0WUT+GHho7sAWGM8JOfPKtRsHwU+hWBBIHECFhR5YHlmjLBtnMUs9dyyJB+bufOLL2WOPfChYer1zJHzFWMCHRkAhJAOvLd07WLQI4JWO/H5s2nOrcjW0tlChzA30SDY98cX4FfHBszI0YMckuXjoewMRLXgvRkZS2cWj/+9G41ZlrlDqjQjkjA5u+pr8B8HBZMecDthPKgyO25Z++cOKDbadhrecIJR7mePWdbUtq5e266CYP1au6ekJHWRKBACUgAKdAbr78tAukIxGzIYc7NkWvShzpXXt7FHX/8UemzW5jKxsyoUYMwt8DvnNs2KDQBS1Pu8uV1bsyYCzUXSBo2SiocAuy97NVrsnvqqU8gsGcbqPErgPIJ6m9xTv0w2GvJuXvWrzc7zfTs2aupuXvSs1GqCBQSAQkghXS39V9FoAECKTbk1JimDRswQtXOnNqQJ5/GekGcOy3MsjeWxciRSUeIR2uFS2Ds2FEYfrfWbd58it/zkFF5sBKQOrlzzjk+p7AaNXcPzvjxxzs1d09OyaswEeiYBCKf8Y75B3TVIiACuSNgJhylpe/6hUZtyDkELoNnmbW/GziwKqcmHH7h4S/nFli8+AuM1PNomGhvLIuRU1X1tFuwYBn8RSJzEIRHaE0ECoJAp06dXOfO7H3gwIsIGZUHI9GziOFwsX8uA+fuOeWU8Sj7uKzFVlRMwdxWYzV3T1ZKyhSB3Z9A5DO++/9Z/cP2QeDXv76nfVyIriJGgCYUgwdPxkRhr8GR9I0wz2zIOb5bwob8n27ZstWt2uj3h+I9BBrdSA8IJ1JjsBirml3ZI6KfAibAuvvAA69gBKrOmN0cJosMGZUHa7Bfcc6VB1QYUBHQtevj/vn5yxHrOHAF5+1hjNC16xNSGPgo9CsCBU1AAkhB3/62//Pnnfctd8klV7rbb4dtv0K7IkDzq9raOsxW3M/tKnuhgWsb7vbffx939dUXN7Bf87PZoHn55fcwI/uDYSHmF28xctQDEuLRWmESYN1duXIVZrE9z9VX/CKEkFZ5UO/23rtPTv0/eEIzmaypOSk8P0fRpi8ZR64LBpHQ3D0hHq2JQCETkABSyHe/jf/7li1b3H33/RZn3ez+9V+vhv9ANkfJNr44nc4jUFRUAg3qgIS2Mj0Wjia9wJ155sScN2Ki52ODpkePcpzjnGhyynp19VSNhJVCRQmFSMAbfpc9DRn9wMfA+XwNRsDqk3M8NnBEVdUf/LLTvd7hl7Kt5OvwAdHAETm/ASpQBDoYAQkgHeyGdeTLfeQR2iZzZqx94Cy5y7311lsd+e/sdtc+d+5rbtOmra6+vq6B/3Ys8ovd3LmvNrBfy7LZA1JUVI7JDiMmHaVBmRZjs6zsEZl0tAy1ju7gBMx3q6ws8OsIzJ1S/9Z+mOenCiNltU4PNJUGAwf2ggLj5+HIddbKsBi9lxo4IvXOKEUECo2AvRIK7X/r/+aBwA9+8GucFWqxrh8g3uRmz440LPNwPTplnMBDD/0KjRNoRsue8TMyzqK8Go6mpe7BB3k/Wy+kNengyD7bsdC2nDGCTDp8DvotXAInn/x19/jjL8Fc8U0fQrTuxnzNP8TADp/CWTwyyWgOsVFpsHDhCpQYebfT/IrBYqzKbNIjoh8RKGgCEkAK+va37p+nYyTHex837mp35JFXuo8+eh8nXO/PYt3FuVtvnePlMV/jwrfuvWiodN6rY465FLbhB6Fz42N/dzbwI74WXqK3vRb37aBWmQE9ep006eCEZeeey+cmCBRA6IDOxkxg4nHeeYs0sVmAR1FhErAJRHfsmOEDiNZd1hPWG6/uVnkjYN1//yx/vxz/+j0gvdFzeXbWkjVwRFY8yhSBgiAQMWQoiP+rP9lGBDgp1vPPv4yz0Rh5KaLXnesRnHwDYoymtGvXIvfqW4vQXX88Ena4//zPe7wdvve97wQ7KmorAjb/x+bNuBds4ENA9II5sXKDI2DVTMJPP0x4ZmPyMqP1AgWjJ5/kiFyQODgzO7W5pjZhjIbVnff/N7Su211NzQoIK192en5yez84at3cua+4e++9PbcFq7ScEOC79sUXl2Bkq/54z14U+m9F6y6dwWt4uo/Qy7l3qykP2AOyYcM2mHE+FP43VF0vWIwNvwek1htFT/U14KNIBAqMgH3KC+xv6++2NgFOilVUxLEX4U9A4YOBo6EkB2rmyufhZw84R1a47dvTeS4mH6TtXBMwG/LE/B8xs4342Xr2/ABO3yPiia20RY3qkCH90Kj6RWpvjJ2zvl425cYixzEHirj00ktgLjnbcRAJhfZHwHo/Nm8+1Rc++E5NG45C70cXN29eME9I2n1alsj6qoEjWsZQR4tAoRCQAFIod7qN/ycnuerWjV0e3f2PIp0ik8157JqK13prxcV6HA1JW8cpNuTRe4Vb6AUvrcitX7/Vvfrqa21yiTTDOuGEo9DjMic8X+D7YT4gzLj55iKZYYWEcrb2xhtvQJsNvyDAvuyyK3NWrgrKHYFZs+5Fo78XehXu9Au1+pFyigpv8sHbb783JSdXCayv7733mDv77AVhkexRZbAYq9Onv6P66kHRjwgULgG1+Ar33rfaP6fZzKxZD2BEpVqcw+yusBpt1Lba2VVwcwiYFnXHDphwMHAgLM9kA/FGLDS/8kw6imDq4Vxr2ZDjLLHAZ+k3v3kSZh14jiImHLGdkH733fPkRxSDkpuNc8+lOSTt8Ua4e+65T0Nn5wZrTksx88kNGy7wy406oMe+8Bswz89O3MOMEkqLr4v1lf58zz23LCzL3vsWI+fZZ5eqvoaEtCYCBUkg9noqSAL60zknwA/ctm1ow9YNgfnVX/zyox9FprBxSwst+oMgFBVlal36+fptPQK0IR8wYJpbtw5SRul/NHCixZjJuLLVbMiTT04ToDVr1kELj2uzBoyZmFiM9Hnz1mBugc9kwpcMsAXbNLlaupT+N/DT6voPxJs0dHYLeLbGoay7N9/8gNu8uR4+dfP9U0Qd0FlnSrB4dWd/V1KyI+czoEf/l41cRyfzbGHdOs3dk42P8kSgEAhIACmEu5yH/5gyoV1U6WadIkkyR2lpX/fAA6+4G2/EGPIKbUbAej88G/JSDqGZKRwLU6je7uOP/5xph5ynf/e738aQoePhH3Rc1rLLyia7qVPHOu6v0DwC1F536XKgKy4+HA3VaRA0z/B7vlhcUFfHjLnIyysuPhE9YWPccced07yT6aicEGDd7devO4SPS6HsiYxs5fVW4hQUPDpjwXZp6Xx3+OGjWnXyUDqhL1iwzJubJ/EH2XtK/z/GwfwknTpp7p4EH62IQIESkABSoDe+Nf+2OTQnJsWKniydjzk02fUVs/ERPR3d8vu4q6++OHqE1luZwFlnXe5Wr96EhucvnKPVHBsKaUMd/D82wb677Rr5NhTvOedEbMrTXNs557wvm/I0XJqS9PzzL8DRvMabiLKu/ClXX/knf8QxfiWsx9K967y8+hLU113uww+XNOUU2jfHBGL+H2zcZxzX8hT45HV3xx9/VI6vIF6c9YBs335amMH3ib33adrJzR2nYSCLQXrX+zj0KwIFSUACSEHe9tb906YF699/qX8iM7/K5oiOPTU5Vevel0ylWw9IXd0V/i5BIyF1/43QkHduM/+P6Pn32WdgQgufcD63XjVo5wcP3ju6u9abQWDcuMNgCsnWItTl0bpqAmmsx5LdmFXomeqvHstmsM7VISn+H+xpSBsWw49qc6v6f/C0pjCYNOllOJ0P8AWPzciwZ4cxFE5b3EVSGBCYgggUMAEJIAV881vjr9Mm+YYbZrv331/uliwJ7IDZUPRskLOfUZNTZefTGrk0uxk+fJpbu7bW7wHhSaJD8PYMzhrYkO/cuc215ig6yf+R10en1j/96ePMzxCujfmazDKZXuO3WW9/8pNH8QxwyDMb9gyrprmOFkXfm8rZ2Le/++yztfK7ibLJ03pdHX10EEzZ429Ffg+EWVS0YkeycrzKOrt06TrIGQeHddZaGhbjnJqANsfgVZwIdDACkddBB7tyXW67JBC3Sf5WeI1mkxympKypByQFSasnUINaW1vntm7tB9MaOhwjcLhMmtwwYOL6cASsLdCQF7ep2YSZdLz99iHe5Xg/ZmZiMRLffvtgmXSEhJq8xnl76uq2w6zqEggX8/3j+RxkURzUY/4VhfwRYEOfPnOlpZ09sznvSpKVPexx8G7TGgzBW9KqDuhGgnW2S5cSCKYRM6xoDwh3xDUtXHi46qxBUywCBUhAAkgB3vTW+stDhx7tevc+1K1YUY1T/DThcNjY81VXa2SUxrLK5X4pAwakLfwr0HgvcNdc87VWdWJNPrWZ8xUV3R9mcVZnmppUYAk09EVFczzn15kz7wj301qjCXDens6dK7H/I3695ci75JwlVFRMkeN/Fj6tnUWfnUWLPnHV1RgIIJ0DOi+AIw16yp+P8G7u0iZ1N5y7Z3aIwGRVi5GjuXtCPFoTgUIkIAGkEO96K/3nPffsg5LZKoQTQeU/Gz4LNaxs6DBGKCvTyCg+ifb4y9GxIl0ObXSJ1gOya9eZ4RnZMKafCrWqgc078+XUGiJq7lpR0SD/UNrtNxD23PMvEvoaYNSa2Q899CsMn8137tu+0Gi+OiknHY53axc3f/5jKTmtlTBs2ED0gOwb+n5gWHYvBHFRSZG79NJzW+v0KlcERKADEJAA0gFuUke4xKqqke7ll5fgUqFFpfBBJ1aGTDbJ9GFl45FPYGCetWZNheZyAI68hkz3C/bc+Zio3pxab7mFgi0W9nhQYDXfBD5D9Eno8m05tQJDc0LclCcoIfk5oKJgCxZq1APhZNmyetXXAFc+onAELAggDGY26W9Ffg92ffr0bTPfLT5PV111q9tzz4yjWbj6unr5gETukFZFoBAJSAApxLue4//MD05VFTWn7F+/KhQ+eJ5km2SmBVpr6/nwJspCI7K+4m8y6SCfNgqxhmfFL/yzprtfntnEu7Ah79QmNuTJf5/Xedttj7jSHRMCe3bsYW2bIN5WW6sGTTK4Rm7TD2jlylUYJek8KA8iz4ExZjnsdaLgF+l1Ki6eqPoKJPkKKSNgRYVGCoqJsMZ9/vnnrT4Clp2Ok4du27bDLV6MB8hMrqgkYLAY6fPmrZYA61PRrwgUJAEJIAV523P7p/khXL16PSYouxINmBlh4fZBDHo4vIzo8J6coZfB4qKV+CDVuTFjLtTQnj6ZVv2NNTx5X+xtEL1f3qishyNzhDvhhLFtYkOe/KfNqXXnztPDLDm1hixauDZ37mtu06atcECH8zmfAzPlYU8HG5DW6xQ9DxqSOzp9U/U1yqQN16PKg10lN/pnpvLAlDusw5yA0Nsugn9PRZspDzgZKCcFLSmZiAvIHCTAZmajHBEoBALW5CiE/6r/2MoEvKEgo6ZX6bTp1MyZViz5epCukVGSobT+dmIIz6jGO3babhj96k04u0Ylk9gOrbpBR/TFi79Ag+bB8Dz25rIYORpFLcTT2DU2ZN95ZwlGwOLNDzQBUVMeTkyp+tpYnG22X0x5UPRJeF57/zKFt3PrJPwscaNHH9BmygMzmzzuuNd4FX6wd4vFSP3KV16T2aTxyXE8ZMhh7vzzL8txqSpOBHJLIPL5zm3BKq3ACZjwwTar9XBQ+Nh0YAgmcD5PmGIhRyOjhHjafM16rFJOXAz/j1I3YcLolJy2SGAPyJAh/aChPys8XXIPCHI0j0yIpzFr9Nu6/vr/8eaAcW4oei9v9Q+LPgcxU57UUiX0pTJpyxRPeUDHbvropA3F3tDZbVl3KdRyTp7qalyU1dPka0P62rVdNXdPMpccbK9ZswZzcL3rZs++023ZQsctBRFonwQkgLTP+9Kxr8oaMKYwp6DhmfIcipW9w/+WLIDgo3T33fP0UQoJtdoaGwk2h0DiJCY0JhKwEmi/KyvL28yEI3p6rlOjeuKJR7sZMz5IzoptS3iN4Whw4/XXH8U+rJgY3awyGH6XR/E5iJryMC1DWLbMyY4/A5vWSmbdnTXrAZjN1Ybzf2ScY/Az7JNJCmidK7SR6xYsODzx/kg5k9fbPVoj16WAaXnCD37wAxQyAEsv98QTT7S8QJUgAq1EQAJIK4EtlGKjDdnExGQZG7KUOM7IjEYmWJnZ5DgnasJRbw7oPIcJjVz3hEau1Lu99+7TZiYcPGM0+M/YXJjn8cEKgr25LEbyXXe9LuHV+DQQk+lRR3FOF2oLwDVqusNjk7czlFdWNlmO6BnYtFYy6+429HrU1Q2B4DjLP03UTM4msvfSDsJQvb3bVHlgJlinnRYZip1TzFCoZRw8W9Onvy0TLP/u5fT3zjv/ivLQo+nWuf/8z1/mtGwVJgK5JBD5fOeyWJVVKASiDVlXeVv4t9M2ZKmJi0xOFe6dWOvV62nNLZCg0forMb+dtKf7CmZa/hRDavZJm9sWiaZRjc2GnubE77yj2dDTYEmbxJGKamuL3VY2BisXhPtY72WYknVtjz00F0hWQK2Q+d3vXu6OP368Ky8fnGjMe6PC1QQn24jYUx6wW2Q1BvU4qM2VBxRwH3745fDf83qsxztIffTRRRq5LiSUkzXW6y1bWJ//giGzt+Fb+leMfmZjlufkFCpEBHJGQAJIzlAWZkH8GE6dehQaqe/6H0NquNKGca6iohgfwhlhrlkGWIycL76YrG75kFA7WNvlmXC0pQ158p82jeqkSS+FWebMajFyJk58SRrVkFDWtblzX3WbN9M+/IJwv6AzxMzuwoxgjf4gPMTz5fLTVF8DNm0YnXzy193jj7+EHpA3GzjrafD/WIlBBhY2sF/us6k0GDgQD9SuUf4oaskTW2IUtXW1j2nQkRaipx9XUdG+WEZjOQETTp7gC58sN/iulpVN9PKKio5HfJg77rhzWnhWHS4CuSEgASQ3HAu2FI5QtGDBMte//1KfQUbTjS2YGbcIH837QlZmt2wxcsrKHlUPSEio7daaqPluuwtzjtpUOrUuWhQxwUpzAR98sEMmWGm4pEsKZ9F+x1cccJ5HM52M9l5GndCpXGCjxhasdur0iIbiBYe2DHPm3Ob69u0KzfZF/mkz1t216CXphPk24N/TxoFKg3fffdQN6LNn6AdiygKL8RzJb6tlN+aCC6ajAFZeTNRT+TSGXn4+HE6dJm+sv13QE8U8d7br2rXU3X//LKwriED+CUgAyf896NBXQBOs5ctXYJnm/4/oxzAiWNAhrq6Ow7WcnfX/1tefqR6QrIRanun7VLyCXqvOrr4ksNO2xme0eM+GvG3nEIie3tbNBGvFigmWlDZevnyCnp20ZOKJU6acC7+AaW7dum4Y3ewVP7M22CcqfHhmPAfB2QCNSFpxJMt/0GJv2P64tNhxvK26xbo7fPg0b+SyoqJb/XNlrLv1eOfWt9kM6NE/zuscOPAE99lnbBwHwQY2sBjJGnTE4DQvvummf8ccL5DoKl/1FQneOxtl9cBCZSCVBYnepzno9dwFk7yTkaggAvknIAEk//dgt7iCXbsCc4Dox5CNFjRSfJOOUszjUI+P54Ph/8XgO17DhvsEZqrTp78jM5qQUKusxfx2Sl8Mz5HS+JyALvulbTqHQHgx4ZqZYM2cCS2fBWrj+cwwplkQwi23lOvZ8VFk/TUN+ubNp7q68jf8faOKA9bHRKhxbtuAUIttjUeLsZ+02AlYrb7CultbWwffnX6uvmJ+eL6UususYvQol7irr7443K+N1qg06NGjHD0w1NBnCGgsa96nDGwakUwhj5P2Dh9+DN6FJnngwOBbGiuCdbrib/jpBcVTP030G4OjjXwRkACSL/K7wXmjmvTEaCz8X9GPIduM3vY2fIycW7kyYqPFlyI7RailCRqRzz67VI6JwNFagffMhvD0Zr6m5pua7rSBE7gU523+j+gl8bp/9KN7wiRes31oA5OOmTOf1bMTEsq4NmvWvWgc9sLEjXf6WlKaaUQVB1xnvfWGb+0NIbRPxrJYd6XFzoynNXKKikpwTyAURl6lqefhfEuL3eGHf6nNHdB5LTTNLSoqd3vsAZMgCybYWox0DTpicJoeP//8CxDgPnRvvjnWH2GMXPlMRGSRWKlFta5796+7gw8emhehNHYt2hABEJAAoseg2QSo5Ro5cojbufMbaMBkeuux+GFo8GyGmdYLbt9998A2WjdsPPKQ6GF4ga6sfkpaMWBprcB7dswxR8L0Zj/chvv905hNdspJi7zRr6699vKUnLZOMI1qNqfWj1berWenETeGLEeMGIR6e4m/tykMLGYqGzObTsNzwrkmzvT34699MSxG/ZUWO8TTftbK4Z/TJW/KA3vGPv/82KxINIhBVjxZM8eNOwz1k7u87e9HpUxGZZK/iyYO9Tnot30QsM9I+7gaXUWHImAO6FVVMKviEIsxn4/oX+mCj2Fnd9ZZl7vFi7+AluaoUPAwbZjFbiM0sxqKN0ovl+s0Zzr00KFwYt2Ae7DWLzp633oGZwsEw5qarW7mzDtyeQnNKss0qiW74I9gQis7aBgsxqqeHY9I1p9YveWeUfOrztFDP4YPQSfYmD8cJrK3ksFirEqL7RHJz0/03sWu4AAIjtZFGMtokw0zm5w06eWs52P+nDk/zksvTdYLa+eZ7BF+9NE30KPBB2Cpf7XRXswM16+JQzOAUXJeCEgAyQv23eOk1gW8ZMkJ/h/qnul/DXdr1qzFqC21bsiQftjpq5l29NKrq4+XM3FWQs3P5Ifr97//OyYyg8TIDxYDhUeY+nthPX6pRfO04TT1qG8X3fXUqHbpUuJ27TrLv84Mv3p2MoCJJNvAEUuXBvWWzwGfAQaaQtKvxtsejJUdGL3udMSZg7TYmdnkOseGPa+vmOUXna7R6Qno77qePbu36QSE6f7rE0/8MhRW7X1jMYTYP/7xV+kOU1oDBPg+TFgfVD4e7k2T2ixBE4dmgaOsNicgAaTNke8+JwyH8gy6gKMfQzZiGLyP4WrMAVLqHnnkt+7EE492M2Z84Odl+JVTawYwOUim0Lho0SeuuvocvzS7Tylln4KUj1zv3l3ahXaSGlU9Oyk3qckJFEAfeCAYAa3TteHxFDwscHAIb3sx/La6QPCLNHCst8li7Kqhsw1c68ZDhx6NezHePfTQQigPInaTUdM5T3lwKC7kS1AebMtb3eVzxqGzhw27KOyxTMaDb8P++1+kobOTuTRi2+aC2boVAhz9Puw9HhkcwhuCl4ol+nihw5tBE4f6HPTbPghIAGkf96FDXkVVVRX8Op6DaVWkNWIfQ74UA016UVGRmzZtPMxjqtz3v3+F+93vbg7/r31HLYZW7JJLgsZxuJfWckQgFBrf8kuMml/FzrEZQmMXN3/+Y7HUfG4knh08I17whFusWaxnJwCTOYqNgFZUnXlH1wV5tW7o0L4w5Tk7y37Ar6Gzs/LJVebcuXNQJzmX0lkNmLz2winnu699LeK7k6uLaGQ55gMSGzrb3jUWoywNnd1IoEm7bd++Db3Ym2FVMMPP4fc2OZhQYu9L5C9dWudWrdrlZs+eq5Gwknlpu80JSABpc+S7xwlNwzVu3NXuwaduz/qnevZcjzkHNiQmlPO0YnaENR4jsbRiBie3Me+ZzSHg3F/9wo17yqnq0NBxeZlDIOVSkGDPW0yjah9Wi/Ff9Oyko5eaVlf3D38EOsoZacPJaOx2dmeeOdFNnx4Iq2n3c8jX0NkZ0OQ0+c47H8IgHkPgk/MLv9yMJq9QenftAYUPVd/5CeZn1K3bE+EFWGvDYuR07/6kJp4NCTVqje/CL76og18lHCcrv+kfQ1cQC1Tm0f2HFgn2bmSM3esrZsOM9XT0Op6YrgwAAEAASURBVO3TLkxrcVUKBUwg8iooYAr6600mYHbk8+aNdHXbMJZuZL6peGEjMfnRdjd+/CEurVYsvrO3Ja1YGig5SIrOIeAq3wtLNCGEpjfszPK2a9A46NxuPlJ6dsLbldO1iDY6Xu6H3iR2TBs8eO+wIcNGDf1DeBxjNGwGDdoLKwqtSYCTR95ww2z3/vvL3ZYtwehlGU1ei+C3U5vXumv1tabmpBALh3bmwgFHeO0IGzdOk7+fj6LRv3yPr1r1BerntyFoBM5b0WeBQ9vbO91aeBYjSwN1NBq1dmxlApHHspXPpOJ3SwKeJpXaFnvh8V9GLLKcOxC2yP5fT6sVi2po/N2kFQs4tEaUdg4BM5uj/TBHQdo0FD9DMVzvQXmzIU/+7zaqzrnnvh9mBd/ehAM1cs47b5FG1QkJpayZE3NZWSB5ROutadS9tGEYKa0zWL7q7r13fli/OcMyGzj8cvC5wb6zZ8+XHT9QtGYYO3YUGpzbob2G8FF5cXgqq7s0wQlMXnlThgzZM6911+rrLbdENFNVuEYb7CIwuf3pTytUX8O72eAaBdEf/vBWCG61eBbuC+f/4JH2LERLSfN91UAdUUBazycBCSD5pL87ndt6+yl88KWXEELWeNo4/tW0WjFmJAVpxZKAtPnmaMyW+xYc1dN90dr8YrwTmgnWc88tD7XxyQIInrtnn12mxnCWW2RKgD33XOLvxYagNQo3Yj3RiF3tNmyoxeh1y9wnn6z09zUHV6vb1GRj+Wj5o7Ir9wm12m+nThwOmUb9jzQwAeEBGBRgtevfv1+rXUtTCr700nNcr24jfJOgdTgy6PnwYjw7V37voqYUV/D70vfD9ziH5F/5Z58H62ymYHXWYuynQV4ywVJ6WxOQANLWxHfX81kXMBuF7Gbnk+VpUrejB8RvsaTVigWaMGcxDpNWDBDaIkTthmPnWwvtWl3eJjGLXUqwEQqvJ4fa+GTtHp63TZtOkklHOoBIoxDHIZhXrap2S79AQzZr6O5p0N9//2mYYAH01v/JzL14g+zKs7LMXWZR0aAGCjsME0zWtou6y+dt4MAT3LovBobPjvdNwF8I4m212xz9CDli1o03/ryB/1bY2RwF7YUXPgEE9ioNDgVR+/YST2weHyakhrvuel28U7EoJQ8EJIDkAfrucEoz5ejUiY4DQTCF+WZs8x2J7fLyw9zpp5/ojUdvWuy7737djkidWRk5ekGGeHK1RvaJ4Vfrg69/9MNlJ/KyvoADckXe5xCwS2Jsmvuqqj+FyYEVUXQCTE2KF+JJXqMQl5g7gCY7GcNhrkePJRgx53lv5LoZM05x//IvHyYajQmTN+uBQjnSqmaEmZMMe98mTOcyKg/exDu3sl3UXT5vPXqUQwF1RsjAPhcW432zcOHhUhqEhDKuTZ8+FXnU1F2F3o+k0Qnt28vhs6M9ItZbaTGyX399NUYf+wyWCbSdVhCB/BGQAJI/9h32zGzMjhlzIV5idW576U+z/o+KihfdwQcP8rSppsV+++1DwmOoseHLkdYF3twDzr3zzsH6IIWEcrIWG3618rawTPtwMSVhfjPCnXDC2LzakIcX6K/Zs/P558eGWWzE0LSApkCBiYEmxQvxJK/F5g5Izoxt9/RszE855SKv1+SBB+aiTsL0w3qcYvtiA+l33z1PWtVkLjnapt1/r16T3VNPfeK2Fd/il5pReXAIRsrq0y7qLpUGGzZswzDND4UkrMVhMXLkFB3iybTGb+5ddz3piov50vut3/vBEewyCqJBSVZnLUZySckEN3XqWPfd73472EmRCOSHQOQ1kJ8L0Fk7HoHoCFie0GDarJS/ciRMYrZgrHJ+LSFjYDK5OXN+7CZNeincM41j4sSJL8kxMSSU0zVv0ABzWE1b8gRoLN9sV/4fvMzw2Xk5vGoKHuyx4cfVf8TwbL2sZyckFFujI/OuXbXh3AEZGy/FaDTucmPHHhz4bQ10ixePD3tAYqViQ1rsZCI53bb7tnkzJgdl3TVtdorygCNOzUODPuONzel1NVSY9YBUVmaf10lO0Q2RhAsNvqEcbLKubghehi/4B9DSIKMgGpTJDhMuNItmjPC1r32sd6SPQr95JiABJM83oCOf3mvM8g9EHNzi/yd1kgFqct56axneqENDx8ToQWhUPvW338guOMqkNdZrMhVa6mnZJkwYnWmHvKXz2Vm6lJ6seG3ZyGv27FEQwbPzzAuz9eykuUNk9+ijb2CEOTZOA/+PaOPFvgTk6Na5Pn16u//4jys9we/UU8dDa/pAmlLDpJtucmrUhDhyuhZzQGfJEdO3+Ik+g/Kg1E2ePDaenKctKg3ee+8xmE9SUxCEoBFsjWGmynzP4GSPU0YwNDmTgqgNNub1Ykd6ic0ci/U7eOdroI7snJXbdgTss9N2Z9SZOjyBxtsjO7fHHr1j9simFSt1/y6Nahs9CWx8mv9H4pT28WKCjWAWZFZWlsfuWeKYPK/w2enSBerfrbdmfHZ27tgim/I094nsEv4flX8M9zAtOhuGgQlecfEw99//7QsffHauuupWaNUjD4l9NSzGoTNnPisTrJBqq6wlHNCjdTd2ph5QHqB+tJPAZ4fO5TGfP0/AxQVajFX5/DV8w1K+uTyECgQT6GqxTiGkjvf/LCwIFFTZO8yeEguQBT/f8KFMnI2H4rwSiHxC8nodOnkHImAOwf37L/WvOqpJpTkWX3rBB6amZgsaJ3ck/h2PLSqiY+KDiTRvf27xuCDILthItDyO+n/UV/zCL5D3zHoP2AilL463Xe/23rt92JD7Fxr+UqN6wglHwR5+TpiYZk0a1VQoVmerqlDvtkVafym7HgfH4Y8w98fjXg4Fl4kTD3Xr109L2TOaoMlDozRytx5VHsTqrt1CM3/1toswVG/7GTyCz86IEYNczOfP3vEWA5V8/hp+Xqz+Jr65JoRGhQsOyrH5CPzMgpJmgi+c2HMSOcXOujc8/036cWrksQgYrbY5AQkgbY6845/QfECWLTsx/DOmSWUjlspSb/tA2K3uTPiAcGfTYu/YcQY3MwbZBWdE0+yMhP+HlRAdCYmKM3y0Sks/dXvu2cf2aFcxG2O/+c2TcGztEV6XNcAsRsNGDtEhHluzOrt8OQQJak2Nl+2QiNfCb2u7Gz/eHyjCfG9mzqQReRBM62oxkm+5pVwmWMYnh3Gs5ypaX6PvW6/naiBmpN/m/s//+Vq7cEAnAnt2Yj5/JnhYjP3k89fwA2P1N/HNjSr97HBP2FiPLc4Vcn5CCZio61bnsZ9GHjNoivNJQAJIPul3wHNHNXJ15d9s4B8sccOG7RMz5+FH6cQTj3YzZnyQ9VhpsbPiaVLm3LmvoVG5FY7FkRZj2hJKMYdAHXqn2ufwjGyMcVjP8vLp4dWbhi8S6+Ma4kleS/htRWS4+D4H4Dlh68YPZkZDE6tEsJ4zi5EhE6wEnZyuNH7ksi9Dq73avfrqazk9f0sKs2dn0aLweUr0ckcEkA8+2CHzvSyg49/ci8M9TQhlSmA+6TAmeVFRheva9dFwP2vlWYwcWRiEeLSWPwKRRzJ/F6EzdxwCUXMeb0SWjJc+Gi/C990ZZ4yPaeT8l+lcaGAiHyXTzFiMMmUXnBFskzMeeuhXbsAA9moE9uHWfZ+mpIqKcvfgg79Ok5P/JAqvdGr98Y9tAhBckzVkLEaSHKJT75XZkCfm7WH1M6HNHFi97Y9RX0MzHjOjWbFiQmqhkRSZYEVg5GiV78p//vMj9CJzJMEZfqkZ6+5HiZHLcnT6FhejZ6fFCL0CYt/c7VZp05V9KBI3ut69O7stW05Lt0MiTRYGCRRaySMBCSB5hN+RT53QpGb8Ez2DMcvjO9hHKWYXbO9Ui3GI7ILj3Jq7xUbMMcdcCr+Og+A78ZZfTLTxaQV77Osxh0B/aMc4NnL7DT160GElCNapYzGSe/RIHX3Ndi/EmM/A4MGT3RNPvIYerhdCBKZBpQNrQoNag6F6SxJ+W6Ht+V/D42hiSQdXxkFnWf/+f3ULFixLHBfurLXmEuC7csKEo2AWeQDsmS7yi8lYd7u7/v37eSOXNfd8uT7OTLBi5nv2jrcYJ5X5XuPIe99c1ruI/iV+ZBVGq6uE+eQIxPD1smA9lRYjXRYGBkdxPglIAMkn/Q54btOkNjwj73p3wAFDYuZX/Lv2UZJdcNvcfLMdnjdvpFtXOzc8qTU+meI1Po/3/D/69Wu/wgcb0hxV59pr4YQe6fEI/xTWkH7NNffLpCMChQ3Z8ePHQove39WVz4/kpK4WFR3hjj9+vLv6at/UwxQGsQkgOWkoG5C8B4HgpwkgU1m2NIXC36JFq6A8QKsznf8HT+DV3T28U/Xq1SXW29zS87f0eKuvMfM96g72xMJeN7oqIMh8z+eQ6Tflm9s9057roTyqdC+++An8gfxnwtvTlDMWI1EWBpkYKr0tCUgAaUvaHfxcUU1qXd2b/r/JqJHbDzbJn2fUiJ53XsSB3VwOLEbJX/3qSR2cVvu4fPP/2LULDU82YjLa/m9v1/4fpGmN4ZoaPBsRDWqMNNI3bZqmYSYjUDI2ZCP7+KuTMU/IO+6ww4YkGrKhwuBlCBto+bCOss5bY4b3AUN7biu5WE7oPsSc/T7//AswVf3QLVlyQgNlTkT+MpjdbGlgv7bNtvoaM9/bC9fwafw6ZL4X55G8FfZCLvWzot9cKgMYvPfhMCiROrt+/bq6Tz+d5Kfz11p5FiNJFgYhHq3lj0DkkczfRejMHYMAtem1tXVu69Z+bkfp38OLTtGmM2sdbJJ3JDSptrNpxWJabHYrM1gMzaq02D6Slv6m+H9siJQIztFQXt5+/T94ndYYnj49MCVjogkiFiNp+vR31BgmmyBYQ7C6+gxLyhAXYZ6VypQ6y52feOKXbr+Bk0PeySUURW5Acp62m0Vg3LjDYMbKQ9/2j8/o//EB8ktdTKnjH5HXX6uvngnWVsxNQeF1JRYzBeIjA+F1e6dvqL5muFP8Xv7+9393q1ZVu8QIWNzXvrlUKnm9YEysdmvXfo7Z0ju5srJgslEmm2+lxUjq1OkRmUySjUJeCUgAySv+jnVydgXTPKO8fHDcJCDlbxwFR7g17sorL0xoUm0XawzFtNj2FFqMD5O02Eas+TE/XsOHT8NHqRYNmVf8gqKNmF5B2V7bsQg9IDvc7bff2/wTtvKRJrw+99zy0ATLhKhIrJl+4zciZSSl6DMQ27UeDZ217pRTLoqlkvvAgSe4jz6is0gQrBEZxLt27tIM9MYmBzGZJ2aur/yFX2JU823n8OrucNezZ0W78v+wy2NMn62KCmiXvGtFQnIc3VnrMQJRB/SGRp0sKlrsjjhiFMxP93Hbt58WKyd5Y8eO09RLnAxF221OwJp8bX5inbDjEUjpCs74FyowcgvMfCuCUZci+1kZZWUPham0C6ZJB+OgQUMNjpxaQ0TNWaOwF9r+v+EXwUZMTVBaNeKE9qw7GjE90mq/g73zHoXC68lhIyb5qtC42bTpJH1cI1zGjh0Fx/Ja+IBc6KdmbMhSRV3kxo49OHK0b/rG4Y+Lik7PLPjVF2lugRi1lm3Q/GrRok9cdfU56CKwFjvKNM03i/fq7n5Y+RDv2+0pyh7uku9AQeqqq26FEIIrMSVB0kXV19VLeE1ikryZMocTd4j0aDh3iDfoy+TJR3i9SZMmwWTSArnz+0rn9cB0kvlz5vy4XT4zdtmKd38CEkB2/3ucs39oDcCEKUdGTWqxp/UyR9boBVgZXg+IZUSdWgMBZONG2fEbnubG1Hw/+eTraDh+4fdYpcqDQdGc5XqhO/TQfdr1B8lMOm66KdIgSwNHw/DGoVx//ZXutNOORYPlxjAjpSHLrAFuzJgRKZp0cr/uuotgXw6lQSb0MMHSyDoh3pauhaaTML+iaSobj2lDFyh6trrvfOeCtLn5TuT7fuLEQ9zq1fBjyfTsIF1z96S/UykO6LYbhQ9+KxNCSG9MINvbG/SFQt/SpetsT9/hn1ts7QXKpzVrukjoCwlpLU8EJIDkCXxHPK31XvTq9YB/+VFNqj1J+JgUF6/zekBmzrwj5W9aI/KWWzgMSs9Up1Zqa2AXXN7ramloUug1LWHOnNtc375dofkOTGrMxyalmK0wq+vk7r9/VkpOe0yIDcNr/8liXLCG4Q3vGhsjY8ZciAEhoPrs9HGYkbLWE3bj72ACs/IUIdS02N7IOqbFtvpuMcrTDPQpUJudMGvWvXiOe2FUo8D/I+PIR8OxT1/XrZtN5tLsU7bKgXzfn3rq+PiwsCaIWIxnSsJrevxmPpkY9MWUfoGiziwG6P+xatVGz3ySQl+XLoG2ie9F1tnNkfLxfX3tH8sk9EWQaDU/BCKfj/xcgM7aMQiwEfKzn83GS+sjaFf2Di/aNKns2g3MeerqDnDr169Dw5cSSvpw6aXnuP32mhhqxawBGcQ1m6Le0unLUGp2AmEj5k5/R/t4pRxWhF6S+nbt/8FL5jOYMgxvV2T0wULzPX5k8bHVAAbgEIToMMzeKGiso2nD8VAcdILJ3iEpub4W+1BosaeG9TV5LzQmpcVOhtK87ei7trr6fL+QqLInNvLRGox4tCLru7Z5V5Gbo/hfaIIVE15N8LAYp5Lwmp53qESa4e8QfQ7sEI/jgRBYKzwlEhWFixez1/sY3+SK+RA6vGBxycMQXJ+WmXOARVF+CEgAyQ/3DndWNkKOOeZINFJgc1x5awPXv8Dtt9/glDlA7CB+lFKcWu1jFMSyCzZazYvJ2EZPWb/+a34hGT9e1RBAitu1/wf/AJ/BESMGudgABhzWc5n/9zwTDzw/GsAg4BGJEhOHUlGQNiyCn4hVwvgO1msZM32zXS3GITJ9i3Nr7lb8XXtxWIwpe2IjH9Wg16pLxndteHB+1iS8Np873+E2iazrfFFYkD0HTAmUfqWl82BCOwxCRZX3nhwypB8yL8isMECuZkMnQIV8EpAAkk/6HejcKf4EGa+dLcJtmDyrW4ophx3Cj1KKU6tlWoyGjTSqBqPpcdSJNTZ6SpqPl3PD3D77VGW8X00/e+scwYbwqFGDIAT/Etq9SeGwnmYfzxgavg07zvdMjmh6dOONP2+di+mopRorXj8sIL3gCRHDMWx2+h5LNoTY8xSbUM4ED4tRkCaUC3i2MGr8uxY9UjC96devR7utuxJem/8wxHovOcULe3nThmNQd4sTvZdkfuKJR7sZMz5Iu7clyuzNSCjOFwEJIPki38HOG3YFRzQxaf/DMa5Xr25Z/Qn4gkxxaoXpjBcsxoZekAGTZkQxJ1ZqTK3rPaWs4fD/eA+2w3AS7QCBwuuAAb1wzRHtnjWCI7GEV99k7YEHXvEmJ0vcWpo4Bo6obj3WAw2qcx9DsCtOq0knc/Y8xSaUSxQYrmhCuZBFS9bCUctmNFCM7whwzjnHN7Bf/rLTCq82GIbFuDwJr6n3yBzQS0vf9TP5Hk8bOiUc0C37+9+/wv3udzfbpq+s4RYHumPAd/aSSzDCmoII5JGABJA8wu9Ip268P8FCOByWZfUn4EcpxS7YPkYW4wUpu+DmPyFnnXU5bPY3oVEZzP+RXrmNExwK+/Fdbv78YGb75p+yTY6k8Pree4+5c89dGJ7PhNZILOEVbQ34YK1cucpt2HCeq6/4RcgrzVpJSY076qhRaTXppsX2JpSzY82Uy2Kk33JLuQaOMD7NjPluTMz/4YLJ5DL6bn2K+9U5ZdSyZp66VQ6T8Np8rCkO6FWRsmIKpbVwQN+QmL/HhL5hwy4KD4goZ7xEbO+//0Vez6Z6iUNMWmtbAhJA2pZ3hz2bdQc37E8wHDb4G7M6RfKjNHFiklNrmhektNjNf1ysx6qu7gq/kGgjJlbrF0FDXpK1x6r5V9E6R9bX17t//nN1WLg1gi3G/7v00nPD/AJfS8whUJ4JxKmYGbmTmzBhdNodrEETM8GyZ8hiHCktdlp8TUqMCo2u8nH/2Iy+W6MwMezYtEJjk07aijunFV6T3/U4v4TX1Jtg7/DEKIbR54AMWZ89ll/CUMwu8Q5PK/SZYs9iHKoey1TmSmlbApHPR9ueWGfrOATYADFTjob9CVajMVOS1pTD/rF9lGJOrRHtte0np1Yj0bR4ypRzYaY0za1bB/uayhn+wdGPFxvq/BB5H69hbtiwPTznRX/H9v3LZ5EDGLz99trwQq13x2L8v3Hjri547d7cua9BGbAV9uGBZIbJQdOHTa62ttbNnftq2uy0DRqbhwANHxsKVA2atPialZgQGrsEh6f4bn0ZGavhJ7ekWeW31UFphdc073oJr/E7Qm7Dh09za9fWYoCQW8NMew5oSsVeEG/7Dcx8XpewOrDh8rt1eyI8zuYLsRg53bs/qVGwQkJaywMBCSB5gN7RThnTymW0Q+W/opp9mRs9+oBGaeVi8zn45syJxgxL03wOpND0YDbkmzef4k9AmK4RQ4fGTdPxMx/CR7R7pOnna8sj2BjmAAbl5bz2DAGC1cKFowt+NvTQDyhQe0Zvc0QTSooVFeXuwQd/nRaoKQxiJlimfWVjMhD8pMVOi69lidH5G2Il0bzyU9j+94mltreNtMJrGgFEwmv8zpHb+PFjYUnQH+aT8+OZSVvFxSfC/Oq4xCiGxjw22a+nbMKBFmNVk/0mgdRmmxOQANLmyDvuCRNDeWb8C1+BOU9pRlMOO8y0YtdeO8efJMkyojE+UprPIQqk8euvvPKmq/OU3oENecZGjD/XSmlpoCFv/Cnytie1e0VF5ZhoKzBP4ZVQC89gMVbLyh4paO0e65gN4VnZ7SkPjycoWAOEzujUhnrb9W7ffftn7QVjeT/60T1+OebI6m/5vWnQxl5xzTfV82RMmhGT8axZD6DXqjbstYoKjZHn27kvYZ9dDb5rm3EZOT0krfDKVgefP47IxhhBwqvPwX5TRkKL3Xvbi/HReNf/CcLEqoTSz5j7k/0G+1q9txjJP/1phXy2AjyK8kNAAkh+uHeos9poHGVlwRie0Y9i7J+87Xr27J7V/Iq7xzQ09kJME2s+hxjcRm8kNN8Vf/aPid6v2IdsFczlMmu+G33CNtwx9uzYeZOfHaQXunbPfLbmzRvptu74OBT0zYSD7Dih3aYToDT4FEO5VjElYyB39jy5rbNiWtToAXW7tmro7CiQJq6TcTjXEjgzsHfJ9AO1WGf99bY/hhDeucF3LfZuFyHW203TIfaCcAnMAtXbHb9NKf4fvPdpw1bMAxMfddIUfHff/Xp4RJpep7vuer3gzVRDQFrLBwEJIPmg3sHOaTal/fsv9a+cH0Vr9Nl/8bYPgua5Es6od1hq2tg0NNOnvxXm25NoMXKmT39HGpqQUKPXOBnV8uXPoaHCweMRoveLpm6JRszB7uKLT8uq+faOb0c/9uzEtHtpPq7S7vk3zeu1ZOOFM8anDdugSS9qUJNO7u+++yjm94n0PNk7wGLcB40+lhZyoxL5nl20aBUYo1sgauoa7cGkDmjzeGi7N7uxY0cmtN6NOkGedmKDmKMeousyNLG1XjTWXQgkF156oXrPIvfH3uFnnx18I6NKpIgfh3MHuAsuOCH2DjclzdtvHxKWyO8qBVcKf4FA+847Bxe8mWoISGv5IBBp7uXj9DpnRyBgL7S1a08PLzeqSU3MJcAZtXcmbFHDneNrpqF57rnloWbWnkSL8WF69tll0tDE0TW4NXTo0bANPxxDIU/zBQ8b+cjuFwUQrxEzDitvuN/+9uEGy2yPO1x6KcawZ+MlXUB6oY9xn9JraVr0NLz22KN3ozTpv//9YxjYoH/I3QSPIC4qLtLoY2n4NjaJk4cuXPihW7KkoTl5ytzWrTsgOGZUizf2lG2yH78fEyeiMbzltlTFVXAFO3duUO9Z5G7YN3LJkqByJSuR+A5HVknJu+7OO5+ITbhqSppJk14KS+R3wHqegl6niRNfkoIvJKS1PBCw5l4eTq1TdgQCHFGpV6/J7qmnPnE1O89v4JI/gXNwcYNaORNoampOjn+Q+K610ZmwvmnTSdLQNEA8OXvu3DlwKC6CXfBZflZGzfc+EFSK3McfP5tcRIfYZmM40Qtn2lSL8ezcd19EU98h/lFuLzKl15INFgs9gxXWN0hx1dXrG+y1NC32nntCkvGOs8LCuL6uXlrsEEeT1xKmk+5t/9io1juptGyDBiTtmvdNNohPPXU8zD1RJ+3ZSY5xleo9C2+VfSPfeitScU2JxN3Yi43tXbsOcgcdtF9apd95553oF0g/GyprNvqbnt8NhJEvNr4TJCgSgfwQkACSH+4d5qxmi7p586mY2Qxfjcj7MP4nxqHhW+nmzQscn+OZsS3T0MSG4eULlY1lCiCBYk/D8MawNWrjzjsfgkPxENe58y/8/aOaMxsNy/v4fwjtWSd3110PNarc9rKTaQavvfb+rJd0zTV/KOjeM/MBWbYs0gipCZCtR5zoteyOITx3ZZ23h0f5WmzO3fOVoJA0EZ4rjT6Whksjkvhc26ABvXoFZjfRumtleHW34UEDbPf2EJvwOmjQHmHvmfVeRmJNPOvfrajSr7bofxq4ha+7NWu+SFH6GfOe5Sf5Jld8biKsWegbb74mhUEDdJUtAoVEoDv+bP2GDRsw11r7CDfc8LP6Aw88s76qagJfYfXoxq1H48Vf9/VZwfbk+m7dDqv/r//6WaMunOXutdfUejjC+sf3RlwerDPu7ur77Dm2/sgjr/LO39hyG3Xy3XQnMv3Sl6bjXh1bX1LyW/8e8V6VRpbEvTu2vqLiwPotW7Z0KBq83jPP/Lf6ysq7/GenB/5bZyx8Zrpi4fOEpXPnO739Otr/y9XNME5FZaPC58DqK+PgOSgtnd4kTjNmXBNy53NlzxfvA7hfdNG1ufoLBVXOxIln1BcXH4J7dYfPN3KPvPduYnsE9plc36fPYR2Gjz2L3bv/Pnx27DvCOHh2evSY3aRnscMAaOKFrl27tn7vvafgPt/l112+3xLvbawnnoUz8J47qJ77Jwef+dV4pn4TMi8JymEs5snImrzNdlpQN9luU2gGAfWANANaIR1idsnV1YH5FTWnFkyj4m1vdzU1te755+dabtaYGlV/VB3YBbOLmL4JVh5jLGs2vC674KwU45nR+Vp2lX0jnkm+iVAC86tljrb/7I3qSIHXO2rUIPTe/Dq8bLNt5nPDTwJCWdmjcMSvc2PGXBizj/Zzd+/fqAa1vjgw50n7lw92O3e+C7+OFQ0+B9bzFPPbSi4T/OW3lQylcdvjxh2GOsl9cb/ogN4j03F82Je7L31paKYd2l162h7vNFepHm8fypgxJ7uVK9dh4+d+QkZXnzfdli3b8Y6DKXNSoAnmyy+/B1PcB8McWhcwWIzVqqqnC3q4co+HfvJGQAJI3tB3jBOn2CVHzQLYduUT5DX6BsFXpGvGycyS/y0/Sv6oOn9MNBqt8ZiI0aCRXXAyuczb5nhcWvqu34gxvYwJHxa7I92//Ms0CHdPZy6sHedQeD3hBDrRw7OSfh+mh+I1cx1ttPXbni5Y4TVmNsnGS1RpQEaJ0MebgPD++4MhXxPpqStmk57itxXdFezltxUF0vj166+/0p122rEQrFf6B23IdOwBaHCOcs88c3emHdptemwoXiqdGCzGqobi9Yg48+Nz7jt+Qi8/8n4pfybCSNTfrt7+iaRgxRR8lZXnJGfFtqurj5efZYyINkSgcAm0KxMsM7+iGZRn5sLmXdquYFdfXn5g/eTJX21SN+a99z5S36XLRX7Z7BLuFJTPGNtFXYuaVF6h77zvvkfVFxWNRjPwaGuSZ7hf5yJ/aD3378jhq1/Fc0mTKz47FcGzw5jbMFu4/fbfd+S/1+xrP/bYc1Afj4T5xeH+c9AlYBOKasFzMQr7jKqfMuXsRp/rtttmh8yT6ivvxaxZsxtdlnb0CfA927fvkTAr/HJ9p06BqStNUu1dW4V13juaGDqaVw5vtKlre2Bs35G99sJ7h+ZErJ/J5ntIZz7NfQvZ3Nbqbpcup/n1zL653r0HN5qsmbmpOxu8Jme9xbNmZTd7K9R3ZFZojcyUCVbLG+rqAWk5w922BHNk5WRmjlNKmBNzyj8+E6Nx1Lnx4yPjjqfsE08wJ7nYqDo2VGgQa1SdOLNsW+RZUlKFXdglcLG/a3QUHTPpYFPG0cm1OK3mzD+w/f/iG+Hee4/e1EFIenbYM3fppedabkHF1gNSV3eF/7+jA0fERsAa4ZlBNqYHxEywZs58NmRpphwWI4f5I0eeVXBmbyGUpq9RWz1+/FgMBNDf7Sj9u18Ae5pt0IBqrEcGDejZs0faUY+afua2OSLsPYNDtPf+wXnTxJp41mFY3Ntc375dnTfoC03xOgf3yEbA4nPBXpBNR2DS34/dSSdNDXZIH8WGK+exDBbDwqDQhyv3geg3XwQkgOSLfAc6rzeZGa83OiFW7Prfha1pLKHBDX6UJk5MGlUHL0QvWIyPlEbVaRCltwN5HnLIAa5bt+9ihusZ/kH80ARjvjuadFCA9D4+B7nf/e6/3F577eXv18F+2RgeOPAE9/bba0O/ITPlsBjP47hxVxdcY5j+HwMGTINfB1qs9hyQiTVmYyNgfYFZ0Otik5hlehSsEblixYRwl+RGJHKWL58gk46QUKPWRo6c4h555CX443wan4Aw5ehjkfIuhMaSBn12Ug7NY4INCV1W9lB4FSa0WoycsrJHCt4fYdase3F/e6FO3umzik5IGdLD2hBvXsdu3Th8ZGowhcGwYReFwl7ybqi/++9/UcG9I5MxaDt/BCSA5I99uz+z+RSUlQUq1KhGPfbeG+VGjx7RqMnM7E+bY2JsNnT7GFmMnTUbuhHLHhvPm2/GflEnVtN28XDW9u1nu8su288tW/YFUzpkYGOYAxiUl08PP64mtFqMj2shCq8xDWr03qfc6ZHQoG50X/96MF9MSn48wZ6vmTNtZkvkG2uLkXTLLeWa3CyOrsGt0OY/6Lls4Aju35GCCa81NegByRI2bpxW8MKrsdq58xKfFDu1LcT8P97CoAUlGXvCrJyYwsCOtxjlSmFgcBXng4AEkJZRH4XDn8KyCgv7ACZjiYaB2KDucWNkoVso7WSirxZstq9ADcrgwZPdE0+8ht6NN/2LY4PGtJ50aKYQ4vV8rHFvvvleg5OZ+YX4v6ah0ag6USrNX7cZ0K+44l6/kIxOrKvd7bc/6O65577mnyzPR7Ix/N57j7mzz16Q9UoKUXg966zLMVfHJjROMA8Mez6i5lcxWiPdxo2b3Pz5Qd2O5WXeiDkS26AGFuMwORJnZpcpJ2XunqiiJ3bQBvQSlHW4uXtMeL3llojWyoRWi/E/f/rTioIWXqPf3K1bf+Xf+eg3l99eyv/eN/gQt2FD5glErdepW7cn/HL4a6wtRlL37k8WfK9TCEhrItCxCAzH5X4Dy2FY+LlPFkCQlBLYD/1YSqqf0B1Ru5gHhOOIT5/+nfrS0jPhDFfKV17oFOm/AiPbp9X37n1Ek+aUiI8NX5TekbiyqF5jwzfOI27FihVwYqXT8V3+vcroxHooHI9H1HP/jhrSOrUmzytQoE6tKXMIRJ+DWL09EA7PB6adQyDdc5GWOR2KzSGWgwEUKPN0vBqbRqfjsrKxcCw/Mr3Tsd0zzwn5y5j3YVST3rONvY622K+uri50Qk9TX5lfyCH+zUV9yvrNPQbv+8zPgn1fy8t/Fw4akTxQB+os8zmvEvdXaBoBOaH7jdaW/KoHpCX0nHsfh/8WC9WIEb1CxkJpdH8yFkx+0b4DNSiLFq1ye+8NuWp7RMWZctlHQOu5zF111XlNsks2rZg/GzrftQhBlIixorHhfTTZfqk5O/TQM7ALNYw/8Xel5ox9b6VYqrF0w+I5Mg6HdnxXh9Oi4uoTwcwLYkPCWu2zGM9SIQ4JW1VVBbOK59A7xIEGEKIaVJvyxatno9zFF5/WKP8PFhMyjzgS05SDahcyZ5+ux1xmNCDR6DB27Cj0MG/HIB4wuaHppPVYeXU1KMaru0dgY4CbMmV0k96zjb6QVtzRertj/gg0s+VzyP/LGEuh+yPEvrm0k8gYjsIzUO2+971vZHwW7Psa63VKLg/1ttB7nZKRaLttCUgAaVve+Mq4pViebdvTNv1sNgLW8uXTGjDl6IqG3haM4MKWTtNDzKSDjRkGi7Eqkw6PSNYfsq+trXNbt/aD4/E74b4UPhgs9jYW4bc4o+2wt0s7/7GPqy+8BhdrgyBYjORCFF6tsbd4cQSENWbZwA0E0b59P4UQ+mSjR6sy5r7PFlqPgcCRULtQCIFAsrHugoI2owmexkZH4fwff/SPIde0odb1778Ck3AelDa3PSea8Jrij8BnkS2QYKCMQvdHiH1zKZRFfCET9cy70Zvdtm27GvXNjY2Cxe8qXwssN3g9aBQsD6h+8kRAAkh68HcimVXUqizXo8tf0h+WNZXNwG9guT3rXu0sMzECFo3DMga2PpoWrKF07bVwqLTD+dJlsBjp11xzv0bp8Klk/J079zUM20gBcE9fi2o8eUSs84odcJsx5OeIjJozHtLegz07sSFh7T9bjD9RaEPCcgSsG26Y7d5/f7l7/fVlWW/j6tVVrmvXyiYJouT+5JNvuP49T4vVUe9EAfd6DMddiKOPZYWdIZM8zc+uuHitv1fU/yPyLDs3Ar49azHxXLRVmqHgdpZswuu559JgAH+KX1J+DTnZNwP/J3pCDj58toRXoEh8c23IbPLhNzHxPHzJ8wVCSsZg78hYr1Nn7E7ubPVRGYEyC73XCRQU8khAAkh6+JcjuQ+WvkHM9ehyKrabGqbjAL5S7mzqgfncH1aR/ukzmnLUYP6JmIq9UZdrWjFvZJTgFIkXrL1oka6x4RvGGc5WHzROjKcJHxZj6Mb+/Qc0erb6hs+cnz3s2YlpVNNcSqFpVGPmPJWPxInEqigEVQzn+s1vntQkQZTcOXT2+vVZRjPCs7dw4eEFP5pRHH76rWjPZWz+D6u/fA/yC+1t/9PbuPrqi9MX1gFS99lnoLvhup/4aj2+k6ynmzH+6+v/fL4D/IvWv8SUby7vvzmfe8/CJxBS7CFJfz0p78iAsW+Gi2N4OHosP175rupqeoRKbQMCsc9SG5yvo5xiCy6USy7DZSjsfiym98lY9nXXXZfQcEydOtVxactA7ckDD7yCOQKgMqmc5WtLeAHJphz0MXD7YuKklU0agpdH2SgdZWWLfXMOJtrTaDGS/LHhi70Rtr73ve9wL4UIAd6rP/zhRfjqHOS2bHnTVdPfg1rUtBZxA6FBXdFou//IadrVqmlUMcuvu/z/y3xpHBL2sst+nHmH3SyH5jwffbTWPfzwH90uajh7YDFtMxsciXo1ye277yr3H/9xZZMIkPv99/+PGzToRLciUNinFICG5M03F2ESyMLhnsKgCQlFRSWYz2GAq+f9smDvWd47minVDMTPKHfKKd2aJDBace0h9r8pL8JUFN8UazuboslipLP3rKZmhTv33C/Dx6Fw3vfxb+4vU7+5NFPzngXezYa/uayro0YNcs89d6fbtv5YTGgI4Y7crQPNdFVF8+EzdowbM+bCgmNOkk0NzzzzjOPCsH172o9sU4ss6P3VA9Ly20/dhI0vSJc6bls1t9JHYGUCFrTmGw4/+tGP0OCe6S1tLXzw6qiZW7lyFYb5Ow+1zL4W6a6bnULz3bBhezX5w2gampSx4e0lGZxWY8On4x6mGccFC8a46q1/9zOivVW2K3gWF/8Dzq72tbeMjhvH/IfsMbUYf6vQ/IdMqB/I9iqDDcVMJtSABmzKy99yn322wRPquVtjAxtJnADy008jEwnY42QxCrv77nkym2ws1EbtdzTq7nwoF0wyadRB7Won/z010C1ePD5iSpR0iXg+C7X3jHxGjhyCySi/gQ8wK2um0BvvtffdRRed1uA3l2UOGNAL8yWdn6j7KaUWMPMUFo1IYHvM2mZspym0jIAEkJbxG4zDqbviHOH8vD+FhT0n38MSDZdi441giaa3y3WbgLC09F2/4UKxKm04FpqTg91TT/0ubW62RNNix0bpoOhGXxM+lYFyQaN0ZKOIIdVO/rp7/PGX4ID+K/9J7BrsH22rUHO26Rh02x+MmdITavDsBbfjXDaER448C71uc8LGjDWAI3Eh+Q+Ryc9+NhsNuI/ckiUn+HfP/AnYnuGsQ4EQsm3bUDR0ihrlxBp9DNigSUwAaRkR3l6SGjRGJmvM+zVr1gP/j73vgJOjOPOt2bxKq12hnIUCEkIEgQzYEkKAAZOMQIAwNsiYQwY/H2AfvHfGdz7jxD0MxsZw4CAHJBskkYwwDwx3CBuDJRkQGEkEgTJKq7CrDdrdmff/V/fXXT3TM5sm7XTX7zdT3dXV1VVfpS8XVEybVCxGUQeC9Jd1Z/x/gLlbpE47bbqR1rMuud5fdNFMqOsuc5Fh7pgMEuOS0rNHH72rXeRav1dAf551nOppBo3vbeaZGDNR9eqrr3mTfe4Ic56XNH/+Op+ndhLmb1Bhnhwo4ZNsQSAkQLoH6U14nTCkxMP8fTuuWMqSZ8Sl5e2tcFKHDmXzEEgU+IbdQHg2dpqTahbleOkQVZE99lPeg/Bpju4ys4fXcRCQk69bWhZYT0zCw5O3BCp1b6jzz8+uOp+nCmm6sbipo6Cqcb6LvFSi8EH4kYglSwBITZDshwiTWbNOBqd8PNQmbTsBEvF1+DHU4qcJUd70hXrGmE6rTQpCc9ddSTkSLDyQ3sd0wzvx5+2v+603Tcml7MwaOY+oo44a3+n+6kR1Mp6VBNfNN/8E6nuDUzINgio9o/1WW1sTmALXWH3Bueob9uh8M2Yc6/s0PpH2JG+8sdtNFmJPYhAgCxfOd5+HVyEEsggBWeay+MnwU/kOgRdeeBmExXsuJ9XcGInoMdgbY319vXrhhZVWWif/uSlRpUM1nG5xZx1jabsgLI43/ctNoVedFHC9//6HwZWuhl3HIiuXyUWFurUbIiBASiEBEW1B90lPuxLpmeUS1q49HXxt8bYkSCehk4O6YsXfYE8Agp0EWFIa4UI8/CvUefZ0mcvsUX0TbRGJUXrQVN+8o65jdwn9Ja8JA4EMGE0wHoWLsZAcNHW5v6ToXMYkuOjAYPduMEAM5FfXCeu8DkgPqgpWaWmp6tWLm+vjFizMPVfWcRtuxcXFivnbC7K/rl27180qGJ/EKDP0WueCJ7zKLgRkGGb3q+HX8hoCrlcl+zAz1lY2RiI3Dic1AqPm8i57VeKmRJWOSORKd1OKh0yAN6V4UMTfc4MRtZva2s9Zj7lxCTLIvuKepu/7wnd8S6fVbqxC8++fbadL2IF9Pms5MdiGOspqxhgqDIseuTMwm2uCJKwlWZ/tADJbrlatshGdZNl80gnzBNU3E+Z8B8hkkFTffMDUoaQEjnfSt4bhyWowGEzOQtLMeftAmAbh2T2JXcR55Th9USPdDLLnUqnb2HN79arokDRM9tfycjrgtINNxDhEoN5fp4eesAQ+YZxVCMj2kdWPhh/LXwhwMZw1a6H2qqR63ZeyoiUlW9Spp07rslclbkr/+q8LwJlf7orl478IhCbUUY0HinVPZwHN8I4SjY4FofFPbiZuWAzcbGjyoe+javLknq3GwSZJEI5qfT0IEJ9NVefTm2swXMKmlIQJh1kDpUVVVx+hHnjgYQFlh2PCfMqUONU3H9gHSfWtw8CLy5jA8U5BXxQXl6k5c2bEldCzboV4Dc/uSew3j9OXyp8mZnBSBkLFcpOaPn1Sh6RhojJ5+eXvOCUkrJV4EiRJsQuI7l+1tCTl8nS/8ICUEBIgAenojjaTi+GWLVvBIZ1qIa4i/k0oYBaMJ4txqN1xCU86k/D++1vVokXXu0ikz8uhjqoPUOwkceOp1W6SZhuGjes9NW/e7A5tXEmLyaMH3FzpEnbAgN+7xKsg2kYcFOJViIOWFpsQNVU4SCRwpdfEwlFq7NghnTqAULpduNge1bd4AgSZQ4RGIJY8FkcfZWXjrExmf8lrGrYRNXjwgA5xvOW1fIxlfIZn9yT2jowF7fSFUuukNpfD1aRJEzvs9EWIvuefh26qrIm0VGWQGOnPPbc59FpnQaVT/+vWretU/jBzIgRCAiQRJmEKIOCcxsoF0Td07QBCsyhL9LxS3XffSneBNDPY16GOqg9QOpV0GgiQTr3QIzIvWfKk2rdvqEu82s6E9NkXbAE21yAQrzwBvbp6DhCTjaqu9Wq370SFgym98dP3u2Db9WGXCFFfhMYH5iFC43aB35XZX4eLf+RmMfvLUbmJQW2ysUv95Rac+yshXu++m14ikgee3RM0L1jiASsa/bsFmIPJ4DNZrV//Ac6DWZAsgyddiL66ugvcNdKTAzcgcuvrzw9VsOLh0oH7F19c04FcYZZUEChAtCRVc8NnnYYA3XdK8LgGnAjbDXnQtVgWyHfeOTHlArluXaijGg9hi3izD4uUh0nVONZqo8XbbrtRcvb4mO2nV50hQ4ABJxuHeBQE4lXsPw4dukjBv663bz2wGY0zAXaqL37xMm+eDt7JfPUgNFK+xCFC0y40Pf1FBk+fZK9M61Z/JSs1l+mhAwMv9LmObd3aCIYf7fOusR6a63iVnV9Lw97HTRSS3/vtxNSREH0euxtdDt6TGJff/74KHNGXGnIde3rvvUs6ljHMlRQCIQGSFDTBe+CL1JqqAVy0yMDSi9ceECBt3VINkAUyVOno/Fgz9YZjFfdZBZh9JUXqvpqqzjlnRo/nokqTGBMZtrzqfNqzmZp5eN1R4vWhh36j+OuJ4bLLboR3oXpIuTAOqJYsTGYSBex/IQ7UqUBy2tSaNTantZONlfnqQWi4g/AbZE7osRYiNO2B1dNfzGxKPjwvH43TlrveX56icnzDvSXBgYGMSyMOmgMDruM7duwCAXID7PgWWL3EdRy2fTrwMFFKL5kGS/RJk0Z12ubSQ/TFSyxRaui1jrBNHWT8kqHF3yc+cZOqPbQp9Uvh0xACPQwC1P6MHThwAO67sx8aGhpi8+Z9Ldav35KYqiwhOhHDmmfFFnrh3A8YcEJs1qwru1XJO+64N3b00fNiw4fPj6le+E4VfhX2NxnzHul8znzf+c693fpeIb0sfVVefrPbP759NRHPz4hddNH1hdR8py3XXHMrxqo9VkrtscOYYwfpCxbc5uRNdnH48OFYUVElftWx++//RbJseZu+d+/e2IgRZ6Kff2WNhQE2HGTOOvP4hFhZ2dEx5u9KkPl65JFXuzAnnIfa3ytHDJiPH391OF+TAJgwnDTpolhp6XT01aLE/uI66PTXSbFIZGKX+ytJFXKSLOtVv36L3bFTbI8bxvZ8rap6WO9BzB+EIHBpfx0/PTZ27OzY7bff3WGwyHz17K8lNswZh/trh2Ep/aRxo7K5gB3wo4mAobXGJrXawfMwpIBAKAFJAZygPRJdVH2q9uE4VQ4PMD6t9u9vVWVl4u/V87DDN74qHfFvY4qHOqrxQHFPQHf0hhOz2CnDEW9Wb71VWAZzwpHyGFganFTdeNx3xB5h9erV4EAOx69Z3XDD1yEl6DneTQiHo446T+3d22RJQNhwUxJG7ikDt0o1ASo9vbrkAYtvy3x1DInJTaWXtX18ikD443iCD7e2hDrlGiCJfzxj6b33NmGMLfRyvGUpbcA7huvsAQP6dJrjnfjV3KfI4bZlZfB4KIFjk8dZ0NFJk5VYVva4euedzd063NYqqWf8y57b/joege3Wzg6dgC4tl/nqObBVHkqs99fzwvkq8EgSy/itqVmGde4x5AJ+xLUvDN2CQEiAdAt8hfWy6CbrU7W5ISY966gNNgWxDuuiJoOSr0qHRpTwhsS4DHVUEyGYcI6AqTfsyc4pHlFXXHGOJ7Wn37iba/cNLL/61W8BHKPwo95Dg3rttdcQ94xAOMycOQMI7VAVLV/tVlrUeg4hqS9+uI9EBqnhwwd2yQMWC5b5qg2JGy93Dw+VucoYREhb8ZJQp5wA8wmnnHKC7RBirXVgpOQh4SFBu84ej7vtcJ19pKT26Nidr+e77aB9IcenEQ4eDBYy7NlzCYek6/gB1bt3r07tuTJfPSrOJPY4vkjk2g5mQq91gEU7QbyDbtlynrVNkD9b0c5L4eN2IRASIO2CKDgZEs4SSCpYjOlTtbtyloAfND06qiYyY2cOdVS9UCPX+4knVqt+/bhbkRuDYHK9hTNjw7Jv397q9ttvsvIVyL9srh57BJ+2tUe8UtqxevXf8eaLMAYm1d2svvzl23xKys+kpCdqJ1T3HLjNfhbn+/Ttti0Q52tFBXZgmatx34p01ztFXHmFdOue/7GpnWadhDU20uPP/5BGyny9554KVRw90bJVouRMxhBj2BE1l3wxMMSrKb2MRH5igcpcxylRZNAwmgj/Es2dll7yGzyw1bEDiyf68A1KiYPgrMMCZuf/6bXuBz9Yqg4diqm2smutAsic/bDzZYVveCEQEiBeeAT6Tqj8/fs/b8HBXAzJMWHQi2GJamxsAteVGboeuDiGhomdhx+5iVOnjsWGhMWw8g9uAcL1JnfG5noPGLBP/fM/f77bSKf7kfy68hCvPlWLJ1455gYNOkX16jVT9ekzFwaY8wCrPdabhF8fpd5++xVwGz+LPOfjDIYz1He/+2OfkvMjKYGDmrRamyG1LOsUB9WvKMKP3seq6J1HEKS4OBaNhQiNH/CQJmc+lJfbko2kHO83Vf/+/brl5CNJFXKavHDhFerI0ZA26n0ksSqdIV6vuurLPdZxBFvO/bOpKYq9dJCKVaxxgcF1iHOKMLLXcaW2QoJZ1GnpJfcKOutQhy91iT73S1rLYVvt7+CsIxgHtppN7+g1tQ2i0cOqre16S/rBF9k33GfD0C0IhARIt8BXOC8TsVi61HLrGo0+7TaMiyEDxbXOYtgIveSqbm+OHrG8bEg+cXiysu4B58+jj8p+SaoqdzK86EBSXFHsvFsoF77Ea3zjsInHe9WhDv7u3R9j09+tDkUfV42RJ61D+ji2GWxkuiH2JPJE1a5dEfW97/0ub4mQBKllUoT2WBBclZ3moFpAcf8thOY4wPBcF4lMmLOREKFxQeZcccyedNLVOOg1qpqL77HSTSaP5NTwPBYHRg4uKMYB2z9y5Lnq3XcNfbMuEq/33feQWrz4l+r6678KRL7n2GxJFzMmMXrOOTNhlzXaUYfSz4X4ENioKSD4D8HO69VOjwdKnnhg6/DBdcnnK74TlANbTfh39NqVWj5OL8iWChtjgDQM3YNASIB0D34F8za5Mdu27VAHDlwJrvoTKdtVVLQHxrpzO70YxhcqYnmPjmp8JtyHOqpeoIikSuuj8lHS/ZccttZuS6q8X8+PO1/iNb5qQOTiide2NqpZcdkD5Ubijcge4Re/EtKtbOUf8VemGhoOq1/84ne4zq9AhG7JkpfgxrMWTiF8pJZSXY3QrsdYONxpDqoUITHn7EUXzVTFxcskySHahHhTkViI0LjQca5I/K5b9x4MiadYY08QTGHyMKdm8pyNi1eBqG923i2EC87ZqqpycPIvcZsjMJAYY7U9bjwJjq9+9X+hjBH4Nam//OUvbnk96EoYSUOHbvLWWs9XJEkMv9qlpb26ZJgvRN+OHeKbG+UKrCVG0q9/vSo8Dd3bC85dgtSS0t8wpAUC8dtuWgoNC+l5EJBJVlLytrU5JrX/uEKNGTO429IPE0Jjxox0F0Uy64kjEgFkjEVy9GhuNGGIh4BzWr3J9TY2FaUmQe+/e2py8d/Ml3tf4lU2bIlRWZN45Wa8a1cUuvXcQY6wmiJEiDSMXC2oYSn639dhHgjtiHr5ZQPhlkc5jk2mQbT8S25t2CYGziVHajlRnXtues6Cef/9rWrRIqgjiGCN3EAGiTEGg3Cynj3XAABAAElEQVQCvdXojv97DNA5RpPuvtTtKFY33nhZxwvvATk5Z//1Xxdg/i1PXluMnfa48W+88QbWtSEog4P7aHX22fOTl5fHT4SJUlt7qVVLcx331Pso2PuVdIl5IERfeflcT4meG4zF9og+T/4A3dD+o7p6jnrmmY1Qw6KtIIKf1NJ6Ev53EgJJl8BOlhNm7+EQSHAHeDBZg95UGzfuVBdeuCBZhg6nEyGkDcizz2503yHhQSNqItK2jiWfM18+6+K7DcjeFZyYWx8zF0TCjbNaP/oAeqt2nuxVKytfkrHjccNLJh+RYMYcO4CF6YaXCDsP/YrFvgLphr2ZVCOfBOFsEYZ2KCp6FFdD1AknfCHvxl8C00AqTcKdQWI1Tg0bthWuNsF572Yg3JcuXanuu2+lS3DEl4khFxq1xgNFqW9+8yZ18cVnQHq0zXrYPzGPlXIQ6nJ9Cs5xBNsmxGtRiY162Gu8rPVcu9ojXn/zm6dQ0mb83gSz4O9QM/1Y/fCHP2XxPSrIntvQ8F9Wvc11XFqil+83urznkuj7xz+eVHfdlVRPV3+pPWcdUp2gxYcPN6vm5kMwQL9QtZS85DbflFq6qeFVJyFgrwKdfCvMXnAQSDBmNbkxgpjpxfBYcAT6dNuYlQAUDtA775wIhBlYo2xGJtMe6+Zrby0L/ZTbI85CAC1bHVVpbLqyIBIBp399fV+ujjiiJq3SKrsaOY9k7NTVXeCqKoijBBIQRL4xXs0zZBIQdo5rGXN+amwghqPlz8IWpD9+h4Ho+GXKHSiSqnAkVOkTqH9zWmyBBO7WnE34kJUAuHf0BPokJRRksvTXyJF280yEk0Qzg15j+2mD47vv/pmVViD/JvEabRNxWVzjkBxPvJILXVJyNNwXnwzi7TwQvy9Ywg++ajMLvv712/D8XPzOAvF2St4xC+JaqW9lz22VRjCV67YwQBzp5fHYc/t2a8/1OOsQxoTE+GS8sw5WJQwK3iZ/Ds+BgwEKqKVTskw3xmFIGwRCAiRtoOzZBYkxa3X1L62GcHPksQgMVEchUqsJg13wvtTWbWNWFutRo2m8xEUk9SaMDHYcU1sC45qRcEkVTLUbvSCKGkzCSxOxGe9Ni61OQtF5kCBjR7vhjUJfkLQBx6cQFBw7ICBilTc6Y0cQQEfnWtSs2lXDioED1gg1rFfzoOVuFcSm4KOPYBDOYDINrBT7f73at69OvfDCSk9qV24E7qHdVuegR+Rb7HU+2vmY+7IwDrjWOgjnFBCL5V1SuXELzr+rrhCvhNsrr7wOSe5BrU4aLX8GSOBfLSkvkcE6/KgyiQNFYhXPIk8F5mozJCK2VIGP8jCwXbNmLQRyewwk/gZnncQH1y4hQmDnEon8TR1//IQuHUjJ74SeJrs2AAg795BXW2pp+E/oWqnhWyYEQgLEhEZArznRZHPc12QgKZrgsIHCkaLvq9K+OdIG5M47T3UIDgeJtJHJzrhmDEoXOvYfBhfL2/bjcVK9HAjifVJId+TslUbnuGMnrnF1h4TKAI0CFawtW7bih8OkGARhF8kJ00Ta5yAATIyAmCsCZ3Y6b/ImLF/+IFSrjkB9oIrCwPkpxLtOkPvJ4KBWqWXLHpLUbsceu6340gC70G7LCxQSixs2bFS1tVdYnNSkGjGQ6Kk/Amkc1m0nH94a5P7Ol3gVBorEqKZpt8U5W1xMygzjvPJ1C3Yyxqmuy+CZq3MxV9vU3/5GNa38DcI80A4JmlBPNpFB2iaxGgaiqgw/Zup8EKIvPA2987Dj2BM3yc4hr7JncMwlncOd/1ZQ3wgJkKD2vNHuBK560hM+PwluzD/SylUn8UOd8oceWuVuJLKh2HF4roDRWfGXsiDGp6s9PdY9ZUJTkiRw7PBMipoaA3uRjduOY7CBEZWOlStf0yW1tRnGhHVIEqNtPw0rIjmVi4EADIQe9RPqrLOAQOZBYNsdDmqv+9waCUedKURqcF9SsqbLHFS3YOuK302w24rPhPvQbssLFJdYXGs98Btr+kkD+qskrcSitya5u5Ox47HbEgxEYqz5pt0W52xDAzlRYFDJPGUTCD+Z65zDlILweeUXYSw8TE2YcDnWhaORkJ/B45CAVUyikabUWBBUXMOmd6khIvUtK1vuvi98KYnxpKzscfXOO5u75GnLLbjwriKRYuA8w9yxJ0wejr2kc7jw4JCpFsm0z1T5Ybk9CAIOVz0ps6URm2NS6qRLLbU4NCPVhx/OdDeU+JIw2UMvHRZQxI6hrMxmv8iCyMcym+2NefDgAQVp/yHDg2Nn9mz7TAohWuWhxDH3TAoigWefDUTGtJ2RfPFqWJJux21t83CAYff0sOOK7Nath4NKtYCkusmzoDIZ6zIHNb6SwlENbUDiIZP6XlRca2psAsRkHHjG7gEgnMVpUXFNXaPsP5WxY9ltCXfJrocQE5ivpt2WS7gZTAYufZLfV2JJzLoacByYt7YgCQ4JTG66OCfQbXxXTZo0rssOCVyYn+92uMBOYjw5ePC80M7ShZC+Sthr5bnJ5JG0MO4SBARl6dLL4UsFCgFzczS4JJZb1/SS/eTQvPLKP8C1WuYCU0alxHhSU/Ns4Dk0Rx75SZzQPVMtX74Onjksbr4GmiyI5KLZXG/u0Pv31xacGoc7SEBHmGdSGJupmUfOpJg2bZSWGPAQOM0pFaNfT2bjRriqtgZXcfFSHL5Xry6//AYjU+4uXcTMRmhN7rCnWnWqb9++aeOo+6rRCPdWYnzfVKPxVCeANzSivuOOxWr9+i2qtv6gBQGTccCxy7VOj+EKMHmKC87+g42WsaPttpjAIMSXxEgyPTL5Em4y1/22Ii2xfBqlDIHTiLa8cxzBJpuuXdvKnmWS5TSDaw7DfvycdfwoEAe7uryOC8zvucdgHAqsJcbnfvSjCsdWjlUIg1Lipcxxv2viRSGA0gIBA8VLS3lhIT0QAgmUvrk5UvrtcJzeVv3790srV50cGh5OVVmZWrWltvacwHNoVq58FPY3ERBrOB+g8pUUI204uH9b4c7ycynyFMYjcevpSH98mkW3nmL/sWrVVCuHOFjwye9nB9LWVg24V6mtW1vygquagJjVGA0R3XidNFETIA888LCRoeuXvmo08cUBsTHVaOIfB+2e3o4GDeoHQ+qFQLhhxyBBGAe8p5OP+pH4G67uuefWLiOcLCrfg+WRyaYihGiVGFSYeGTiWBPbxNpDcYRbOxLLysozccr4J3DaeH4wDMw+Ee9Xhw5dBMIjlVXzZNWr11tq7Nhx5utdul64EPurEBwCa4mRfv31qfffLn20h78k/dTSco3VEhMv6uFty5fqhwRIvvREjurBRf6kk66GYW5UHS69362FuTmSeaLvj4bKy/Fp3RzJoaGf8h/+0IM1ufWwr9o7nCrhhQJMWLRouRo3biw2pfssLj6cP/mHicjTB1IjKkYXbuDYdc6kEK6orGgSo/m0AVm69K9q5MiB4C6/bQHE5GYZ2h2+er2aq7oYBMggtX377pxzVdnue+9dDLXE92HUfJXVHnNzJCwo4dEw2YM6b9MEmJWxe/+uSscFrhoMv8Xvc50gYYfvmmo0SAl0uOyyG7X0rKgI85bwIbHhG05B6htwyPGQ79Oenshx63pksrFhcaIhcSSibr31EZ2Paobbtu1QBw5ciabHEW7xSxuRaaoh2kj1kCEv5q3E3DMeOG9MJxi4dcM0GEFHwHRyUzp7JTCfMGGBO1/jC8F8nThxgYZ5eNaWBRxqGxxxxKexdmLxrwTsJGg8yL6JH4OSJ4w7DAFjm+7wO2HGAoKAyRmONWN39KhcmQ09H8jbG2rPHsqH0x88HBqNOOEbEoccGg1w6avm5i9bHWAzBRN7owjnPjSmDelMLD8/UgQZ7og9QlFRAwiIUuW44OXGb4wvPe7b4aqWlc2BDcmMnHNV2e5Zs06GlGs8Nsfr3M6QzZGqKSSa9P1hNWBA+s6CEZUOjxqNEDvEKW0E0FSjcSsYzCvhpEajX7EAYOr7e0CyF2tsRK1a9bgntVBuZL56PDIRA+GY4RhijDlZX2/ZI4jNlmYaxBNuMtbxipZYHkJMRoKtxrR5swJT7eOcMwtYvfiQMB6SEiB7MMdbunX+h8B869bT3GoQToQ11wh7vm7ZclrgNQxcAFGF9GzYzR22tA24L4TEhgmetF2HBEjaQNkzC6KXkfr6RqgHrLG8OpjcYE+TGmHMGoVHCD/FW0/GTt34cmiIyDBIjE0p6Bwawolc/JKSXqqt7HoLPiYXv8pKspDqCCQgFWlVlbNLz6tIkGHPmRRCVEiMGtMeYdWqx8DhGwOJwaVuG4i0MJD7mhQJ0Dn0X75wVWk3tWHDDpwhgIrH237InNE1/pTq168BnsKuTKvUkmPxe9/7DZBFYNJcDgg/+S5jIDY3fP1zjvexIHNVCSv3LIH7dK84hC/vRIqpx+t2JBSmATqb6p2v9gTlvCPTi5iIHssxx36Iaob0zOQwDfwIN3PsoQgdMP7aip/HeSB91Q9+sDRvPNdJ9WpqakAcPQ97Mtt9NtWcZb0SRFff18Gb12hIsk39SimlY7HAfP789e4LJDz441wlYYdw5ZUbQhsQCxT6/9vf/hc1b96nge8sslJNgtfIF152DwIhAdI9+PX4t11jVpvykAXQp2U8HCudZwnwE74cGhOZsesRdA6Nx1VyC3csBHLx7Q3EPSyyP7hmW9T06ZPSinTq7+XpH8+kiBTbg0Y2cjtmOs+kkI3Yw7mPy+tpHucBuamMbUP0Dz/cD7WnjepPf/qzJ2u2b0QS5pxnIhUgCNgmmT9gK9fV1aVdEsY5S7utSDMQaoGhfFNipIee60Cf+Z0lQK6zzalXlGI6BsfTYSvSvyAN0NFKHUiQrVix2hqjQjwIEU1sBIjx7x57WBOvtP/gAucwDWSssSQSbnzPlpowyROKPwZTLb8817F+bP+gQaeAQTRTPfXURqvKbJcguIzt8UBnBJs27UmLzRnXyGKU5zAL5Hv8NmD+19f/aNUl/Pc4CYBHbCuYzL6kHgdD4HUWAiEB0lmIFVj+BGNWUzWFuspEKPTCH1OlpaVpdw8piKGHQyMbjcSoQsihsQaedpVM+oMcLAb2lwTO5sPn4i+qTjttuqQWbMzNXM6k4FkxfoHpPJOCm/7gwWfAz/1yv2yJaSIREYRa5+iHQ9GOUB9/3JYWpCDxo51Lcdxmy+YoIJBYfQwOXlKRZuc+ZuTmnH377SfU8OEpVIUAt9BuywJawlkCBizdy0/j8hVIekcWNOOAxCvtCFXDA0mJh6bD2zTxKmqT1dVLLTD5EW7OWHchKVf55rmO9TIJ0kb1pFTVN25tHaLGjBnSbYKU6yRt5Yb1h/MSIdhkXbPj9e+uDSWWdi/MmDENxGsTJGgXqhY5pZ77LAlmBvoN4P4g90wLQ5cgEBIgXQJbYbzEhUm8jOzf/3m3UcIdoYoKOcD6/ui0n4DODwoS6TmcSrgOEmORDL3qEFpGIJfMN6yB3qrsLr4ZCiZRpGcdsQGZOXOG+tSnpqmPPopTIYwHFexEtFqRSdgRYiT4cCBhrg3RLWTCUsWLxWzsy2QaSO/qR8eoIUMycxbMkiVPqn37hrrSFiI2DHYcKYrAC9t8Ky3A/wkeBpPCYiuMXgeqZ575ZdIchfCAxOsjj/xfqA8C+RbiIT7GnCTxKmqTO3ce2X7TqYJKOxKui7bEkp7r2tra1IwZx7X/fpZycDycc85MVV4+OlF9UvY7XZeBcLm+Ua1evbTbBKm1To6EQf8ZLszj24s+CCWWFlDIaO3VixQGGCyUsonEw3RYxr5qBCEdhm5BICRAugW+nv0yF6apU8fCtuNaFS3/UsrGjB79JsTBL3R7MYz/iCCR1uFUcU8FOcTiGHrViYONiXTKAqk38hNAKHbDbUrcZ/L5VqRn7dmAjBu3RNtMbN8eUW3lT7tN4viigEDGGZ80z02+SeNxNCqYNjNnP9Az0IYNG6GWAreZ5oGKwjRglWwVDqV2wgi3Ie1zlkQQT6AfMgSw0GMO35SdxI4peZIT6INsA9LxswSORZ8eUBdeuCD7gyqLX+TYGTnyXMu7kMw7EdJJjPr8+teroDo5R7300uuQ4r3Yfg2HI8uexGz9+o1Ujz++Oi8klqxdwniQKhOh5VxyiJAz4UikOS3jgevkRRfNhPR2mXzNNw4lll6wRCKjrAST8PBkOdJzF950HgKybXT+zfCNHg8BWQwbGx+0KH0/Iz/dypNBfOxKy2IYDzRBIi3dfGf1tbIJcgMsMehedYSTWlpqwEiQTi6QDtK5B16P0ntWS3yf5cu9r/RMkBoj3rmzN4w+t6rXXz8GKmoYVDLOBeGRWB2Fpp2esnkVFWfm1BOWa7O1NpGD6qn5UBCiu9S1117uSU3HDZkGVKPZvZtqQ3aQuWrE69ZND7RnHY7PrVsbQbS2qJYYMWQEk3FgpdhE3AZ998gj90tqQcYcO7QfKi9PQehjDJEbL1LLtrYU6qTkUFOouS0OXLbEsq3tEu184pZbrovLkJtbUe9xzpYw1Sep0iPzR23HuIlBenNstysqDINRowa7ZQnmJzHWSxJ9VGkNMsOAsBJnLw6wpI+YwHHlhHecq/CiaxCQ4de1t8O3ejQEEhbDOO0Ut3E0BmlNy2Lolum9sg6nspUqiTxyIebo1Aty1DmcyvtWMO7Mk3MPF/+onUZ/hM29KO1c73Y+mpPHKaVnUiOMn717SZ2VgGP/FIexV+Jh2/Nb2Sk5svXNrYSE/1x7wkqw2UqooSTMgvecgTiEMP3SMGEaeCRPBsEnNQj6aegcn9Omjcdc/BqG3xIBi2twzBTNOBiGiwnq5z//j255PHI/kL9XHDs89+muu4QLgLoK0i0xkshwWr78XvzuUdHKX3kbFI+1GO95Myqco/F7GHv/JSPMs/hvtXdP5PaJJ1bDMx0w2sovWdmFIBV7AoMImTJlorr99pvaK7bd5y7D4Gw3r8DMiEMVLMtGR86eiVXc5+0j3rG/qOoHuKXbIyiLD1qIn8pBa3+g2/vNb96kLr74DIhm/2DBwaT0BaHQTz6GfvKAtCyGyQDOE61LymzuPvcm6vSyDiCKmP7ee1uSvVrw6eI3Xp+ca+qkJrR8FrjelQV7jkB8cwUR9ni24tjhJk5OlU1c3HnnTC212LfvMqsIIn0MQow4RAgpcONEYA7HOvxoB2XrldfWnp1Trr4QXa2t16NSCOactVLsf+qjtHbbgNVTZNwNPes4qlcGIqOzYWeh97EgB4+EuQmQkHGXAJRJSPk77OF+mvCkUBMshpPdOtlrJEbyiy++rLnxVONrbcC8FKcQxFjkp1+H9D5FaIZK5cCBfbp1lkaK4jv1yOvJUCgOFCGSbJamCdKxuBgC982D08JI8l0nBdYS44tB1zIg+EXTQJ89w72WeAiD2UfcW+rnK49ESWcK/zoLgZAA6SzECig/zxOgn/URI2zsQbgxbCOTODo0pX8MuOq94EHoZ3ySkfBv/3YjDAbxMarYUyVmp/0Z7D2toEL6DzQW7IzUIH8Ldbnei6xKUuXKN5TgnJD0eyrz/VQeJXqQGXKnOIa4sXI8IwwcWK193P/gB9a9Rw2GiI09zsnaKil5xM6EiII/BmOTLit7LKcnLMucdTwDmXNWVnPOXYS6uoaMzFlycsX7mMO9tj7p/qMO9D4WZJUOYRy0tCyw4MJx6RuKtN1WoR5A6NdkMpxSEa+TJk3QhP4775xkqVjJ8i9zVca6MuYr0+IYBgMGPAXpeXXavTf6tam9NA9yS6aHR53HfHuMqq5+F+2fYiZ2+9qzTspYlBilV1XJgtftT/XYAoRpEI3+3WqDzXhKbNB7UEvfkZgcpnQKAs407tRbYeYeDwEiEeIBa9MueHuQYFL6XI9wH4s1w5i1KWPcVNaFhomx+rMs7rXDkZZKKXXTv9wcSKNWwubeexdDJ/p9GKleZQHE5Hp7NrEIXAceSvu5D24v5N8V4UODaBUBICjAIKIimyoJByR/7rpLtd/9W2552G2AjHMSc/Y4Lyo6AIcM+608LCs+oKzd9Y+p9esPqW9/e0nWDzhrd86y3ZqDyor3A1JbnpE5K1IYy/uYTZ0JkSZxLIIxG2wbkATGgaF1pPrbg0sTi3tVnz5VeYEk27XKWMQxTKL0j3/8wJ2ngoVIjHH8q189p1Wniop+YdVFpEeEF/PZRLZLxSBN8sgYRNL+/b3Vjh1tavHilTm3bUhAbqW+qKc37FMHDrSqV199zZvcxTuB+W23PeoyUwTWEgNmt976SKAZBoTTG2+8r5qbG7CHXmNB29xra+wO0GNPRCNd7JTwNQ0BGX4hOAIGAY84mKJGW/spEQwTcbDdenXDDXPTIg5OLN86jJCGiZWVl7sbi2wwThwLpJtAInuzZp2MPhgPNYTrLPCZXG9ek+uv4RSBncMRBX8CujmGLP3m4+Cb/cfu2DEz8DoGGVrLUBDRE7xP4la/0tJz8bwZxt33JS8LOXJ1wJnHAxbnbNJAzulaIBPDMjJnRaXDsgGRCRpfGfdEa+YPWjCJxdq6oVbzSRyTQ89AOtchFidjTDVkhFjU38qjPyFe167FnDVFi546RsBssVSnotEvWk9kzSMMSchRSq6H3l7reRKGQVvZw0Dme8MF7S7Mf79M1uuZ/ud4cBwSCHIrbeLHPZ4MJ2O9b0mb2pjAvK7u/OTrGmBZX39eTtVLM90H7ZVPOJ122qmQgkMlsnKBlZ19JHO2FtfOnFU4V+qI9ooMn7cDgbgtuJ3c4eOCg4BzmJmP1MFq7HQshsmQjPSAg2olkUg5JvQLboHCLZQYT2pqns2p+otbuexdETYbNuyAmhx2XhPpFA4+q0IpSH01jBv3qcmTx2QE6cxeizv3JSK3dDFZWvpEyhcHDjwAg+xVbh6ufPJzUv8b9lAE5mNOir7g3KAdiD1HcqXW4fGA5a1h3F1ElZVVqGXLHopLT++t5wR6WT/sWE6gT+8Xe05pHgZP8e9TVPw0PHsNc7dPIOatEK+nn/5KKvoDEvHfa9WpmprlLuxoR0PpBpFCMl1kDWy8IDlijWy5dp2NKigit45DAkFu+UDaQEmsg9y+p448clTaHBIIzD1OI2RLlxifD7rTiKR7LfvJE2Zjrn6sJk0a7UkNbzoPgZAA6TzMCuIN0UctK7MxfFPU6Gnh+5hsFRnlqguHpraWHOjkobb2nMBxaIjI0IXsli3nWYAx1Au8kDpHNTQ0wHUlOYvBCeQsUgVLGwQKbGRVkxjpzc1t4ISe6AJGnkmMJ62tI+znQ9x8lLST8GPZPJgTYf/+PuiPj7POUXVVetZaFUk6Z6fC7mVQxlR6CHOxAWnvBPqg2oDI+qqNWYk4E7n0DXVgGkyGdPdZ36eFmrhixYOqtNwWu1OqwWDHTH/jjRV6rd+79xLrmfFc8lkP6OUNnP0UIdeus1k1sd2qqVlmrSfkc/iGC1T//jE1fPhA36fdSfQwDITwsOOgMwwI15aWFr2ub95MndxUoQhrv4JK+LRUmcJnHYCAsf12IHeYpSAgQASChzw9/fRr4A7ZxlbkKsmiRPE2ES593wL1gKKMGLMKMIVD4xgJ84HURWIkBfmgJEdSJXqoAjwnXou+dG4Cc0Hi1TqT4uzEMSNjB/H+/cUQrf/ZCxfh2tupvXvvh70T5e07rRQ/jQ0gDm2lS1HWQO0vPps+84UYPXDgC1b9zDkrK7lu83ac0bE3Y7ZAwjDoyAn0U6aMCoRqkT2EnChB3z/p3JwECecHeeEm1ql8Bi+EeKV3q5ZmewIKbOyY6XPmfENLu8ePX+ytjcxpJxUqk6bEkvOADANKLG2JcTT6WM5d8VJ9ct2699RHH9lMNs5d39CAtaoO6xCp1vQEgTmdQjgMgziYMz3ITiMIo/vvfxRqaPXYR2dagE/K4OE+EYPWRsJgTE+HBagU2bYC1OSwqUQgeMgT9eJbSl5yASLiYHKiuIDr+6ngRI3JChKxcOEVKcXy11+P5wENXPB0MJFOUU/Tj46B2o0kBAdIQrx6XPGSeGaQGJfHHVcFSd7XdLL+E+JDYp34v9QJJxyNjeUgkJdvuASN+5Z1FWkCUZ79A86Eq15c/JZbI5mzRCgcFY6RaO/EjEktBeYelQ6BtcSoTpBVOhLOWDKnZn+7+/S83QCEJz0HzrmDIn+vvMRrEgQOa93rr+/X3OidO408cikxmmmdxWDsC5wDnNMchzaSnw+ueBPUJ03k1oOF7YNDgr5pVZ/0whxw8QuAaZCdRhBGrq3lP1kQMvdagZkee5MgcR+obrrpOkkN4y5CwDP0u1hG+FoPg0DHdR1nAml7HfrJlYgzZ0gqHJoJExa4SJ9sMkY8ceKCwHnpEKSzpORjd5QJ0kkOvYN07g7MCeguINwrj4tJY8xIjq997XOqpO8/y60VU9JnhEsuWYtDC3chhdj8auNJ4mW2Dzg78shPqt69Z+JgtnUq4TBKA+lXigbPr6p3330vY3NW5uvzz29xiTxqwhBsjMmURp2ee25z4OYrWq54cOgPf/gEuKQAhuj7k6lTx6cI+/Fz5u1E2CZVZvSMJf3NPPnzJV596nbZZdX67J7a2qk+T82kchAhcEDBkERiqXotgA3dMUAwF+bME5aoT1ZXv2nV1URuOW8co/pJsGeLpFV90hfmsmZIjCoEmWGQFCeiIIqagvzpOXskLt6DpKQhY+srPhCYEBIggelqt6HCEamtvdRN9L0qgz/yaqhq/cz3aboSpT5bt56GIo0V0fOBCDhipwXKBoSI3kknXY12R1Vr2XIPNOJvysp2aKO4TBKK8d/Mh3tBhj0uJn0qds01P1f79uyxjFf5nBs+hxpjHaaqP/zhFTV//nlAAIgRGFxVO4cZZZurunLlo3CrGwG3HIcpUrWkn10btoEElzNtJuOmRL399go7Q/ojma91dRe4DANuzoIAEnyoU339+YGarwJpnv8xaFA/SMkWOmpA8swbn46x9jo8DM4PDDIj89VDvFI6RAKNxCtjjOUXX9yhVbBGjXodCUawzUYkZfz43nAcgUmcyhAdY3HVqj1aopIrT1jOnGkyGiCMJDaGXrBwX1T0MdpTklaNA1+YE9YMjDlvAfOgMgwIBlFvdWwtmSjBIyXvg/6pB2OFhEgYuguBkADpLgR74PsegzjW3xQHe9oTy9hhZuZnhEMzf/56JBOb8gsxdeWVG/SBckFBsmVRXLUKXEAinbJpJIDnYmxcJYEzQCcYnI1du5i0sXBBxiVGvpYWENvkOsqKx2cexH2aGjduCGw7yrQBt1K/RQY7SDkSIznbnrAWLVqO+o3FeSb3WZWClpgOMl0kVtuBzPbCOQqpCVb77S5FMl+12lvbMAuBoYG+1IExbGUOtl0VqPkqwLzsshthg1OPOWn3lTzwiVtbi9SaNbYdns/zQkty56tBvNIgm4wAzi8iwxg/O3bs1ed3bNzxNyTYQXB3iZH88ce1IMpJ8ZICTh5y6QnLlF62xl5MXkk1Fm3ZDo2DorQSpL4wNxkGJPoA86AyDNghK1e+hvY3gmmwxuofwYmE+JBY1SAPhmpEuC1W9vC/axCQ7bhrb4dv9UgICGK7efNnrPqb4mAZERqZaIH3IJ6hwAyZD/TS4XByBdkz4tGjR2S+Enn4BW2Azv5IuuYdRB8lfZiHLUpflQQZTn0mhVInn2xLBESTUI9v1MOOq6u3qM98Zpb63//7BiRSh2i+W0nmofoMnaPYJ+O2tl6SNe4+OZhyaGhz85eteskGyTs5E0u35ThILfuklYNqfdD7zzp973u/AVF3rANDh7iz1xAattLYOGiesOQE9Gj0KxbQzL7ygPEA1Op6pe28B0/ReXoj89Wy2cLiLogdVV0YSEuAICmrehVe6S5Vrc2gtOlyV4LMW31/AbxFjVATJ4IIVqdJDt84l56wPNJLtjMprTQDDJBiIMOP+rahq4kemJsMAymQeyxg3lR8bSAZBgSDa6NjL14mTiQErx57gyCJLk6rjY50QxBjQTeD2PZAtpmIw/33LwW13wRuy1MuDMjBZOAk4wIJcbBSw5GH7JHMBhER0wtHqhBkLx0aLmK8yhtZFFMBLEDPPC4mZchKjA12yxYbYER4OMb5jMQIV0DcHzgwUv3sZ48rei/at28fuNc/wwM7CIIvxDCSDx36HVQT16sxY87PuF45GQDbtu1AHa9UbWXXWpXiBkk6iYFEEYkjzSfYBQ5qRVo5qPxEfCBXlYeHlpZCsiRBb9C4MeJ1607MGqEm1chlzLWMtga0OaipWWRVxURmZAxpGE2CC95haTvvIZft7sy3HeK18Ux3rFACwmDHTU0HVWPjg16pJWFG+Nnjq7S0DjZb+9SmTbuQ+Bh+RuD85pyw14AhQ17M2RlSCdJLElm+YQ8IkKKMSS9pJ1emPuHATxN7rIddn+bDQgX6Vq5gE2mzNWzYeVj3gfhUGlJLjQOh2dwzHJxoF2y2KgI3ZzPV+SEBkinI5mm5RBxcbw8GAWIv6rK4W9X/UI0fPzpj3nQERCIittx62ju0bNQSxyKB89IhBuiOdysTkeFGTd1p3W88AX1AxvtJ+iufYpN4dVxMxo9l3H/8MZAdBj7jPkv4MaZaGzaa8vJhQKh7gwP4UxAVg0F42wPPT7AEbmFr6QogSP3xO5zx80BkHOgzJWzXoqi1TXDoK4uQOnyUOvHE/urSS23Jpv0oExG5qm+//QQOD13qFh8Pd4AwaK6zRbpMtcnaxpdc2AgyQxg5yMx7auPGHW6egFy5xOsVLjIcP3YAi5aWBRZERGrJKcl8jBFaWkaAAI5h/pHKOEKn6T8yDHiwH7EbG+6bN8dyZgMi50s0NU3W1dPrtnWllDCVdPt5tlA0I+sJ10mel1RdnQLlAyESRImleKw7dOhCS9U5KXNvEnptqzrqqHHSe2HcTQikGI3dLDl8PS8hQA7vihV/gw4juEYmMpNQ29OR5yA4eX0zzk0VEXFqNZpYoLx0cMOQs1ocvVT2kSAy5H7byHNR0R5wbxozelZLwvDIkwQv8Zq8Um1tv3MfChIjSA+eDBr0PsZ7pfY+c+mln4ahIdhejee5CJL7tnMVASIU4V+GQ8KZEkm/d7xavXqtevXV15LmSNcDjs+RI89V27dTgd8OcVxspv7616sCp4LFdmu1Sa6v5ML7hkshZTugjjlmvO/TQk70JV5lGhnxFVfYHqNEasmYxAjzYO5ec81QtWDBZUAIByJhJ34IzBMfMETbSv6Qk7N7OE+WLn1F25ZFy39r1Yz0ElU6GTwe0Y5XQ4cOsNVArcfp+uc6OXv2cbBNOtch4BLKBkyDJrEkDEpLS2Fbx4H1uAUSvzGknxwH9atKnFEzw8oX/ncbAiEB0m0Q9qwCRD/Z4S4lrX49/JFnVz85lRpN0E5qJSe1qSkKDvsgcNtXJu0lPohGPwWu/ZCM6/2nrESOHnqJV7sSQlhIjOSioqPdGhpIjiTu2jXBURWiHUh1NTckcMRShGzplSfM2aQ2BW+incVZsSkQLnZ5+VwXQgJvIw4iQuMCBFei2upJ5M07+JWo006bnvAkCAlLljwJpslQt6miliQxnnz4IQaSTWxoxguvyYAh4wVwXbeuRT3xxKtgHvTBuK8Fw+Ds5AwDEHsHDvSGKuOujEgYUCPfYKpPJjD8PJz2YWjDKjVhwvCMMPy4Tl500UwwVpYlhxHgGzSJJTtNJMzl5UdafZh0fd0N1at+gdQ08B3caUgMCZA0ALEnFZHgoSXpZBunGhpa1OWX35Dx5pFLRENVz0mt5KZyMyq34iCe1BqJFIPDPsySVBEOvuFU5HlOzZs3OyMbl+8n8yhRxk6CW0/hltrcrGj0f7y1juNylZX9xdERp5e48nKyrrFZSyDyQ452HztGlC29cvcMgV/iqwimKp6VYiMVx4CDOiytZwhI8fExEZp//ONJddddxgl7hBGDxLj8/vdVoAxbBZlx1CbN9dXjxe5Yrep32203apAF6Y9zlupAQ4ZggZexEh+D9vjb3/AnxCyfE1uRfEhfu7ZUMw2WLXsQ474fHoIYlvw+AC0rm6PPFrEcTfhkyGCSloiZ5QvxIbE2oo9mjCAVmI8aNdiFoVkf+zqIEkvxCjp06CYLCub6yv7hmNPjKqJqa/cHUtPAHh5hVOAQ4CoaO3DgAA6+zkzYu3dvbMSIMzGdFnFKxaCPHANSZV1b08y+PyNWUXFMjPkzHRoaGmLz5n0t1q/f4hhE7DF49bF+NYhZv3L8kF5V9bDOx/yFHgQm5eU3u31DWEgfSd+pM2LDhn0qFgSY+PW5wKlfvyXescMxQ3iVWGPHM94TYKgw9tyxxTIHDjwJsMY8McejA3OrzOLiq2I1NXNjkydfEvvOd+71q163084444pYWdmMWHHxyWjLLKv/fccB6qQ+G+vV69isjoWHH37chVEF6sC6MeYcBuwWL36i2zDoKQXccce9GDcnxyorPxUrMfuqN2AhY46w0feTkefojI2bfIaZO2eN9b4McOHYYWyPHaVOdMd7MdIJO8b2+K+qOjV29NHzYpwjAwfOiRUVneGORXOu2uWNHft5nT9Tc9UP5tJWZx0fYNef6xLryFi3ZzzGzbSMzV2ph2ed9IF5VdXiwOyx7C/P+sq13hw3Mmft8abURZizU5w+Ip5mz2vibWHoAgRCCUgXgNZTXyEXRDy08HRYJ9AYl4HUvjaQpGg8hnMHhmbF20OCGg11ZMl1qMOPgZIQ6PFWDf6PwHBTE7gyJidVdO01cLJzVov+VB7+ydix3HqigmI0LhIObhE0U+h1Xcral5U95EhAWOadd34N+fdY70iZZgnUKy97GD7hL4H0bkzG1N+ofuUcaleSShVvKvTM18PQ9sWsSsLef3+r47lIvOk4Mcbpe+9tMaFW0NdUS5s5cwaMo4d61SZNNSwKjHBfVPRpdeGFZ2Vs3OQzoGXOWjZ/yWtaVPRJ9yH3Ju4DjO1w+PAntQRkxoxj4dWxAaqo4O5LYD6qa1Fi6Rii0xseDb39JrS8mL6Y+63Y8UWjf7cKFu66rE+MuUapkVCPKssYd11g7qyTVm0S/oMmsfSsr5RwG+PLC5wLYSuyXu3c+XJW11dvHQrvLiRACq9Pk7bI9NCivYSIe1FzMdRvD8EGuR2IT03SstL9gIv1ihWr1eB+EKNzo+GiLIi2HW/e+l4gvHTQLeAddyxW69dvUR/tfMYCtWxcvCOBxoVSb1yHgQS3BhKRISgYOHb0mRSmW08SsAwSx2SQW8nx/wcPTnJsQPjsjjt+AtU2LI+Np9hwjn/Dum9q+r166qm/AJk0CHr/rF1KFfUr7dKVjAIyCHxDierf/4isqF/x84Q51Sb/+McPHFen8eeAcJzyeVDOAem4g48JQJb/qA4e3BFoZMZz7pNeyzCwJMa8LS39szvSmc51T57jUtQmy8pKQHzT7RXd8dqBGpTMy/nP9xB4GGFJyUAYhf81466z+T2TIG0peYlJVhBHIrxzPKINhXvXsoyv43TFmyrQE2CQQoJKetJtYjsYC7GsqKQHCf5hW/MLAhlVwRIxrCMO5hLtiBf1cm3fz4+NGzfbETX6iZbTnSZ1q6z8lStG91HpCIKI2FWTAyxEVO/bT2OxxV4ee/DBh9PdHT2qPI6dKVMujJWW/sIdO6LawJgqGEX4yXiyUBOiJ/Z4vzi2YMGtnjazDyorpyMPVBVFDcvJb5epRfaLtEpjplQVZV5o1Ql+n+o7vmMhu3NW6tXefO3Va1FgVDo881bGmG9fZU+91TOo8+SGqmpUnTr5ZKiX9rLnko86UFHRz905StUrwtRQwTLVJr/xjR/GjjjiVGuuSpk+8zWb+4e0c8yYuVbdzfp4xscpWGuOjs2Z87mM9ZDUZfjw+S7MZT1kzDUScONz9k021dQy1ugOFJwwZ0VFTvrH6bMzE1TSQxWs7iPPoQSk+zDsMSUkuPM01XpE2MGlUr0B//QfZ4yr6wcwiogfeeT/qgEDfu8+1nXBrcTgZgXBS4eH602ODFWIfMMYGEu/Bbj90fdpUBKprhaJ0Ep/qdvkOOmZlqqZ3C1yRu1QUrJF/eEPr3i4ouyDPn2qUO7Dks03HjDgKZwfUp0xyYPMWX0oG2tg2Hx7zxDgnN2ZtTkrKh2nn/6KCxeZpxIDxnz+6KN3BYLTn8BNNddXF0q4iqnm5tbAclMpGZgyZRRUHk/0rO0aRMa8jJZ/yYWaLcUQaQYfRCI/UsceO0qPrYqKEsAULzee7Jbpvu1c1dQ866haOokZuhCNgy1bzmvnC730+R8zZx7XTr6uPxaY19Wdnxw+mLf19ed5JMFd/2L+v0kprquSfo1VYVPTgHsIx6Nez/ZA+4KHiwqiZGUP/7sHgZAA6R78etTbCe48Odnq7CbUInbEwcfBL3ZpVtx5mgBMcM0oiIwdR4oiauHC+eYrBXktG9eBA1+w2pdU7aZYtQKpzuTG1RMAzM21d+9iiMgvdasriIzEfCIqh0yTzQWXra0TYe/kdWPMw8OoVx6LDeGbVqDaGxEh6pXbCNH+/b0zqlfuzNmIrbfB78qc9ZwhMB2ug/tmfc6uWPGgKsLpzToIrO24qLgIp8U/ZD0LwL/0VTR6o9VaE5mR9uu1rCXrLs7l8/kQC/HqsQHxITC0t7ekGkMz1PDhgx2VJc5Xy7ZjXMombt6cXTsQVsbxgJWUIGUumTy8Tn/whXnc/sqvzp37VmAYBi+88DJcOb+Hc5OmKNVswFxU5GStr5+Kh5NwmO12I1N4mQ4IhARIOqDYA8qgXcGwYefB93pfcI5+0k6NX4N+bUlWqX1yIxJcM9IWhMGO6Yo3SCe1OhuXicjIhqw3j4MwXCRWHOzAzfUzn/kkDiZ7NzUgBI6EHcHGH66LijZoid/dd//MeZ+uOseOHQT4znbS9KFyVDUnrmBvUkVFszPm2tOcsyqyxq1HwtV0pPwZBEhF1uas2IBwPkbb7AkqOJQdMz0o89XLTV3g9pAYoBMmDoNnGMbMSVnrK7cy+XElY8fjOtuvakQATXtxkWrqvGNxrkeDx2i7qKh9dIZ2INkO0ASyPinrj1kB/agua+u456wtsx64DtpZW8uXPwic6Ai0fK3FUDKlyx7YTAU+9AakyzjEMQxphUD7MzatnwsLyxUEhDt36NBFKlaRCpkZB0RmgPrggz9ltarkYs+efTxOav10ShHxunXTC1pEzM2ZRpIlJVDIjRmbJRFeIjFEgB1EZrwaOLAqPBgJIPn3f/+K+uUvf+AyEgV0EiOPDjTkJuFBdSyeywC4RqOTEyQgJGqGDmUf/BcyIZjqW1aKVo1rKb5JPfPMRsyZOeqss66QJ2mJZ8yYBgcDTerQoQstDl1S+1BiNuXqiivOSct3O1KIqHRYajQ2ZmjjWY7KZKwYHMbCnq8CK5FarloFbinnqIdRgHuBjZoKgvdNtWcPxVfBDDJ26uouMOCSBBa0imTgEJOfTtgO1cdejgSEDIOaGk7o2fppsr9sHR5qruOq8qdudWzGhU5w1vFxGV/HhejznLVlMwpE+BK0s7Zclcm/Wv0jY83tLfvqTUjXImrNmr8nPAkTugeBkADpHvx6zNseuwK6m0s62UZDJaUtYzrtyQDmKyKOWyD5bqGLiLk5T506Fn1wrVKV97vgIiyIxAhMcNmnz5tqwYKLA6Ff7wIi8Uo21wkTFrgIjRAeEpuvxRETAwZsxqnLOz3cVGYn0V5ZCYA33ueWa5bDa6hGkUAYOLBP2tWfvvnNm9TFF58B7ugfrK8m5dBNUTNmHKNuv/2m+Npl7N47X20gy9iUGKLLQp+vAmA5gLCk5G0riWusbzgGBxAOAdH6S9+nQUiUseNxCUs7N9LRpCEYSxCpAaUhJEBsqWUkMtwjAaEd2OHDRfAk9aS8adnO1eGWKpMHrOQ+fZ7Oig0I1Xs2bNiIg+vAlEg6Flincfitgscv2M+D6ZGpIESfxTCwJ6gQxU4cCQzDgHAWpmw0+hUL7DLWeCddoWGTG5V0q1KF/R8SIIXdv07rZAFqbb3eSjvoPIq7OKhGjBjqcJbiHmbsVpBIj1ieGw6DxFg3n3tuc0G79ZTzP2pqllkbl3C9nU3CAolSE8AdL1UVFQIcSQ9eLGN769bT2m+8EB8S442Wln+C9G1Gwpgn0R6LEet/LDkBgqeZMETnfJAzBIqK9lrtkjHAO2Eg6LQN6q23NiYQUNZLmf23VDrsbxCvEUQRcQRDc/ToEZmtQJ6ULs4CnPMeTFtVItdOyL6DD+fTeXTB8a1dZ0udBEYcQ/FMA0qUGKinz3yQIpSXj4AEpLczZ7kGDBpUBrstQ5lfkEgb32YRe/ZUqB072tTixSs9Tif4LJ3Bo97Dgo06eL9zEqSnNeCuG4STN0Na7oTos+xu7IVE6iQxOFxBYRh41Fsrr3FhLBIqEo2OhCr7KuluhQr7KiRACrt/ndZ5EFumDnAeuca5el2aCGOrRG6wkTsjl4JEesTygnAZcX39+QWtgiWqHI7nFNEhT4D6dK2ixfxBD7K5zp+/3gUFEWEGia076z+OZjMPITSzcUxOnToKSalVq1pbL037mGS/NjVFVWPjIOWcIUDEjBxdBjIQnA1yMhCymIOM6edZ+CMSuXTpSuVoCppIZBNoNtSXKh+FbgdCOGzd2ghVvhYQs9dYkDe5qVy/6KRNr2PHQb1SpV1aZn205/xzblVVESj40c6DsDHWeY/nPyFIJEbWPn3+BlvGSofo5hqwatVjatQoPGQwbUesFE28xCoWZ/zwUH7O1TiAfQGDSZBaKfb/boyZ7Gkc6LNXBOsj+AfhRx4LEW6kB4Vh4FFvZdtFZRKX3jAXt2XqhhvmeZPDu7RAQIZiWgoLC8lfCAhiu2mTbUjFDVKYRRRPk9OucdkmiLYPYVHMLmIrSKRHLC+cGYlRxaCc1OoYoJuEItUTnMCNy2+XdTIE5oIIIA+780jPkrWexAfHk0GE7NkzFgnNCQg8ifZ167bi2XL8kodMuPakSs8558wEYTG6HRWO4ZDAbFDTpk3OqAqHX+stpsFIII6gPChRIgJpLhtQK3n19RcAwxPTTqD51SdXaVwrd+zYBQLkBqhuLHCrIdxUTlObc6/Ua/DeNCiwBugCHK73b7/9BA6e/ZSX8GAGIUQkM2NjD+Bt/MGhTON8ra0FNtl4qn8ZzISQiflqlWz9cz1asuQljIla7KVfsBI5L6Rdokqp79mwaMLaY72U3n/WiwwDpx7DUf4W4xuoTxAYBmxxgnoriRDfcAAHvPbKqnqrbzUKNDEkQAq0Y81mWQuPbdhccZ37iIuiLOwcCRp52KsmT56QM8Nmz0mtsmBLjCoG5aRWj+cU4fyBq6x1pPU9O046D5cBDr7SM8KDcKIahkmnEWSUijigKwHX7yNwT3+fgMCLa89I5Ai8YAcSgXF65Zs3x9LuilcklkOHbpIvJ4lngaMbUZ/+9CeSPM9cMpHIiy6aCYTPQCIFrhIXrw3E2T2EsmYacI4mDWdDZbIPvLVdkDRHUB5wTxo58lw4HREdU7Rc5qnEAgyOJe4BMqZw2a/fhgRbDs7XxkZy1cbglzxk2hUvCdJt23aA+LhStZVd61bEJEgd6WUMKs9HJKw97kvpu3IYBg0wiieMt8WVDcLo1TfvKXiGAVst6+tI8E90MCVUIsnVD7bjfJmoI2mzc4dRmiAQEiBpAmQ+F2MuiB6DOC7owhHWDaBMdqe69NKZWVkQTZhxQyIX+7bbHvVsNGYebkC33vpIwdqAmISix3OKqYZF7pm+bwYnL/SAxfEhm0lNzR/d4SI2Ehzf1CHnhs9A4oPIDGOEPn1OgiH/Jb7jnZ51LrxwJrwWzWZWK3CKMBjIkFKz0u6KV4iq2tpLre+ZkjArxf7/AARIW1Y4qJ7P4objla6zjzwSdh7CJJAdRWLk+/WvVxXsnCVMxAC9rAyTk3DgmPMNrZBW9csZc8e3SjlK5PimClZ5OVRcPHPJp0IytiRGFj8JCN8sxtkz7YVsueLVBGlSzjprORPqeNvVkCEGg6O9ynfjuTAMSkv/4M7X+PLQF0E47Jc40ZYtW/E7z4KAKaHiOOM6r8fbcdA0aM66Rkh8txTqffuztVBbHqB2rVz5GozzGoGorLFaLb3OjZKqE86GeTFuitTKla9a+bL4LwiXdVKrvSPJxiRxLIJ2FO5JrQmEorQ7oR/GAyneC73Uub6Ic0L2Ak+QsbNz5xlWS0lcEHZivMpUSi0YBImx4/r6UUCkf+7rQpcbdm3tNnC2H7DejefMMhXcsrayf0q7K14xam5o+C/r2+YGaaXYbWmBF65o1k5Al08zJtwt19lnu8kyZiUGnAtdBUsIYEda1d8Fh/eqFtxUFXJTARTCLBIpV4MHv+DOSeE8M/abawYwKytfd05BN5KxLsrmZqdSwBInsTTz5/a6DHtyVJ122vSsVEMYBqNGDXaJPpGuS4yaFDrDwGT0JZVQcQxqidUWnAFSGjINMjRC42Zrhr4SFptTCLgeOWxKo9quDokPBonVe3Bj2EstW/aQlZ7FfyJ7jz56F7xwvImvCpYYX4FgeOlw7D+SgUFN1wdFxkMnqPccO9OmjQL3E+O2cbalekXYOYQ1rgcY0KFbTidsBxFXmtQomK4aq6uhx9UIQiBpf0AolUZXvNwgxai5tfUEp6Zy+KFOcFQ4JmMs5MaoWeasx27Lra1zVeh2W8JN3bz5M1abTWJRJGZ67Byl9u/fG3JTAaUEpoEzWuwLMg8M7Szv4094TkGXZ+7hoadLksXJ5p0QxLgsKTk97RJL94NxEjE+MNceM6PaBYlYddaQWw/DINlahvRCZxgkMPo8fWLefBJE8k71L//y+ZDRZ4IljdchAZJGYOZjUURmZs1aCD3TY6Cys9aqorlBCtdJL0jjsTCfmFMDSc9JrcKVseNCP6nVo8rBnkq6ce1BH4WqHOZ84+Z67rknY8P4nD+hwDFPQpsrHjlb9HqiCe9h0Cfvl/TcG3qzKS4mFgnVwBQhna542ZZp08Zj0/sabFh+lOKrRKZWq69//ZqczlmP3VbcnGXlC9luy+SmRqN/cPtKc09xS5MEh1jcEHJTbQgJ8Xr66a+4MIu/MlVPjWclJUeqb3zjugSkkGXOn38G0hdhDYCrMUpRRBrK90mEYL9rKf0SVG+i6qSTrs6IK16RiA0Z8hG/atlW6v0V1zzHhAH3kcjRUEHrlTWJmMDcwzCQekmMqhU6w0DDH38Oo08SEmJuGiGKnACWNCaE0E0jMPOxKOHO8YTe2saX3CrKBsk55myQu+GZ5AM3TxavuJHTBsRzUisNiOkmkPgfdGkL+aRWtl/OfXDOEjAJRekLvVHE9EnKd9/9M0kNfMzNlQbRRUW/dGHhSPbsJCIkXPGIBFA6gjFVWhrVCPItt1yHhMRAw9b6erJjhyQ+NFL27++TNkN0QWCcs2BMSY7xTaXGw0PLoJydBSNzNsh2Wx5uauWTnt6Jv4ng0MqQm+qFytNPQ7Io0gmZrxKbDBjDA2BR0Qfqn//5Ll/iwZKsjFSq6V6XESHItRFnisvPOSEesDbtetxtrOy3bJu938ZizTg8sSlr9lsyX++++zm3XkIQSYwnfM69+Lvf/bGbr5CvzHHmaWcU+4OIMD0Pwps0QSAkQNIEyHwtRrjq+oReIFzai5JvZS9SY8dGYYBuqxH45slcorVxjIJnkxPdjWM4vrfF+CY2kHXrphekS0+2f+bMGVDPGOqe+8Cmy8bFa4dQLIHoviprGxc/ne+BmysNoouLRb8wSY0FubEf9+q1DZxI9zyB+Leo1lFTQ+xntvuoCpc0cmd/HLCSy8rmpE2tQ5gGjoEkubi+4UQQR3U5U+mROWvZbdkVFGRSYszZQrbbkm5xDI45JnzD6SCODSzPN09wEgUZbf5hpwAAQABJREFUnjBhgbvex0vPTAYM5y2nIfIcPjxBjRs3xHf9I/GeK9fZ7L2On4A+BbnfAqI/LEGSw3IyEWS+eg5sNYgy+eaWLacV5B4r7ROcSDuNYKI5ziSThssE2BlNzJqKnHw6SHFIgBR4bws31TGQbErW4Dq4RDyYM26qiIe1DUjj5a6bQEFkGEN8fqDlKm0rwvyFFNhPGzbsgKocsE0SiknDSdiwtsFV8pisbVxJq5JHD0RScfiwQYBwzJjIuxAfEuOx5ac/8QwQaRrH2cSJQyFp+K0kKUXCeLt9a49PGtOmW63DURFIyqF7F0asgkG41cvWlWfOykelOhIjPSinK2sQ1Akg4uODII5DAkSg4osMy0MzJgOGc4xz1vYAOGDAJvXhh/6H5bqueFNLLDPlite1t7TVne31QTfJvFZDsddWZtXeUubr3Xen5urfc095Qe6x7AOegF5dPUc7DWkuukd3i/7zZfTtUh999GG4z7pQSvtVSICkHaT5VWACN9VEZjz7YQSGtPU546YK1GgDcuedM5NzxSRjgcWyITtuV5O2rx8W0Gr19NOh+pUJIjFALS2d4yYTCabaVdJwrjriiJ/5ngFivrJixS8gBaEh+ndcwlgQbMYgjD/a+XDajDeFQ1daak/QpBy6SThLoSbnHDrP6com4HiNHSYopyvrppvrq8fZwQRIQOKBE9x7YYz17fu0CwTixSTgTLgRaeccM5D32toT4E2steN7FVUYaU/Ccm27kky54k16AnpCO2JQ7ylNanuGmmYseGy2zHXM/mIh22zRqcjAgX2A61wENT002BhXXoCfq3r12qW++MXLvMnhXVohEC6JaQVn/hbW1vZ3q3ImMiNcJb0IHYYqSlJl86w0jGJ5ntT60EOr3IVBFgiJUZNTTrml4HRUZUPWev+EtonIeKAfU3V1DVkzXPR8Oo9vCL/du3ka9UNuLakqRQLEZPgZ4whkA2xpGtp1YUuXuB9+uBcFvewSxu5XnKumpt+rp576S7vlOS/4XHAOiC1Qayu+J8GXQ7dabd68O2djQdRoaLfleAiTHUVirC18Xog65dZ6JQe8wpaBgeurSNjYZ46zgz1AOItzTiyyivkQyHAhtbF370G3OlSx4vw056gPglxU9B7UHU/1hSUZEdXVLGCXWy4JD6p3MVn6BpclJQOx3/w1rbYOwvBLOAGd7aAEh7FuU0vniCi81t0g89VjsyWwNuJCPmvrsstuxD5RD2bAfVY/yDqVANxmHGrZptassfGmhOdhQjogkBT86Sg8LCP3EBBuanHxDrcyJjLDRR/3XIwvueQzvou6+2JmrywpwEgge4YEJP6TWLwzZUAY/6ls3svG5evKU2ZpjjaubMKhq9/i2KENDc7ks4Ip+RCOKjdZwlA2W3W8Tpgx41jrnST/liteUjFXJMlhJTc3z9XctUceuT9lvlQPOQ6amqLY/AapaPmaVFnx7BSoh43x1YVv58W0PBapncduK75kPV8L125r6tSxqrX1WhC6BmZrqlCSp9N4Kmy2amE4HbrzlOFBdaBVqx5Txx9vq0zKfNVrnOSyY2e+WvcDBzb4ngHCpyx306aX1Jgx9ktSrl2UjiCxjFUsxlpxCQjj9M0fqvf84AdLwV2PdeAE9JHwgFWU1f1W5muQbbZmzJiGfm8Co+pGa0QkPbMnomKxNtXe3mAOq/C68xAQ1Kbzb4Zv5D0ETH3HtrLHUta3tfVttW/f1pzqO3LzoCej4uJlKetayCe1RqNPu20XQpHcOxq36vvh4N7E7cjuG4G94tg5cGAXxg4QwUbofxOREeRDpH5M49kCjDWis0EdddQ4dfvtNyEheaBaRUsLYb44eSY8SZcrXkoiI5Fhli0QOei+YS7aukrNmzc7Z3OWMHfP7rErqeGKa4lxWag2IB6pJekPILb+oUIfQFhRQWokDAIBwo8HM6rGWZ7x4rHb4rTjWHKWvPE4S2VfSvUrlnvoEDgRjTO85cqH7bim5lk4PdmcNgkiGRWDBvUDgrvQmrtx33NvzwbDbw0Okp2f1bkb9PlKCdATT6yG23WoF1QusLpD9gbekcfEYK9dffv2bndvsF4I/7sKgZAA6SrkesB7Hdd3nIQDCPsmPYwtW03lAkFPRp6TWmWESoyNqNBOajVVOSzF1FQQ/1AfFnnbbTYHJ1XWgD2jAWpb2260Gsg7A73nShBijjrgmpij6G88VEB4EGFqhwYs9/DhFhAFg6U0a7MSfXXbE9b+/b3T5orX+ZDZBgcJ41P7o07G3F14zu4RCZQdF/LZPSK1dLyVcVz5hggkWo0pkWbf1wo8kfNq48YtaOUIt6Uc4xzz9DLHIISsxHgQjTo3Vp64f5Zruc4eH/fEe5tuQ3TX/mOR90MJd60gQEqxjnMNyl4QFaznnwfMZS3xiZ97bnNBqkxSAuRILE0ppewNzpk9Q4GDHA4lllkYmoLWZeFT4SeyDQHPgsg1O2lvH6cJkAceeDjbVfR8jwvE7NnHQ0fzbHfj8eTADdpRaCpYRGS2bdsBDv6V4Mw8G99iz31JyUFwzua2izR7XgrIzeOPP2TbMYnoAw2XDTYBBjBCVGvUhAlHJjyJT6Be+fjxNRDJ73QfCe5glE+PVN3RK/cSovanxBaI36EOufG9Xr0qsqrC4TbeuhKExnN2D+vHtcbWdy/ks3sEHo63MpObKpIrwiIMvhAQxxEeF9cCL8M0xBzzSk3skDF/i6kS5/t1HkRH0XL6gqg4tbZebxUqczfhE7tVnz69s646KfWrq7vA3V/p54Jg4Hwl0wDwr68/vyDd8NKWjzZ6jY0PoqGpwmy1detu9eqrr6XKFD5LAwSSoqRpKDssIscQEA6dYxCXVN9xj9q5c2fOOXQiIg7qSa3OWQKCvCSMn2Ow+XKnCIMfBGpqatT/+T83AkZY1oTDVeOXk2kb4Mp4kvrTn36bLIOTznFJffUhQ2zCxqBvnExUv6nsnl65SYjGKu6zihaklogZr/kdjaTtUSecMDGnhKggNB4bEI5dCpSI2FDahLoW4tk9JrEYi+kOQWMRhJtKLr6jNhmBR53cEou6bnn2R1WpAwfIdvZRDzaRd0pDhLBVe7BPGfY2Pm0iYTN4MA2/Zvs8dZMqKs5M29k9HA/iPMJBcGXu8pOcDwx6qEyG6llb2lS/rILb//fdX6l2VIwf4WuDtVBPQheNkJaWBRawzDFmpdj/b0K9tTjnGiGeKhXoTUiAFGjHms3y5dB59B25+vCXH8HjJtCnSoXmJlAcBTgHI5lqN572Hx0ejOSBR+LNlClHQgoxFA/GWw9NJEBoNxsJoLStPfUr+YKlr44XGz9pIxHyxBt3R69cxoFzaKggLYLU8lMkQOpngMiarK6+eq7341m+I+ymTRuFutgeoMhJZZ3r7IoQ3vi1Rv4z7Wek2F/IWWQSi6rypynrUVS0SU2fPqnDYy1lYQX0kKpSe/bsQ4uMAS7akJy3pE0YqG1IwpZpuKipqUop+eNcPXy4CFL9J/mCFYjpNOFHuoQxQp8+T6fNBoTjQZxHtJS8ZH2A/2wat1Yi9w5Bug/S1JasS0BQAx30/hrDQsI6Uephw0NLQpD8/R/9q5WxgP5JIB511HlQuW3CenWf1TJzb5C1Vu8Nx4IAieTERXIBgbxDTQkJkA6Bqedl8nDohJvKZshaz8XdWRAr213UswEB1pnuOoPmJpAbJo0hncMiTc4MEU4n7AY3eWPWOWfO53vAxfvvb1Wf+cwY1BRLm8DR9v3vIgGnYRPaqP7nf1Z1uEVElg4eZEGjU77THb3yhHGQlNHbGy5d16qHH34qZV2y8ZBSkGHDqkGYAQknMhNfZyBfh5rXFpzaZAKx6JmnJuQnA/GZiIPPfmkmhteAACUV/fpxwGx14WFqRWmCw35ETOXwMfh7B3tE6tPDOSbJXDh8+BK3XO51lFySGLAJm4MHz0ubqhHHwznnzIRnK6wPIn3l1/k9IrWMdZgOwmebuummq3NCkHKPpZ3lgN7nWqpXrJNggXa8ZeumgnN1zzFBL4ktLUNVVIgNtl3wIQ5DBx/aCCZWcc4IRFYrKEGGXlDaG5h2csI5BlfC4fBt/Rk4jG07XBe+kJMF0awS6zxlyiicc3E+Fm17xdYcCeRy4gh0VNO3cZjfz9W1tHvvXnvDNDkzvKa0Sre/WJF7dcst1+Wqqnn/3X//969A/QIIMfWAhIPqjB2p/gF4WztOXX45xlkHA5Gl6dPHI/dpKd8oK5vTZbUOGQfOYZRCQCV8sQget2ry4jBKSkH+8Y8n1TXXbHLnaHx9MZULzXNdArFoIsue9k+D16ZDIdPAAxPrhmPnS1+ahxsbIySBwDkrUhArm/E/ENdF6uSTTzTSEi9FMldZuch6mERlsrn4i2mTzIl9QTT6d2+FEtaeBuxf0ZzZF3CNmT37OHi8vMBbT/MOdS40O0v2z4oVf4ON4C4Qg6vN1sZdT8d9LbyZVeUcH4qrWEHehgRIQXarUrJB6oPtuAhSz9M3bNcuC++++2e+T7OZyI3Ddetpr9zCOZIYmHghufUkR+qkk67WG2FZ2YMuuIUzwxRyV3FfWblNTZo0OlwYXSj5Xj311ItIBzu+fr6NFJeA60hiA4iOHlaTIc2oVyRWOho4n9at24rscfrqRDz74GcjoIMHv9hltQ5BYhoa/suqFsu0p4HmUnIO6PuY2rFjb7cOPLQ+kJ5/2kC88Qa8j8kclTrbcVFxkVq4EH1RQEHs6xwPWCax6NlV88O+Ll9BX1pqEx+NU12vdUkJEA6wiCors99J0SjLExZEEY1AtGU8xudH+qpVe9Liua7j9gXH46DE3Hmc5B7ruLpPMl85jwuNYeDpHxK5vY3BIHDQSTHsFf0wLh43MoSXmYKAZ6nM1EfCcrMPgQRualID9GMhKRmfV1x1uvV0xMKyeUiMETt69IjsAzRDXxREZtWqqWpvw8oUX6mCSkEUYuTjUuQJHxECkyZNgCpEFNyuf6hjxn4BqkpLYez6pPrNbx5R/UvOQo631JtvvtcpYBGhoStepY5w36vCJTczbmC22sWmTdEuITQkRLdubYRnnhZoMRk7ohCiVE0hoaPv+0MFqzRvjCSXLHlSvUdwyhyV6ttxNGbq1SBfAQRRwSoufstqjUkssrlk+Gh4ROC8YEBKm4UCAEeXm0A4HnXUJLxP6YYdqA4jY4ljnsG+Hzr0iA7B0jVEP996P8l/dySWZpF0gLFly/PqssvesJLN8SAZ0YbKyvfh3vUqqDwn9Y4huTMWU0110aLr3fIF1hJjjy00hoHHIyhbTvs0Bq5RbLesWWoS1tbQ/oOgyUYICZBsQDkH3xBuqq9HDo8B+i61evU/8oKbKjYgdOspG45fzOe0Ffnud3+cA8hm5pOOByx6fPENFA07q6RvjjDRgsB///dv4Q3ry+q3v/0PEBq/Up/73Gdh81GkPv/5uaq29v+BILlDfeUrn+8UuIjQ0BWvUjut90iLMEiXMIakKlaxpEuueEmI7tixCwTIDRDUvKSL9v8bjOS1aN/nc4rESN1Ep3zIEIPIMGHCjBBGnXzyzQU1Z0XC3LevoXJD4lDaTqNp3PfpUwvCNRKqYHEc+ARy5L/xjWvx5JB19gfXPyKEmtC2Y62bf5L2THTqqcd2SALM/qEhenHxchSSPAwZ0nWJpZTKOTBo0CnwdDYTB92tkWSrDTIedBvAp2gcC+2E3+Zs72Jdly5dqe67z2B2ydQ14lNOuaWg5qsw+vbvt9d9IbYYy5jTaRvArDqUc4+g7iAq7KuQACnA/uUiI9zUlpar3RbKok6urb0gUi2FXksfeeR+N1+OrkRqY7n1lJU7rjKwDSlEt55OKw86V3EX9dhM21c9iHspsLdUryLhEYl4xxHvmd4Z9SsCkYgSXfGOHo2bxv9MTiADe2pruwSb95hOSRWFo649YNFmi/PTN4yAndSkDnGBfV9Pc6KlU3682r79dJRs63nqjRy3EiP9rbeOTZvBb5qb0OniuL4uWfISCMZaSC3/4r7PocY2G0Ouvn4wkNPqTo0Ft8BgXD322PPgOk+wjKKdMRPf9rHquutOVMccMzn+ge89x+XYsYMwFy/zfS6JtbXndHtcErkVD1iHi1+Woq1xEDcelNoM6WxRzsaDd4+1qyrjVWLUuZD2WIvo+isYQ73A4HnaajSJrTq7/dxzHXxoIpzBdEzKZr8dRt2AQEiAdAN4mXqV6h7dCR5uauUX2ynqfaj1HJsX3FRfGxBKa7hYMCbhhB2+UGxAuDDef/9SGCU2wS2jzX4ydcmp4sOgN+XxWDzBSg5DziBArmptLXWt/l/KOnTFFa9w1B1PaMKNTPjSeHDoGvKGoy5z9vTTXwHCZVdaEBknjio+p30X8/f08MILL6sNGzZiLFwBz0rGnBTkWWI1Dk39AARIaUG0O1P9duyxU7AHAY71E1N8Yg8k9e90mHFADYA1a94H0+Yxt0yup/RPQWTTZvQ0Nv5eH0534YUL3HydvErqAYvjgDwjZzyMgYOMiNq8+b9zNh5kvs6d+6bbSqmfxHhSKHssG0l8yD3o9wm33b5XPJx2eM76x7dKBZwYEiDd69xpeP0Z/Hbgx913Dn7x4UQkvIhfLX5wwaCW4zcKv6Rh/fr1SZ915IGHm0p8SU5tTnh5Krg/k/PORaS2ARHkhZuF0GOEMNILxQaE3KhZs06GBGo8WOy2BIq6w5rQQnwAPxrLMQ06CePHj84bzjdrFLTA/ho5khTi5Smb3hWuqqgIOEbNoqPML4n9lkYQ3le7du3NOxWBFSseVEXwna8D5ymDHTP96acfstIK4P+UU07QUmOqwmm3w3QS4RtmQAJXDJemVJ8MQzIIUBq5fftePMagjwEl0R4QabtxvO0B0JKs1dc3JCsiIZ1Gx0OH9vdKQIYj22Y7q41sHz58sRo4sE+3NAASmAdSGxFYS6xOgVrY4ZwyD8j0ovry889vcSV1Uj+JMY2fe25zwahgJeBDSefrZ9W4ccPzDh+S4VSIcUiAdK9XiRqSoDgPP4N/4BTKHXkFfrRMG4TfWPxoYvc7/JKGF19cnfRZRx4kLIhU6fANR+cVN5VVtMSlK1V56TCL8IBqsCdgj/rWf/6vgvBTzn7asGGHGjEC3D8SihI0wWHfcIYe/iTc725TV111dsiZERjlICZX9d13yWvglLeDEAoSI7mpqXNcVVNFoK3t71bBZKyLisB+XJMQ1yqUE2FXUJI3hKggNNQZj7YJVmc1QTyDMb2QdMrpAKBXL0pyNlkNZd/4hl0gVCLaYYDv4zDRgcCUKRM0sabqT1cjqz8LhxEL8LtdjRp8Dsb9qXhWpI4+eryTv70LGnlff/0FULv5CdbWo6y9ZFvcW0REoSEwYsQxYAQt7LJdBiVi69a9pz766FzrA+RRSOBu74RtcH9bp154YaWTku0LUcGqq7vAH2NhhTCN6+vP77ZqWrbblux7Yg/ruEg291fPSxvUxo0788Ie1lOtAr4JCZDudS5FFb/Aj1gDiY34QKHvEfgtwo9LEdHp3+B3HH5Jw3PPvZL0WUceJHBTzQVRuBy6oPzjploL5EjVfOBbKRfIQvBTntBPSTu3AhtCQ95xvZNWt0AfkKtaWkq2PlRvJAjbQWKkNzfP7RRX1asi8JCUbMUJq8prOLytT94QooLQWHZbdtUFFkZcSDrlwlEtLz/SajARGmkrjc8Z9H09PLKNzRti0apYfv5PmzZZXXzxp+A44kacSbVcO4yg04iPPnoGaTerz352QoftP6SFHJsTJ1LscbPbP/LQiLu7lyxf/iAO48Q2X/lPVqkyHoT4YKzHQ7kaPnyEWrYsbo4bdcn0pahgff/7MmClbkaMy+9/XxWMyuSMGdMgCWvC/nkNWoZg4kMeDPhYELpKzZhxrJUv/M84BDzoaMa/FrwPkHf5U/y4Mt2KXzl+1+BnsFBxFxf+/OcVmmMoyXV1W9X8+Z+Ct5CvSlKHYoebKgsi3+JiSG6tXn8mwvaAwpn8CVwg6af8iScedDSvnM1d1kwsEvRTvnDhXflT8S7UZOXK10BY0PXqGuttLozsK5/Q1tamVq581edJmJQtCJCrettt1wAh+q36YLv9Vao31uHXBz+qzIExfsopz6hXXnkeNx0LnnFg2n+R+OCYd4gQ8i0aoCYwsGMFZyGXSFvLyj4El9meoGLmITEaUVb2OM5HKdLqJ51dx7LQjA5/4swz56s///kjcNaHquaix933tHQKt9QSokSkjpTIWCA0u/OGWESF8jYkcwpBpxFXXXWx/nW28hybBw5Qn/XRlK9aNltNXRqb5nhQh2WDwudkPPDLejzU4KJa9e+/Jy/sLXmgbapQVWUelJEqZ34/Y/+8/PJG4DlYqCsXWJoGJj5EflIxfrrrdmsXvLffflN+N6qAaueh/wqoXd1tyiIUwKFJRQjG8b8XkdbRsAwZz8CPZm978BuL39fwSxEOqVdxuvCrq8dAtHtip0ShpjqHUlvdb3BBFESGSJNeIDcAMSjNKw4d63/zzT9Ro0YNdusuo1RiPPn1r1f1eB1Vh3Mmh56YC6O03t7TKirKc8o5k+oEPS4ujuIQQwMKgmTL3MKjzZup999xN5buOMBOSAZBmV2+4DMSY6fs27dvXo0DkYDU1Z1vACXx8uDB8zq1jiWWkB8pwk09dOhCC5npl6xe1MpdA2TTZLcmyxumZwICdOayZ88+FG3sJT4f2rxZdensHhYlB9wdOnSRhS0YqpjeTx0HovVNdf75Z3uTs3wnKpO33QaiTNYsWV8kRvqttz7S4/dXgpb9M2hQP0hAFnrVnE18iLRY/XQQH1uBe1wdMgyyPCbDzyVCgEOSLItkP/I04gOJlDlxiVRaJQvmevy4NBFd+Q/83revEXkCtzMuA2AZ4lesYoMHnxE7+uh5se98514cONx+aGhoiM2b97VYv35LYviaVVZfxBH7mjHv1cRYVdUJMebPp5BQ/yrUlbBgnRnzHu2qqlqs25lv9e8KLFXviNtP0v+MdT8hVmfELrnkhq4UHb6TZgj827/dFausPDmmyvvGVC97PJp9ZY/P4uLPYw7OjDF/R8Pll99sjYMBZt+z/+X+itjDDz/e0eKymu/++7neYBzb7ddjl+uPvo/EHnhgSVbrk6mPyfpUXDzX6henb+x+cu7PiPXvn3/ra6bgko/lsq/Gjfs0+ukhay/kWHT6R8amwnxe1O29pL25W1x8fOxb3/pxzsEk47dfv8XufE3YXyPYXx/uNkxy3lijAk7/FKHfffGhOR3aY5999tnYzTffrH833nijrAFJ2RAYb2FIAQGDp5wiV/AeUZBem+JHpYuOhGnIxLIexI++nGhqfBd+4/Cbip9/oPST5Ao4oU1NX+wU51BUImpqlllfq7I/wanCIDGwp9LSXjn1yGFVyPvvq6PqzaLverqOKkXDFRWnwKB4LjoZnULlPN8wCanb1Ouvv+37NEzMPgSiUfAamj/jziXhJEqMKuk8HayacCU/+sienKYkjKwQBv1oj/ryl+/osrGsVVBm/hcuhF2MtF/WGImRfv31ht1MZqqQlVJlfR050v6cKeDwrLUH1aFDzXm3vmYFSHnyEfbVxx/XQWr4pFsjShe5e/fBjyqTCIMHd+0wQs7b3r2PhqOBE3G43warMHPuinQU86CtbaL61rf+S511Vm7ngeyvlhtemaBW1d3/wnB179s/1XYrpekSA91bvvyldvvn7LPPxpy+W/++973vuSALr7oEgZAA6RLYPC8RdaRSEwPJBt5Tq5BhNX5c8r6EH9OY7xb8uAS+i59/4EZOc3WEzp4pkGDYbC+yVmnm/1GwPziYt4bNHh1VGaUSoxk9XUc1QXRP+ZlvOF5VV/fFIXiP+z4NE7MLAZ6IPnx4f3yUWpV2kE1MYiRXVJypzj57hmL+VIGE6B13LFbr129Rr73xWzerVpHErdgU6Ps+QGTacnaImVu5xKslS4DkxQwAmFmQ/rvfPWWm9MhrIjRyAKHjLpkIJ2XcDFxryTxiGvT9KyrK8rKvWLsgBKoHjh1bhX1Od4jVZCEKhFhG6qZNURwq2aYWL17ZKeKe5Z911mwM+zIVLX/GBanMXbIbqSuh7/dABSvaLXe/7ge6f+VxdU9LYCqbE1NhDNgUgqt73/7hUJBliu1l0PeToXoVyZv+sSpW+P8GSlf4jc1AC0ejTC4zJBc4jLkKEWX4Bn4Mm/GDcqj6In678duG3+n4UUE4KWmAZ1bABPnw46VdWhyj0detMkwOHRXKGPSE2w3ig0KZ/Arc5Omn3KOjGl9FLJA9XUf1/vsfBhFVDQJzkdU6U4gr5Kt+sgv91KYeeODheCiE9zmAgC9XVZAZiVGvIUM6xlX16CjH9qZo0TQcqrYeuuov5pWOsjtfH3HrTjgQkRHEBpe33vr7Hq9Tbnorayu71m2vgd9qcy7cFxVtVtOnT8qrvnIrHIwrcvsvueRMzJt9VoNlu+O4ZGCMPTZWsRjG6r1xWN0unNMhmXSOlH9cC95/f7caM2ao174g4a0IiI8tcPc7JOcG6DJfn312o1tLIuKEBectbdAQ+Jz78He/+2MroQf+e/qnyWiAEIictw6B+B6kWZU57x+jloG4DAmQ7nXzJrxOGBJlNH/fNor9E65PxY/oP8mB2fj9Gb8OhiZwPS/BYjCmQ9w0cRFZWmqvspxklLcwUKnMmXARIEkD8soAnVX0GLXGbExcEDuJkV5f37ONWkVSdeDAF9hsi2uqCUNcy4ag7yNQ5ajPW0mVrnuA/jg+zzuP5xJc6baa/USEm+pSjBFqa8/ukOqkhxDlXBUOLQuR8c5rNRAqkyV5R4jKfOXhi47gl+OXqyLrzzZhady3r2PwYO58DbK2lpS8bSGc9hKr6+vpqwvBQR4SHmiWBx1JCeQJJxyJ/vqRzXjzr1RZ2ZwOSSzNt2UNd6Rh5kPPeKDaVbG6+mryHXMbZL5abrOBDJDg4Pql56ldN+hxvPbWsg6tX7ltTeqvS1tray+12mijE/qtuP4ZODAGr5rzUxcYPk07BLhNhCHPIdBRNSxyN0aPnoNTh19Tra0vt9MqHorUmHc6yl4dVRubS2hJm5o7960e66ec/bR06V/BFesFWwFbUsU2CmeGMjUiovr+MLioFXlHKLK6QQzkqr3zzmZ4j1vuNr8Kl5R7cjW1+7Cs7DGd7+67f+bm87kSJMYhRIUA4QZJxMDZKFvBnW3LO0JU5uvpp7+CukKP0OagehCasjZV1OeWHjtfpdsSDjSTtib01Xb14YfbwwPNBHA5jDk+hw+vAcPg924tpN8kxpO77y7v9Pj0EKQsXbQNEsbDWrjfrcqLNVzmq7YBabzQ8u/JumtmlxvH1JZOw4PF5FOQ+drY+KBVLWrOMiT0zyp4S2tQr776mvU8/M8aBEICJGug7vqHOuomkBT/zJkzgKQMhU7qmpQfjEQ+oc45Z2aHpCopC8rQQ+qoFpfYLIu4xZHpPVlHlf00depYEInXQvx/X0oIHnfcACyOr4aqHCmhlL2H7DuKFPfsOWh91EBinFpAArD74AudUp10CFGWx/HOHw1l5RrmZb17k9LJz7BixYPqjVfedBGZuGrWH7LVYOLSe9KtuOBNONAsbn0i9yASKQkPNMuDzqWN1VNPrYKdhmCf/pX6+teXaqcgnTESFwS3rc3eaylFkPnKrUuuVX+oeEXziiDl/nrnnae4wIgbwzx/pacHsbN05qvZP7TUdfpnhBo6dGho/5GDDg8JkBwAvbOf7KhHHXJnN2zYAV1TSA7IRacqhG+4EKcpvwXR9Ni8Q2xNHdW2Ntsy2470aSxoD9N7so6qbFyaM8N+ouGqb5in1q5dn1cbl281A5RIDiL1youKoM/YCLUj2bhlv5a46EC7euWmJCxWahuxsjyRhDGGloR1PwhzNr/O7JFulzl7ySU/dOEhDyVGuzpzNoq8lk/xN795E07rPgN9/5RVLUFopJLCWVXD1UknTVHhgWYCmNzFREKrqohtXp6yEjzXZeDAPh1GQjnmt27lIbItqvX/s/cmcFZUZ97wub3TLE03+y4YVhEQFEVFNg24f6BxSwyimejPJO9EZ6Lz5otv3vkyJs4kg8bEfRIlUaMsogQdozFGjCtqRkARF/ZNaBqabuimm+77/f9V9dTSd6GBXqrufc7vd+tUnVO36pzn1HPOs598n0W14C6V9y7uDgQDtL/Zz07b0BaotOedFebhh1f6NKzBB8cb45HHVzFvLS72Cfmc4D6WhtYdn76mpmZ/6MxbgyOSmVfKgIRxXDmJ+cIENjeiTsDmkf3y23UG+nnAcri69VZKc8OVpA+2jWqKzzOegw0aJ0TWRjVBkiqTYsJQrMMCF1dJagJc2reAduUjRvRHI2Z7BLcwIpKj9kh25X6nZhNbn6ZTp6PubTN0aL/QCQzYaBtnB8DsaHJKgoaM2tFuqpoGIG1eRaLttNPmIghAo2ksWuq9XwhOlnDOxnWHDqsRXrswlGPlNTw7zkiE5uYWmsJCnwkWCU/6RTJ3QsF06rTYCgrS3GAfxN0dO3ZhfkaUuzqRkKWC6VswA+sZGgfnAL765qtA6zMAXyVi3cE6n/MH++sKCqTHG8yhQ3WhtQaRVmZinoLCy8SuRqxPIklFszt1Wm4tfFwA00WlCEjW2V2xSeV5YKTjmDz3hFKyHrBRFY9etj+Qou0Dkp+fb4qLaezvEDL+cSryd3QUzAIK4XwMr0BNoYEANY2VlYfQnsVp29TcSFiW+RUfl1ITVgWpe6GZMmVC2ve1VyVx9tJLJ4PQAzxSETSYz+66KxZZu/JXXnkdDNRnsBMfZfv7iK9OAtAnQ5paD4m3P+xOwk1a0EYQILHds2cBCEyfPyEZD1lfnby6uutRmUwG/D/46JRT9FVgXE/Ft/NiG/X4yK8J4Guq2zMAX9etW49gIAgAkPeu10uOtzAhVuk0HPeY008fqgIDD0ptdhYgS9vsrfqi5kOA9uTVS7APRDmYkJ0pwwT6VcL19XPt5/tNBCikEbtU7InIiDpPP31/89vRxncG4pQ7i4R/0YiqD4it/rYd0I0Z4I2TCNFIt5AJsa7LMU65oXBebOPhD/XrGL66vJw+DT3TtrO5vlvuQ1ISMWOgTUlZ6f69vU74Td9yy6/MwIG9PMIuSWMWLFgZ2dCekyaNBxPITq2ye0aGMWmiSiQX5ivhZBaTNjmDC0lsr1z5DCI+Irxuw2h7O2AJms9+kxilqXKHJ44q2qQEo+jTZxOfYow/jLpd4hx3YY+f9aEK9pIUX4USlBytjzK+LlnykOnbtzt6AXzlmkqmk0kEJJJDBdapUxezePHDdr0e2xQCvs+tTd+rLztKCBzJnIOSnjFjvgIu/p8wmV7vPV3Me0jEM0yoZTLQ1zz44I9CoxL2GmsMJ0fGHw/EKedXSoKcNJhDqEfVByRgdtPhPq/rjKLExHFiPw90A8GzSfcSIExClmiCNXbsELRqitcySsSr8KMZjmPWcSScFSmqy1y4iyKeIQSNVbYbuNoltIwo556pU08xu3fP9BZ4dCGQ0I8om2CJ/0du7ja7W6W+3gV4wxHY/K6X+n/4wNPep2RCtm37C0ylsJD4caxJw45GQyfR69wQvH5hn2jHrHdVmtraw6GKXpcN+Cr+H2VljsDAoRuaDDkuh0PIF1P/j0TAtEkJSTtNYYWASP7RviOZcwTMr+jYLA7oMuFKboai8kMQ+j7iN0T95+Q4atRAhDA9FYuFAwD2hVoBXnKfKJRH2QcEPbDD73KcAoQm+8ZaJkQBy+0QWrMbu43ZeaT0c8OGXaZz5+c8AAi++XC2U6c/pgzFy+g8paXTsVfEemyk/Tv7OVwkycQw7cePUjtLYBAHAVMTWhMBEngLF/7C/Oxn7sfLHiSkn/3MRNYESyTeAxylpeVfJ93lmHP8revPQezuDpXEO2EgsqyAuJafTz+qvl7PZQ8XyVFzLJGwGho+8J5p4SouOa+7uDsM5njN39zQe1jrnSXFV/mWJcfro4yvwiC64c39QgIJiGb1dR3MaQ+EikFsvZEP35OVAQnfmHgt8k0G3OiLhHkqx3Ev5Nw8+/+UyCRNE0D4dIFa2udImfS+9imUydGKU84m0L6WC7xoclhWEDclvf41ksRMgtSbhGbSNBLRzMpCK/VO2uQsKSSTTLvyqira4eDjFPpCiBnmIEh3Vz+T0q5c8PXAgUtx8540kJsBf6Fd5vrrr0hzTziqSkqoYnWSSBwlR3FJSUonF/lXKHNqZcWhdeOXz3htFIKTcy0DLVnXZ6CfXVLO096f9aytIEBcy8vjQnKl90pZWyVHTXMjYfF7kH2cjF+LzadzrQqkdZb55O23fydQGoaLAL4KHCRHA6OMrzI+DQU32KDm8ItwZx/OXQZxBELwdtd11oZSmx+VAWlzkB/bC0tLX0wpTeUTPZXjo/YLuvneExjlTyBZLwi9ypE+ID/54X/aJlecFIWQcfIt2z/3dTA6pyJJdW2H/eNU4vTDWgQ+R5hHlaSGcWTJJLt25TW/9mmtElvb0HAZTApPSCBIr7jiOzBZqoaZHf6f1gE9DqfmBvP++z5Ja+Jr2rVEzCZvv31hEgLMaRoIs9tuezqSPiB0QHcdWindppld0nQ5Sv8bfewbWm1V0mZneCHXxh496K/1pNdTWRMlR023bsuaFQkrYEbL70FMrsh8cO52mZDJ2A+m2vzgB9eG6ntIiq/WmuO0Hxn7kBH4mtJXi52cit9b0I7FQzU+bFm2JB/6ZUuXI9BPStPIrfvsyTdtakzrhC4qx337rrU76LdJ5eTiOqCPNPv27Qm1ytGWMK0wjz32YUriLopxytmvX/7yCZiPfW42bpzljZNMkvQdoJDY0l6VYqPCcNkO2w3WIyFAJuTnP/9HnD3iAUQ2JZQcNansykUD0tj4Xfv/fhMB74k4iyGEaLgDRojZZFXVRSnxlYRZdfWFabW4gW6H6CLg0Mp2WZqOZA3cC0l7njq0JgNNO5bx++ReIPn5iIgkqSnBjfIa7AyezspA/ipa7Ly8NXYRmRCmhGceMCNHDg2ddD0pvjZte6bgKwWWopm2Bsl/yAW+FkK44zOl9VfreatDQBmQVgfxMbyADAilKPLDaTweB7L0sFS/TUPx2gS7HVmpsXG590JZKDm5uA7o4VUJS8PtCTLz9hUgk3gIzEZj42BQsN+W7gb3ayFGWgzIQUjkuoZu8fIand1ntCufO/dOAKG3BwhhIiRHTSq78gSNpRAAfFrALygOwik/1BpL0eoVFCzxYCGLvuSoKShYmlaL6/05XGfeWDkOrX6tpc/qjNEHcnJyQz1W4YJs27SGwoKPPnrOnHfeO94LKZCjkI++hRT8IE2b9mazzHrF37Kx0dFK+r8HH+4b+FuuW7cldOHuE8yc7e4nHOfMWd0seCT8sR0LSAuNGHGh2bOnFrj4lt0SsSxIaNc2lCi+JoClDQuUAWlDYB/Vq4QgYU4Hxw5PpgwTGFAJd3g27WtycmpCpxJu2mBOkJm4r8CKFe/AzpjcBYhWkZo17bx1fQqOO83JJ/dX1XBS+LR/ITUYnTqRur7SawztjJkkx2kyu3IukuJTUFHtOAFRUkeCiCnggG7vBZPK98v+Q/seAxJVaQqFJ0yS43T//uhpQPxj5Tq0EoVljBm9jiY41nURdqtX/w9AI1SJY8jIinv2+LhFMh5Mvu+zvLxjs0wERXtZXz/Pfga/B1mv6Q/G9dq6Zhj1eOjC3Qs8Xn55i9d/ERRIDri89NLmZsHDBkI4jpyLJk+eCAuPPqax8D27Uf7xkXG3xmccohkOTzCPDUdPsqMVyoBEaJzLypL7gZCwra6uAYPyvheBI2m/roT0/bBZseLtpLVhKeQEmbCvQBMfELY1SnHK2acdO+rhf0PRG3/pUhnuy1dTjnQgauc6SsU7d2Y4FZ9deZJvNJldeUBgEPt7mp6cCynen8zNN88JNSMqEtW775bVPXmX7rmnKHISVf9YuQ6t7J6EzeY5iTbrup8555yTQj1WbG62JRKl5O7feccxmZKgEX5AgGl4Z9U9KYNGyK3UfPbte6HZu7czGA2aYDpJrA146QYkiGOOKAhduHtPYHCxxzhJPyQHgV5dfVGzTNLkL2HIqZ16/vl34XuzKyjkk/GRPUGq+6O5u8zmzZsUX9tx4JQBaUfgH+2rU21s5tkoO4StSFITXrAGZhAFoSdsOUFm2r4C7JO3T8uv7JHxq+59YxWL7TH/8i/fCt3C5Wti1p9yPBPsyqnqB11i/RyzjmR25QEb8rQO6NutbyCMEXSSfQA33QQb+5hPpOy/CeU33oj6iKXAWFFrSb+8pOky7P+xEwTbqKS1Wth+ECCDzKARnToh/FEN5l7RViRpUqqgEXLrxIljIOirtTSbJuYguVQG8nNxtQXmQEMCpWG4EIFBIGw2KUHxl3AEKVEMw5ugnUpJ4Z4JxiMa0QXD8M20VhtSDk9rvVCf20wIyDouOf7W2Cgi1uAzysrK4KD+srnySkd/6idsAwvmyea3v/230BO2SSfIYJetqyhNkGInX1a22I56xB74VcPSPyyO8fgI2A5/KiWahxAC/EavvnoGHMR/47WuH053OJcO3hYUPAvcbDSnnTbXiO/W6NHnQgjwV/gDvWvfHLAb9x5nzFhrx3XeH4X05JPP8eO1m8qpiKfMre88bv7wh2V2XYSOCfb+IklN6MM+7A2zw7z9ts/PIOEeLWgvCHAca2uJlMvTNqG29imzbNkbKf026I9VXEybu6VHiF7XaGmxp0+fmPZ97VkZCMNLszH+CCInKEoUw/AGogsSuKU8JEt7EHSgLtTRBZO1OtPKlAEJ64iKlEZytLOo6Fwzc+ZESMdvTtrqP/zhP+1yLvgSiYcLZrF9XVCwzyxd+rJ9T4iPYqM6f/5LXivlS5UcNaynba8Qdt7N4TujKceWLVvxu9CTNLGZfoKG0vPqqTjsMmvWfIFcU5ghQC3IrFlnQKr6E3svEPo0Cr4yx4K+79BzCTuAr1ixELjMlZ6mIUjyH54HHNDXQaEQg8nkQtaEOonZpGtUzm+Z8xCT5R8RQ1jPp8ykSbdGBmfZdJF419dfx0vEarUz68h51U17cRbD/WPdEj0JDwQoGS8u5uLh08I5QgK/H8ihQ3MQsrdTSr8N0YgVFp5ody6l8GCfGTZscGiDiLj4GoPVhIWf6I74JRJMmLtuuPk7kcJX9mnr1hoIauvxc2gkS/hhD5XFYPHUmm/3wrw1J+U4O//QrJUh4CPnWvlN+vijgwClEUQeajCchfyqqz5JakMtBPvQoZe76787mfCttMyq5cZCNSBmVoeeYCdhx3CIW7dOYetTpi1bpkTORrWx8e92f0pSdSsGonMLdr7vnuoGLQ8JBKjVeuWV99Ca14JMRJP2+X23iKszZ94C58fJcF6+zr6Tis0q508BB/Sh5rLLppl+/ahaCXcizg4e3BPEDAhw2tj7Nw5l07F56LaKpQnMWJh7xbF69tn34FgOrqPwf9lN5VwsBBv9PlwH9GEYp57mRz/6fpi7lLVto5XAvn1vma5dH/ZgQEKUeMfQ504siKuvXmVZE/D+ZEk02e4+TimFB8PNzp1fQkj2SLLHtHsZ8XXq1HHwXfq1LRDz98NpXW3dtkjhK/vkmTlf78FYhHzEXUvIx6qh5r/+619Dbw3idSIzz5QBCeu4cmGjKpRSGkcy8dJLmxKkh3SK+8lPnjCffLLFfLH9mWBvyMRIwgSze3cHELcNoY/6ICZY8+fTm89JSaRVd99dmJQhk7+EMWc4ZStxMpRJX3x3rWuiZMxMmTLBvk+PoYVAfX09IjuR0gbhLUkkopKj3O+7Jcz1xx+fZuM18VySfOPW9WwQ9F/ChnyY1IY6J85ecMFZ5ptXwVxMvmvpj+SY0FLtixLGznGsRo8ejP14bkCfhENES8l4SJ9oYnZwMkJmf2rmzZutDq1hHEi0icxkScmpYEKEe0QhhUAcP44lhXRIy5ZthKbkItOr14wEQZ1/rd241UHwlMKDg6aq6mBo11riKyNN5ufTbNLue8IRcIkSvoq5ZE3NQ/bcKjia0LHBKPkffBP3JdRoQdtCQBmQtoV3895GCWLTBGZiW8XiBIk/Vcs9e3aBY9xNNtIJzU7mg6PrMiFnQ+W4HhKNP0ZmkQzYqMokKTm6FhUbVS5+ixbZ+7RgFL2RFckMtFOeZGYvxqcotKp7r/F6RlPICROGAhBTPWAI7kqOmoKC6a7ppEhQLV8g/kvMHrhY8tt2F81K+H/sh6mWQxnx3pCnH//4u4hM93OvlWIGKjnmo5tuutqrD/lZYKwoMBBmMWGs8k0d6qM0ViEHfYs3j8xkv359IIBj9CMkH37aBThirTzQuBQM52xz9tljEpgHmuM1NtZhrb0RjMvT7t8ST76KotUwOfxGqNfazz/fah59FH2ROUdcTCWPGL4mOKD7aIXgGJ0BJrMAgQmWBov1qs0hQBJVU9ggkBJxDpsXXlhvSkunY1Ml25bV2yTrUbsXJGaZOKlwInEml7y83VggO0ZikywS7PTtuP122L7L5ChfquQov+22pxM0Qux62NIrr7wOp/L1pqICY3aEfVroFHL66UNDvXCFDb7t1R5K3N5//3M4mz7rNYGCUTKWNJ10GMyGhmdcx9aALxD/BeseKxHn+V8X9/fCabYe8exJ+YY/Cc7Sx8NNgruSo29R8gFJGCthQGSMJDf7MFaHIzNW7vhk0Qkl/mvXLjfnnw/TqhqYCcrYCXMsOWBy773FZsmSuxPm4Dvu+L6ZPXsG8P2PlkmzJTQSGMo3bl1XIOJWl1Cb49lCsRXm179e4cFCGA9fHiV8TXBAl7lVxsjN/8ccPFiPoD2On4hbridtDQEh59r6vfq+Y4RA043NZJF0N8kSpOMEy4nEmWgbGmZhJ/WiSCySYqZSVXWR2/4EcKFf1dXR2Nhs0qTx0D6xB6tsiTdtjpOmyyChywWRNiFprRaGCwKUuPXp0xUS0Su8hhXjlDhHgsSx9qirm53g2Or6AvlN8SiVpcbSwtkRVrhPXEUiCc5+/PGpqduLfq1dOyFBi5v6D+1bIw7HeXlr7IaQSLXGBjkZTCbrerjp379MtZY2REJ7JNH91lufon1907Yx1f5SohEbMMD5uxDqxHV+By4T0gXzeE5o/T/Y+kzEV9GANDZ+h110ou/Zp+7Rwtdx0IDkqwO6C5T2O1EGpP1gf0xv9m9sRpvUu+5ahJjkcRAr79vPE4KGuSyYQLp4/COEDDWRWCTFB2TOnA89GFkTBy4lx+mcOasj4QPihW7cZPeHbgNJEyNzQBCOUI+awg8BOqreeOPFwKv77caKWYcQIszJUHS4HgTqyWbAgMmwO/6Dha/xuM8W3dGUWA+hCaV1vRY4mxMJfGW7hTgrKFhidcM6yOoiOQoLCpaajz/eHGrijG0nsTpo0HSzfPk73tzKuYdjw3Fl7jq0fmY2bdoZ+j6hxVmdPJ+t5A7mApyVK8vhiL4TZnWC0HaNCPusSIYskmma30VX/Jhb61M9QmyHWyOWdI1F85umqKyxxNdzzrnJmmdN8TyvG/651cLXYVhj34XZejd1QPeg1G5nvqWh3dqgLz4KCBw+fLkrQQzYpHZwiCA+y490dHC2rrtBsj4iQa18FK9u81tPOGGAieU61Bwne64HzOGcz/JBg/q3eZuO9oW2qtv2/4jFBtp/Fy0Vr8QB3aqJYXwKI0N02p3J7iMliWPHDoZm626PObaIEMBFcpyuXXsqGJDeWPwaQdDC7rrDA0cA3AHzv//33Mjgq0hULa1lmp7t3x8NrSXNJsvLD2KvgB7mcP7fvB5xOuK4OtOSXdEf5q0FCT4D3p/0LAwQoM/WnDlToJ2YkrY5fp8tudE/jzfk/cAupgyhyrljH3KXIR0AjWdJqOdx9odmzi+/vMX7lsXdTHJ84y+9tDkSZs7CHK5cOdoOEsEAA0lTJ5jQdTRz516YtFYL2xYCyoC0LbyP7m2yyEmOf/tDenqSdThT0ZmVE2DSdAUInw8QsUc8XpPeFJpCmRxffHG9iTc6VBztr6nRISyQs5z1Yd8HxO//ES/6tQ1jaqdEfU+fHTIhjexYHIRMTmSITjQ46xMl/2vXbgUclqeFBfF2z55qLH5c3R18TfmPwdAUdIiUJkwkqnff7eOoZd6SHP29556iSGgtaTaZm8vlEUKOOkFWXApTKbkl+v7YfP/7Vynepvyew1FBXH3zzY+gWVyUtkGdOi1P0NL553ETrwj+3/d9GzMddW8Cd+Oh/h48gcHFid+0fNvIq6svcgWewU6H62rFinfQ1hpPW1mZqn3DYYbO7QjeTnWDlrchBJQBaUNgH/WraGdMCQtzB6EqKma6E4LYKLubIok0JuFFH1nqxhde+G1CTRgLZHK07MkbTrY1HyTa/QmakLdX3ePCwl8VpvMlSx4yfft2R5Mc/w+RzPjNsKjVOfA1LFi7zA03XBmm5mtbjgABmnXYphq90t7JULyVldXWRuGuJizlP06HwCBlZagrbroJgRaEIBO6XXKU33gj6iOQPIfjbbYvD3FUkvTPup6JXe7HhNrhWJqd7Tlxtbx8L8DQ0wMF/fEO4sc11pmTy8uLEkywAvM41yIJSMBvgQS7+01UgXEtQnAKhLcNcRKBwc9+JtxG8sb+7GcmEgIDb3wc9Y3fykAs7qyufgjmsMAsXvxw8g5raZtCIKLLXJvCqP1elkSj0dj4B0yOjVj05hpG4aE9tbspkh/pOKG66WRLohrWTZHcZjonMjlaPiCHsFmSzJGiGpYcFQsX/iLUkib6CWzZ8jIibjDgPFJKycwec9JJX4FPz232fXqMBARo1tGvHw3Ap6ZtL51Si4q6IjqOcKC43Y+vgX/vRsCI6Ph/sOmitRw6dJ6Hr0KUSQ48HjZsXui1luyP+LS4DseyQz37wvlI+mR2Yw+m9er/QaCFPBFXL7lkMvDwPK+l9LmiKRXHkxp2pKKic92w2XYJLQ+azOPCgMja5OQFBY3mjjtujox/QSaEuufcI/4fZWUQ9DGRSRSBLBVWpKUsU/SxZty4EyMzPmh1RidlQMI4vA2Q0NDfwS8lZzvh0Fp1+HnEry43n3221nz44XazY0eF2bh9g90LIp0ziVrIxog81nUFNkWqjJSNMieV559/zwwcKB2yu9j0GOYwgexDz56TEHFjMpxZN9pN9xOdAcnMfvPBB58kbH7VtL96HS4IkFDdubPKdO6cXuLZt28dbM/jcED/hgmY4gkBI91yrmfMOD3UjLU0V3LRWm7dOkWKPCLdJdYNmPEpoddaEm+ffPI1e2790tnclXMrx4Y/Ep9yDkqnENE9br31H1CoKcwQoGBrzJiBYDB+g/GDQIhrrC8WhNV2rLE1sXmukO/OO++1mOuEeZzLEr8BJhH24bqubiiY19+Ffh7nN54poe79/h8VNa/ZY5L02BmCnb+bXbvosKMpDBBQBiQMo9C0DfWTvcnNEZxbO7Y69zU2NsKUo9Hahbmy8hos9H/3nuB386CmoOZcHD7HhmnDI0fQTJ16CnZvn+n1TQgZyeMxy7l31KiBoSQAODHW1jbCkbWntcGV1ZGUkplSMCpKyHiDHY0zEt4XXngmNi/7mtdgEqiUvvlMJ7du3QYb5TpsZLbA9tcSRYgllXP+aknpaKK0yaxevdYpjEYmWsurr/7Ea7CYXkmOmmuuWRd6rSXxdtu2HTCZw9xa63XHDe7BOdYaq+E46Qfp60mRmlt9Pcq6U+Jrx45YGGt/6a2xTaEARoJBI2Rd4X8mT54I34E+3jxO5kNwl7krYeceIOGfx0VgEAh1LwyVL49KqHsOoRXanLgp2koWBtL5YEAKzHXXXRwo1Yv2g4AyIIdIk3sAAEAASURBVO0H+zRvPserE2JbctRQRfyVr/SD5K3ARjpEhTK0ZU2aKiF5zTNTpkxIWhvWQhI0Tz/9c9Ot21OpmwiJ8l13xUJN0HBfj1isr010+nviG09jwHCa9ebUU0cqIeOHUQTOxVSH4WXdxNC7TL4xzs2tsnZRrq+/zqpKbYr3hfXHq66aZd8XkaNIVANRdaT/vjwqUXUIdougITHmmnziXPrCG8B8GPMeNhgVStQq1EOIIUB8ravLgY+Vo9liW2VMJUeRP9gL/7Nu3Q6EeIW6xC/g8/+X5zDDLCraZkaOPCH087gIDDIl1L0Ffjnsl5OmeTmYSKq9NIUFAsqAhGUkfO3Iy/ujdyX4Ijlq5s8vNF/72ldB2Hq3uTHJfUX2aRdMtqJGSagMdcGTTz5n9u7t4y0QZLSYnDyWEzM33XS1XRalI8eNhI07fgUYy5zIMYlRAnlrtZWSRKo79u+nJysGVPBUxpY5GJJ6qP7z8yklcBiVlKZ4XRC0oFfknJo9iSqkiyJBJeFO7QcZMuYoj0pUHbTWS3TxYeJYBvC2KpLCHasvWXrgdzp4cA8wl1d6EJDvVXLUVFTMcjUgYuLj7v8h/0z4HnKx/0c9tCXwWwx5SiowEGpQcvQvSgIDF+T+uVU0zdbYxjDuYCI1hQYC8qmFpkHaEIP9AnqkBcNjj71r7r9/ESY72vM4yTd5uipIq4xROaLHgHCCvOWWX5nevX32G9JHJ2co3jD7gMjQuLlMjE36YcxeEDJ+Mav7Dz0JOQQoSVy58hnTpQvEbjW/9ojvhDEGE1LPb3mX3SMxxSMRE3CSjMOnZE/knJpFohqIqkPGgz/20REaRCWqDlrsJY4Vx5M/Oi3LOTqWn88CTVGBALUZdtjsJWmb7NeAyI2WRowXGTCPJxUYFKFvcD+1cJaaHnznYRcYkE5YtMjeZyvgWyfCSgZ9odzHIpXqoJkqCvX+LGhpViVlQEI43Bs2+Lh0hn+kwxvtyR1/7HfeeQ97CtRDs1Fo4oW/sXtA2qbKPjVUQbo2qV8J/aZITqsDGSdI2wfkq/aCz1rCgklyTJBr105wJVV2ZXiOEiY5P99hAIWQ8TcRfYjFumGDrPN1YvTDJULnJGoKCjoCH31mWEnb3x8OzG97NQkSVFaVmKFDB4XSp8lreOozO6oOOsYpjP2TOYnzE5iR3z55b+o/h6AmQNDEyWk4SaysSNhEfG6VLmVjLmGzY7Huabu/eXMcjug7zZ///DdL2FddXQu/S2ddTjGPG1MGHwMJj5X28e1emVRg0A/N2uw0zfn0wy4wCPhr+c3jLIbD6Qup3LoJEMSWmx/84NrQm8c5rc6KTBmQEA5zLHa21ypGsiJicTF3o2I1WJNhTc08LPR+rPP+Zp9NQ/Z26DdFatpqXpOoY4jhggKfpEqUBJLjPtre876whRgmIcNQyQyZXJd3j9dFIWRYYhEyJRjLMlwc1InRg1KkzkjUVFcfhHqf4sN0aYONy9QKMAl9K7kZgcK10ICWRPJb4DdPrWVp0UWuyZXVT9/hvQ/eCbXWMrDhXIf7fC1PdhrNuTVZT7KlTELx5uVN97pMJRYtKCnkY46UkzPVCsXLDSkPgelsbBwM4cEDVp11SJjHWdrddO/eITKCJOLrT3/6O8xJM2zT0W3ogiPktHLMUzf/89dDja/WWODgaqdSUrRiiyX/0DwMEEg5XGFoXLa2oaBggd11sSf3A4LES4f3wFRQDbDKnjhSWgHE4BTXMfSbIvm7J+eeihjETJq0f/+FodSAkJBZu/Yz8/bbo+xFLWVkjvHo3XvmvffWpOmlVoUdAoxMF0gxXFFaTqJGzAHM/7Jv8Uvn7BLneAokqAbBF+4PlEblwtZajkP0qEs95qpp48Fs+SMMNa1u72tvQzPMrZTtpAzucU5k59b2hnF7vp+Sf4biLRTLATaGpkeisXPW3OLiZZbw6NlnVyIUfG/cP8j+HlI2vrcpKfnEXH/9ZZERHhBfS0oKQUtc4+GrCEN8eZjx1ZbM5kGI96k9MqUpBwgVMdzHiVlTWCCgDEhYRsLXDkvzXzPbmxR8dfZpPTj+UVAp/tW+JJGTNMXMBRdMjuSmO6Iivvturg6p0z33FIUyChYlZ/Zu1iBkmPbbWeKRKJiL8KQXJFZpSSQgQKnq2LFD0NapXnvJcJLR4HonoVw7zLPrxYacV4EZ+DNz4okDI4mv7Apx9tJLJ2NeWsxLO4m2UnKUhjly3f33Pw6irBRj4OCtq3WWDkmeB2Yx3zzwwONSoHlEICDCLau5KYR8lXXLLEY5J4eazXxvs9+UfZyC8L5dwZT6PvSU94ajgvi6Zs2zplevRV6DRJgpOeavMOMr596ZMydi7gETxcQ5V5ingKY5hn6WGd6vKTwQCCx/4WlWdreksJDajfS2pA0N60xDQ18bUH6kE4mdg4TLl68I/aZI6Ub7ppuusok43iRCZskxOd54I+pDmKihKi7mGG6yW+cnOkUb7IxRbm6uo9EKYUe0SUeEABfyjh1jGO/f2vemIGrcEJ5+fOW3TJrF+hYOI0RoOpPKIzalXW8QE6yBA3t57QCOWsmXL1iwMpS7obP9sgFhZeU37Xb78TYgC2GHGiPrq2N3LjuPNO/dsGEXNBpnecSq7/sUqMRiD4IJ2YjfF2bjxvPtYv/3IDdaeTk2rtyBQBNE7mgkfu8DBpxvtm8XSh3tTgKHMOProEHTscnvO4C7M/cS9GIex6Fw/bXipry8MnSm2mxuNidlQEI4+sOG9UWrphyhZQPAo/zJu0eQjhI7F+lysY9GSSQXSU6O3Kl16NB53iLh9dY+A9E2bNi80BEzbLtE5jAdltlt5WQoa1MVzt3IHCRcNTKHDaToHuPxw9hwEgNcc07q79XfPcFXlhXjVz0Nh+GQ0N3Ikkgm2wRLNg91KBmHyXalkiHePNTv0NpQcIM9BsRZMolM1GSRCbGu49gXontkzG3YfE02BPidMhSvMXM9kDT9TlFz8OBgBAjhgA/BWvsP9r38HuReu8S97tgxWvO4mGAVFs5BT6KHr2y/bBB5OH+FjIadO93xLrqaIUMGRJIWCnYss66UAQnheK5btw2teibYMkpVaWrlSld3JNqkBpBuKm5ejwUyN5KLJCcX7kS7desU9MNJlBTTVpcCGycgyZYtU0LnA+InZFzzG3ZBfAFIwBDz6obCXGVb5HapZ1c0BSFgm9wRASEYSJdSSlCBz/AF+vd/fzjdv0NdJ2aTdiheh0qTOUlyUG9hj6zj7qgsbfabYVE5fWAWzK+2I0R491CPhzYuOQQuvvh6+Nx9jkrfGitjLTlq6+rehpUBJ+vewbXWLzywhH2DcE+jZX5FHIhKYls/+ug584tf8KOOHr5SkxXYIFJM0TmG7I47lufAh2ed+cY3ZkaSForK93Qs7VQG5Fig1sr/qas7DMmLb3GjyQ6lb0QoJ0qHMY5ERtqSgHS2LWrUdlSW7ggxc/XVn0iRzXiwn/w5xPw116wLpQ8IG510J2VWuGm8ZUcetV3q3ebriQsBmtzFrJ1BnYWcNcRbartIpFByypRSgnoK/p+DPUWW2vdF+GiH4nU64I+q4xSVlIidaMg76RvKYEvrLcJU8TYIlahcLVx4n+nUiaHRr/KaLGMtuVXzPfjxcbGx11Lv5qZnJ1oM6Q03XNm0IhLXAXwVbZ/k6EFY8ZWCvi1btuJ3oQ1nYQw5hkIPWTUHzOHDjZEyj7M7lPlHZUBCOMZDhpQiWsOXdstcjYevoVYkrG/ZBSJRlYlTcrMdBE20fQtoyvT88+9hMsFsIoSMEHLsPeDw+8UPhj9MoOyk7BtC+7QcUjZylpqiDgE6N+bkcNerz7yu9PNOXf8PFslCyXNLgjoMJ29gM8PiyDqgsytiNnn77QttAoCFTRNQ+bbbng6d2SSbKfv2FBRQIowkc6t95TvugmlraWTCrfoarqeAAAMNDBgwEH5bizx4kGClsIBSdKIxUn7+Y/h1gNT8Hes69fdQYbp27WE6dw44Cdn/CfEx6vgqoHVD8Aq+ciypiGJupRNxdAkjp0yzMEBAGZAwjEKTNvz1r4zEgtmw5t/T4I1jg5RSotrPjBhxYqQXSZphcTPC3EMPeXCQecTJDx+uDF1YzwRCxj9GEl3Eav9+LILFkR6jJp9u1l5SYzdx4hjwysDLmnO9uPqECAmbtKkYhE6JWb/+L2nvCnulmE1WVV2UEl9JB1RXhy90NokxcWhtaHjfBrUfbwX4Ft6Owt4QjerQKjCJWM7vlEh58KDYxOKSUeuYXKIVKFyfa41zTY0j7PN/D0I5Wd/DCOxHZSLnX5AUX6X/kocUXzlUss4GNvolWcQxoaUI+UGLTNpghg+PNi2EnmRkEjTKyM5FtVO/+tUCmO9QRfxS6i74g+WIjTInDUuiSo6/DL9ob25Hou7pp3+OEIiw1bUmenSpaY4+hylM4LnnXm1KS6ebF15YDyux36HBThKpN9c8a4xY3jmyPjpOrzTzQSAvLweay3KUbPG+U5phURrHJBI6+8p3HAEb5fpIaz/YmaSbh8oKIznuC+Pmody3p7z8IAIJ9DABh1bBW3bQxdtamGAdihzByS5oAjpiXVm58hkwnA6VndLK4F2AC8xKh297YJPvgSZK1vdAlckuaC+LIudfIGbOc+Z86PXPkWuKjyUr5sxZHTozZ/86Wxd71Gu/a6KOIpJQ1jWDCdREbny8TmXumW9ZyNxORq1nhw/XwzSHs6IvnCU7wcmB5tMySbCMqSlRjpmxsPAjc8kl59v1ET1SKhm1MIG0L+7Ro5M5cOBSQH1PGsjPQPSrXdi46oo092hVlCCwZMmDWOiIoI5ZHVGYPwoLyIj4Jai4tJKFux/CJGm0lEQ2D0hUpRcJcxO2xAnh5qHcgLBfv55odf+gw7H0w81HwN5/HezOX1WCxoVJ9E7ILB84gIW05jRv/Uzajb/b34NoSBLuORXmV3vN5ZdfkFAT9gIxwXr5ZQhMROPRtNEof+mlzaEzmQyus47NXNO2W9eDERxgjbnooplJa7WwfSGgDEj7wj/l23PsXey8ehIw5OY5YiKFSSlRHQkb5WJoBm7z/h/BMxI03KnVDhOYogMgcMK0U6u3kdmjtqM86dGkKQ6fgYLI2Q0n7YoWWhAoKyuDFoM2dhCPkukg8U2cZZI1UnCXZZYEdTJOxpnx40ewJNJJJKqBzUOTMCBh3DyUY7dly8vmyispNk2X+kGwMz3y2qp0PcyGOq4tnTtzrGmOlTrFYoyWhbTfzhKPuaa2tj5SGxBKHzyBwcUeEyaMiOTA3+rqi0IXabL56+xE4Gr0/HNkjDI9VwYkhCN8yy3fginANrTMiQAlDtjSVjHpSClR/QxRPsixRDuRoPHCBKbuS5jCekpkDncjs/xU7Y5hjGs0Mkcq8ES0/KyzIFE1ffA7w5OkczH3CwtoHclkEed5EBasNR9/vNEqivJBJKoLFtB0xUmcqzhPMXcUQ4899m7oJKo06SgqmoRNzTaioUj+8bJLnGPcLF36qjnvvKsCpXoRLQgwFO+GDdRQL0nb8Hj8crve/z3I0mrhb4XFgLzyyoq0zwljpQgM7LDZTgtJEZLeoBzFoTvCtL46rbTWTUbAOvI6W26+/PJLXWcFcCHLlQEJ2YCwOZwYvve9eTjDyl0DLYZIEVlZhR+lqxJwI0GiOgmVXczevXuRRzsJQTN//ktpO8J6blp45533pr2vLSvdyBz+sRM1vlVGqlTETG3ZMn1Xa0Jg/PgxZvr0IXjFPm94yXCQCCfuMlXgZ2k/iKvV0PAVm1df/T1rIp1Eorpq1TivH2Q8aDLKT90xHV29emyoJKqcZ7ZurYHfXb050OAEAuB4+XEXl/Z1PQIG5ME37X6WaIooBGjCU1rKRdTHSFIhQhylW4doLMX/g98D/feYWEfNNsvMSJjk5ZjFix/mRaRS0vWVIKF/C/HV6W/Y1le2+/77F0EzQ1+s922Y+3G1yTrb2MgOaQojBJQBCeOooE09e3aDj0AuzrAgCnJR8iLaD0eamNh83rDJivqQWBetEiFoApsRJulCWDYjpBT1rrsWwbY4buK5f7dbyrlPCE+q8S3Ck1VFIDzzNQIWQZFB6cc//i6IWTqig+sgkdINPz8xKxoxC6cLzLRpXzHDhpFhiX4Sieq0aW+AiAEVQP8Xi0jz9Q3Rgg6aeaFyaqXWcseOXWBAbgaTJJQn2kzhjsgILLzti4I+sCc/XU2wfEMaxVOa8NTWVsNny8dIirmsjDk7RmGfJP+3TMqpjn5bb8J3qDSS30NwfUWnia9MjqDAOge+fr7t2VAJDOrr6xGdLA/4igHr4IxfmnVWQ2ZbIxnKgzIgoRwWYzg5dO1KZoJMCJJMDjIJkrCRFDBbjsG3IBeOY49JbWRzIWjmz5fYteiKLA6So+juuwtDQdBQqtazZxdIZW5CBA4QYSnTDEhRP4fN+V/UkTUljKJb0blzMRoPbrN6mkeAi6aSeGwRs2fhpI/ZuHFbRmg/ZLQonfzww82Qnp7pCU6k0pdPmnRrOLWWHB+ZbjjHkFF055oRuHjfVFTIYOJSUyQhwPV11qwpYEAG2O2X9dXfGxDf6VNPk5vbwXzwwR/T3xbS2sD6WnOlh6/CgEgeqwzF+ipg5J5Ls2ZNwtr5rSCDKDe4+bmme/cdZvNmXWddkITsRBmQkA2INIeTw969e3C53UYyLoScJGVSICNiSVGR01aTklXr+gtz5pmnZARhm1RFLMSA5Oh2WFTEV1zxHbN7dzUYwF/b9u4kNJOmbbBJjcHhFRJXTRkHgc6du2B/F06t22ycFDy1VCIotq7zcc8qSPEyawomYcfAEfn5X0s9ruh/mAJHJDSUJjhMMm6Sm51W4AjdAd0GT5SPXF8rK3ehC5uwvk72xtrfKWFK/MI+f73Zbfr3Z+AJ2lhGM3GN/elPfwdGrMrrgHzvkqMmTAIDCffdq9cyr81Jz7ZZkc7mz38kaa0Wtj8EMmv1a394tmgLpkw5A8+jFuRk+7kHncfLhOgXxNF2s/oq8/WvX2xef/0p58ZoZ0EVsdMXYTwkR3FYTLAkNGBj43ftxqY0PR0H++NOakce7c8zZevpz/HQQ/+Kekyv1TNMXu0Uc+ONPzSPPfYYHM6B09Vnoy4XhHpXaEBeTfmcKFaQsFuz5lnTq9cir/mCq748THv3yIZm7g7ofuGOmOVYxFg3M3v2LDWb9EY20mcTJ45F+zmwA4P9IC1OJlTWW//34Bgk2MzpKGyGd2PwvxG7EoFBXt4cr+VCFUoOEIVJYCCBXrZsudBus9BDXg+cs7HYl6lRHdAT4BKeAvnEwtMibYkLgTPOmGj69qXjB9QeqWxR3bt5ssps20YP18xIARWxdEk0QJKjPCwmWF4ozw/t1lIrJamrc2IRMrth+39apCVn0i3Nk0Pg88+3mhEjBpmbbjod9sp/MQ8+eKeZO/dyaMjeNL/73S1mwoSOGeP74YcAJapR2ruH7T3ttLkQYjSa3r03el0R4Q43ebVM5ljVH+YcX2SEdtnraPae3XHHP0IIwAl5pwcELrdMwizbVxAaOCdcd6zvASaGptz85CcPyB2RzKlNiMVoc+gTGAiTJTlqy8peRKS+zbA2aF9tAvF10aK34PhfDFPnD2yY+xlEoWiddZYBAm6//TuRHJtsaLQMVzb0NXJ9pENrdfVh7BwMFa+YBQi3byGYr0vW9RgzcuRgX2G0TznZMLpVIAoW7XKpGqfGx1GRh8EEi23t2XMSAgdMNsuWrbcBz8WK0jQmBEXyCBmDcJ+vhypql9VGPbQYBIi7a9e+aB544E6Y7XjTbCwWM9deO8e8995zGeX7IYATiWpU9u4RaerKlaPNpl1LpRtJ8jyM40qzaxcRWVMmQIACrsZGhnpyhHbOemL1TbQfst4mdHgASj6HqaFfypRwU+gLiK8dO+ZCS3C511ahLSRHTUXFrFA4orO9o0cPNocP3wDjkPu8NpNBpC8srQ5cgUHczJhxugoMPCiF7sxbGUPXNG0QIXD//f8HakTYAVQPC0bUIcKJhILMSfVUHHaDqHkXeWYkTjajRg1EVKEpXofIgJCwp4SKkg+kMJhgsa2TJ0/ERN4Hyqrn7IYlPXJ2PGyGDBlgbr31H5LeoYUKgahCgERd1PbuIaytsNnUMhM9k6bJkBTnm+uuuzhprRZGEwK9e3e3G16DkNhCcFMLwnWGKaV0fT2+hwJLyGDfGM0j8fWCC87Cd/2p1wHR/kiOmrCYTIr/R1nZYtsqRChYMh/0hXUD8pyPfX12mqoqEkqawgoBGb6wti/r20VTjtGjiVXQglBYw0lScIqEuMV80FdkN8K6bjdXXZU5C2TQBAvcFiVU7L+zQZLFiHCh6Hhzu0fp4MS4bt0OOCViUNISMv0gNSs33/jGTJXMYOg0ZRYEkmotk3QxDFrLJM3yNJYJlbpUJoAkAwouvfQC9GI3flvt3ogWxG/yLOutK12fgXu/hKlh78jP4cTXRYtWgJFypHmEgjAekqMoLJuHilCyouJyttTWeDAXmkBysxdCvsGwNHiEtZpCCgGdVUM6MNIsmnLs3bsfl3vBeJyC30js8THVTJnCKDNDcH0W8kFwas5BpIoJhvdnUuIEySgdpgaTvkiomnTwUG1tu0fpEFMO1zFOTK/YVt9Ebswp6hjXZPz0MnMgIARCQGsp37/k6G4YtJZJoe43uaFwx01svK8DbrmeRBkC//7vt5vLL5+FLsAPgkwH1xhhQtJ0rEuXMvPJJ39Kc0c0qgRfA5uHymcuOboSls1DuYP9smVvmJqah2wA+/E1APJBEAhuMZdcMi9QqhfhgoAyIOEaj6SticdzEW8ce66OLIOJzypMfK+av/51IewgPwUj0hd178GEID+jbcrz86/0YCMTo+RYNMISpcPdAV0mRrYRFnQe7bIOY+V1Rc8UApkEgaDW0umZCA4kR3EYAkfY0l/boTVe9Gu7sRQEixSVku9iuS6GI26JOrTaUMqo45o1m7GGnmZbE5AJof8HjQ5kDm/S24KC3Wb8+JMir/1gtwRfrc1DpZ+0rGCSHKdTp77R7lYGbJJEmqyvv46XQRM5Mb+y5pm9sDSIa6RJG0qhPSoDEtqh8RrGUJ2HD3+CKBR/RvQHwTK4gIArsRmRz82+fe97f8igs6RROgQEkqO/7RmlI7ADetyZtYWQ4WRIQobRlC3CpgyhWLsqIQNwaMpcCJSUkHJ3kkiUJUdxSQm58vZN1Fpu27YD+0FcY5u3SnP85jcQ/Jia8ZhrPzM33zwnI4hO6abmNgRotvytb52Aefokj+ngXM053Mc0W3fjur7+JNzvmABZhdE9kAlnoJd169jZ1OnTT+ut++68897UN7VBDXewLykpRbAXR2DAd3J9ZeKY0YcL1wUF20znzl0RBORx1mgKKQSUAQnpwGizbAhQRZwQpUM0H5Lj1vaM0hHYAb3DA97QJRAyrOpm/u3fvqOEjAclPcsgCAhBc/vtC31avyYdBN7edtvToSBo2DJLa0mtpE+gEWyxxNAOlupVZkCAZssrV65GZxw/S2o+RPshxC27ahG3U+F8vtssXfoySyKfxAQrYDJJv0oKC3yRJsNgMsm55cknXzM7dlSYgwdPTgv7urpTEZWyVAO9pIVS+1cqA9L+Y6AtSAOBpFE6RColOf7fnlE6Avt/+JmOhH4NwUZmpZhA4c+jSSGQgRAQgqaq6iJPekzCjSsNfSq4rwbwtrr6wnYP65mwASGjHyVNMWys2E21lklhkxmFO3bQEb0XPszTbW2YBHyR7lHYZa031ZCsl5uTTx4pNZHOxQRr/nzuBeIkMiDUJrDPhANSGEwmAxrLDo9Z7Up+6AFLkXfh2zNZBX3JARSaUmVAQjMU2pBUEKCE6re/vcuTqIptquSYKG+88apUf2/1cpH6btp0pFdNNO+88wkmR3UCORKktD6aECBBM2bMQOyZ8aDXAQiWTa1zSZMmpIKCpdbmf9wEsL3MOsShtbExyYZmlP4yWURnHEKDPerQakMkI4/Dhw8FsUotCPZ5oSlW9akm/9BZYDbIaAzGdzAOZVNwHsPmwL0yKtgL1y8r0AulBNR8WN88ciYyIWBIbv7B3HYP9CICg7y8NXbAgC5WC+0D2+mm/mbo0CEqMHDhEd4TZUDCOzbaMkBAiPuhQ+d5E6N8tZJjwhw2bF67mXRIBCxuZmYlUd8njOBu8+WXX8KGOL29bcLftEAhECEIUAvSt28piJk5NkFDhZ8QNcxB0OyuXtLugSNch9a8dzzoiskNGSbHnpy2WYWFeerQ6kEp485effX3MMWjDV6j6d69yCxY8C/m0KHXzf79H5u6unUw5yGFux5MygFz5ZXQ7mVQIr6WlDAK2P0enjbtX+PhdsfXBIHBfqeRHBrOKy4TMgI+LV+owKDpGIbwWki4EDZNm6QQMEZMOgI2qqJAkByACoONakPD+/aQpXBetGdId5bU4VUIZCQEqAXhZoRzv36iR9AIrkoONGhPs0kKNs455ybs2wNb8sOinkk2HKOgsdxkzjxzDAJdUJWjKVMhUFu7BiHSPzW7d79nvvnNy+DrYc/V3O38yy8/QN1m+B58lFHaD44l8XXNmmeBC8+mHtp2xlc2zBUY1M+z2ymCPjIfNJ9kzp9ZB5zNVYEBQRHypAxIyAco25snO5927rzcA4W9LvgkHsZ06fI8ooRtNvPnP+Ld1wZnJGQWLbJDeZrCR703kqbJx49CNVeSWqGhPD0I6VmGQkC0losWrfN6KOaSkqNmwYKV7aa1fOWV1yHR/cy8/fYoW0tDu/ekqQ8iEMZBfKZjUpL+UQsVApGAAPF1wIDzzfbtKZHA6kd74isbIBGwSkt/a8OVgj7HR8VU4pyB9SzjgqGmR4+eGgHLhlKoj8qAhHp4tHGiAbGcWtOAY//+9nFqDTjGxX0e6GSSaE8rzBIcHGOxAxrKM80YalVmQIA4y8h1Bw9e4nXIkkzi0pe35949S5Y8BDOx7mjQKruNFBIkTXFTVFRoFi9+OGmtFioEog4BMcEqLITJpCQfnlpFuG5PfCWTJBGw9taukFY6DIdzSWrWYkDqYDZXqxGwPCiF9kwZkNAOjTaMEJAoHXffLV6hKKSghgJJFjmCyXvuKWrXjZKsUJ6c/LjfBxOZDybJzTDTqRPs4jUpBDIcAtRabtiwC7b0L3g9lRC3kqOmtPTFdtFaslEiTS0rcxgQ4q4QXbKFiXVdAbwtUWkqgaYpIyEge2316vWK1z8xlZQcNe2JrwFBH+V8KSnX0yDo+0gFfd5Ihvos5TCGutXauKyCAKUf99231OszGRAJE2hJPIx57LF32z1Kh9VAYUC81jpn/eB8zi12NSkEMhsClKhOnXqKOXDApwFJ0uVdu6a3SyhevzS1svKbXssYIpiJBI5rNjnSNDQcVGmqBRg9ZCIExMrgyy9neN0TZlxy1LQXvnqNcvbsYYGvXf56bt+el+cTVgYr9SpkEFAGJGQDos1JhICYdBiDSB3UKHDykQmIORiSd1b9sl1UxBIasKCADh9IZIykbdz3gMm63g3/jy4aGtCGiB4zGAKitZw27U2vl4ITkqOG9QsX/sLScno3tv4Z55PRowfDt+MG01Bwg/dCaZvkqOnWbR32fBjR5m30GqVnCoHWhUBSfHWWM8uP0Xl9e+ErX5+wzqaMBzEc/ixlus627ifTYk9XBqTFQKkPai0IiEmHqTnTI+4TXhYHgd+2Jh2UpHIfgy1bGk1xyZ/tFpF4kVCezF1JqjFduxYrIZMwblqQaRAgXowefYXZs0dsmdBDcT6XHEXl5R3bxQldwnnW1Dxkazv8A+D6bLFwutm7tx57lvga7b9XzxUCGQCBpPgqlKHk6Gd74StBLMFo+vTZZEPcbzIpzJIlOFiFPXv2tXkwGrtRejxaCPg+r6P9q96vEGgbCFBiOXhwT7zs694LhVCQHDUVFbPa1KRDVNcff3ya2bufm1ilSjPQ/gYzZ86sVDdouUIgYyAgeLFqFTZvkyR4KjnKV68e26b4Kk1JCOcpFWwbiRi3jTFsqFiv4TwFPppnJASS4qtoASVHz9sLX/0mk5s3X+CNgQj6aBXhCvpOgSCys5pMelAK9ZkyIKEeHm0cISAakIBTq7OjspEc97W1BiQgSaUzfJpIOhs3fomQn74Nz9gxTQqBDISASCu527mb6LfFJDlO8/OXtosTuueA/qjVJOPfT4AlLtG1HW0sUgd0G0p6zFAIeCZYb3g9FKWf5KiZOvWNdjeZbCz8ltfGJGfdun1s5s2brZYGSWATxiJlQMI4KtqmAAQooaFTa13dZYHyphcVFTPbVKI6ceIYOKjWwrn8OrspvoghwbbFsI9Ag5k4cWywWK8UAhkIAZGo1tXN9npHQQH9o2guwRypvn52m+Kr/Va+tw5mk1vNvn3X2kV+cw65yWJCJgBv49b9Uqy5QiDTICAmWOvWORFd2EH6cTMYA4OqOLFTPv20vl1MJkWgUVa22G5TSh/zs7B7PZpe5JNKovmawgsBZUDCOzbaMgcCIqGZM+dDDyZC7EuOmjlzVrephIY75BYXc4Z2JL1ii8pWduUByZGm5ubmQZrqv8Gu1qNCINMgIPgacEIXzQfNm5zQ1O3h1EpiSzYODUhTxZyDg+Gac2wynTsXq0Nrpn2g2p8ABERgsHXrFK8c8V4svy3iqyMw2LJlSrsIDGTT0I0bz7fb54Te9xorZx1MdXW1eeWVFVKgecghoAxIyAdIm2eMSGhefnmzBw4xk5AcNS+9tKlNJTReZI4hdruorq7Cj5P2PvwcQiYvr8QMHz5ECRmARFP2QGD58gcRrx/SSIlcJ/t0UtAKhuSDT5a3OTBIbEkELNlDKHkj+phevRrMpk2vqDlHcgBpaYZAgAKDMWMGwoT5KQjMICQjvnItE0UCc+DrodzrrYArDLxy5533tlnvEzYNFZNJtsC3r5Ax+yEw6KybhrbZyBz/i5QBOX4Y6hNaGQIioaGTebq0d2/bmWCRKZIIWHV5vsmYzAeZIuZO6thxs7nmmvOUkBGAaJ7xECB+DBgAieWBqa4WsGmnd+zY0eZ79wTMOYinQmQ1bZyZCpPPOo2mkwAXLchECHCNZfhaU4u1zCfUC/QV5e2xG7r4bJWWOhYQfpNJtpWGBVabB5suXbqoz1Zg0MJ9oQxIuMdHWwcICNEQcGoVaybJcV9bOrWKWvjtt0cZU+ezA5PJW3IzxFRWHlC1sH7JWQWB+vp6hO3ciz739vot0krJgSMrV5ZDqroTxL5jl+Xd3Spn4v+xZcuF9vPFVDLhbWssvOX9mhQCmQ4BakEuuOAsM3nyX72uigO65Ki5665Ym5o5szEigKypOd1rm2wayqWXPiEwoczPbzQlJR01ApYHpdCfKQMS+iHSBsoElODUysmHtuUO/d+WTq0BtTBtZMXGPWG4ToefSK6qhRPgogWZDIF/+ZebzdixQ9DFKWm7WVAw3cycOREbjd2c9r6Wrmxs/Lv9SL80lXbvTJbw4CTs/+GTbtg1elQIZCQEbN+oFeb998VOMkk3odVfsGBlm5o5+y0NanN+7jVKBHySo6ag4Au1NPAgFIkzZUAiMUzZ3ciUTq0k+mnqhMgXTG3p1Cpq4bKyVfbLU4bg/QxRdOKqFrahpMcsgYBIVK+77nOvx6IolBw18+cXtrlElQ1idCs30QFd5hHXAb0ceOt437o36olCIDMhQCFfx4655uDBS7wOihmx5ECZtjbBClgakDdKGQHr/zEHDhxUSwNv9CJxpgxIJIZJG0kIWE6tMcyGVAlzUqSjNxOtN8CMFHQpty7b4iBamcOHb7Rf55ekygbQFo1TZnr2LFW1cFsMir4jNBAQieonnwA5hYARxkNylLelRNVu01smLw8I2gEO8pLYPuKqtNMqPwSn3BINHCEw0jyjIUAz5w0bdpnAXltCHUoOCJSWvtime/cELA2IoyktNauA10YtDSL2lfo+rYi1XJubVRAg8WA5tR6cZptcWcR9EARLn1vaZk6t4pdSWrrIa4SE8mTcdEeS2q1bDezbY+rM6kFJz7IAAsKgf/zxqY5JEzotBL7kwOG1aye0WWhP+nNs27YDvh3XgJDxGbbLXCK5GYAd0PeYm2+eo4EjsuBb1S7afhbca+vAAZ8GJAlgdu2a3mb4yteXlZXBR+xlc+WVjuOY32dLfMlwX37+bnP22ROs+5M0W4tCCgFlQEI6MNqsIARI0JSUFJpY7OojEDSntvoESWboySdfMzt2VJhNu5w9QILNda/27BmnGhAXGnqSLRAQBr2gYInXZSEYJEdNQcEzbSpRZWMs/4+0fltnol2+Rno90DOFQEZCIKmZszDkkqPnbWnmTEBzrR09+gqEw3bA7rc0YAQ7JwJWQ8No89ln29s0PLDTIs2OAwLKgBwH8PSvbQcBEjSVlYdgu+0jaOTrlRzNKStrfRVxQJJKu9SUtMoZqPxvc/nlk1WS2nafir4pBBAQDci+fRd4rRFCRnLU7N17fqsLDKQB3r49jnM5tZRJUznmkS5qfpUUNlqYiRAQQn/PHrEfRi9lXZUcReXlHdvUCV2i1r377kke2MXSgL6fTgSsxsbuZvfuPfDbIoeiKSoQ8H1aUWmytjMbISAakA4drvK6L6YckqOGe4WMGjWwTXwu3Eg6PmsO18zEamUVTDk0ko43YHqWLRAQiepXv/qu12WuNsQVEg0Ozsyc+W6bOaGLVqZPH0ec6pemCt3lMEf79x9Us0lv5PQswyEgAoNVq8Z5PRVBgeSoWb16bJutr2SKFi2yfbYaC7/ltYtnCcvqRjN06AkqNAhCKfRXyoAc3xCNwd9fwG8HfnStnI5f0zQCBbxnN370kv4Nfp3w03QUECBB89FHz2FPEF+8W3FIkxzPa4s45QmSVOzfZCUyQpysXYboZHPqqSfppGhDR49ZBIGkEtUODm4QP5zIdW0lUWV7xGxy82afVkakqT6/Le5OGIvF20SIkUWfhHY1xBAQgcG0aW94rSRTTjwl3lbaxVOnvtFmAoMESwO7Ca7ZlceEkAz7WC0NBD4RypUBOb7BogyNNkEX4ueTE7gPpZL/Jfzew68PfiPxOxG/BfhpOgoICEGzYIFPoprk/4899m6rq4hTSlL5BYhkBuc5OZ+Z9et3qiQ1yThpUWZDIEGiKhFtHcbDmi0hS3hn9eI2kaj6iZkEaWpgKKaC+dhgJkwYrmaTAbjoRaZDgGvshx9u9rpJwVoTi6ZPP61vs0Av0hDX0kAKuM5yPnEprrhaGghsIpantF6PWD/aq7mf4MX8Mblyb/vSOp6FYyl+/8cpoxbkJ/j9Cb9++G3DT1MzIMCdlbljclUVqBaJBS7EvuR4zrvv7jadO7fezsrnnnu1ef319aahIQe/6/HGZ+zWiySV2hiynVVUiY00hw6tUUmqDSE9ZhEERKJ64YXfNi+88DVjihfZvRdGRHKz1ZKothVoLGKGflsl+Ek44MDLc0HM5JkpUyYESvVCIZDpEOAaW16+F+aRJ4OaWQ0HLfRYKETmWHo/37YA4XqvxZ4hrbfGpoRzN9SQIZK5Q3JThvaIZCPlv7UihBBQDUjrDgqZEvnJmxi7gWU+Y0up0jwVBLhTMndMzsmZ5t0iEhDJUZObO6VVd1aeOHEMoujUgfnA/h8dvu21JenZm2bcuKEqSU0KGy3MdAiIRDUWg32T4ChnPibJcTpp0q2trrW03uk/OCYl/iLv3Nc4r1DPFAIZDQGusZdccjYI/O96+JqkxwUF01t1jZVXJpg6k/mQeURusq77mBEj+qups8AkQrkyIMkH61EUUz5GV0nmTX9/QVlz0pu4CbJw81P8KLen1uP/xY+pi53psTkQEInqtdd+Gry9iVPrtdd+0ao2qvn5+aa4mEaxCL+bdmfWs8AMFZrp0ycG26tXCoEsgYAEjsjLm+P1WAgIX94WuysnEDOUpkoSHy6rTVVm+PDBSswIbDTPGghwjb300snYUwNrmyTRMkiO8vnzC1t1jeWraWlQWjod2lNaG7wvrTFGLA1YQksD63qX2bhxgwr6PChF5kwZkORD9R0Ud8evh5Pz3P+7FNfNSZSzzcJvAn6b8HsVvyfwo4iNDulJ0w9/+EOY7dxq/f70J1praRIfkJdf3uIBoyNOOTESmtZEBIeblza3qjRVCJnCQrryINXaWeKxyPTu3U0JmUTAaEmWQIC+UrFYIXrrmF+x36JckBxFbRE6W/y2evfeyFbYphwUDTFV4OcQM7HYEIT71ghYFlz0kFUQ4Bp7yy2/MgMH9krb7wULVrbqGsuXL1x4n+nRoxM2RrzUHM5fkbY9xcW7zPXXX5H2npaqJD0mtBnpNE3HBwGx8Du+p2TevxkThb+WSB/iIV/1PYjMywH83vaVBU5/+tOfmi5dVEHiBwqlqatWbTZ/+tN4FP/BZjz49VItSxqHqcCY7fv+Zs4884pW87sQTcwDD/wBOyXjnZSksg1MbI8jKSotrTP/8A9XqFTGAoweshECxNk//vEtxOa/HLjxog0Cn+ZDYMLQ2aee+kGr4WzAb6sg5bRrNScer8XeJBWt1hbps+YKgbBBgD4ghw7Vw8cDBh+ypgqFKDkavXLl7lb3Abn//sex8XCpOXjwUYTWx0spbEyazoFZdjX8Pmlg0vpp5syZMD+bab1o//795r777mv9l2bwG1QDcvyDS1SVr5/u0Lymn4ckUswMaMeyyfjdjd+P8RP5G041HQkCJPzHjBmIyeZBmD5N82xBRZLq5PH4FjirN5rTTpvbKruiiibmscfesZtM5kOIKjIf/AJwXVk5wDzyyNJWaYP9Yj0qBMINAWodNmzYZbp3f8FraBN8ZUVra0ACfls0m0yZTkHNGvP971+pgoOUMNKKTIWA+Fnm5k5N28WcnKmt7gMiUfQOH77RbgtFtpJkDrGu8yGsLVaBgcBG86yCwCD0lv4h9ETw//6PDwpkkWluRSOh1fjNwy9VotojXllZGdeUCIGDBw/G+/WbCvL+kThik8cRyYakfxzmE3bOa5SXlDwR/9rX/inO+1sy/eQnv4yPHDknXlY2w36//90W2+Frizkn3qPHpBZvQ0v2R5+lEGhNCBD/iIddujxxBHx9vFXwVfom7cjNnWPPEynxdnq8b9+zFWcFcJpnJQTGjr3Yw9ccZ01j7qyv48Zd1OpwmTHjqnhh4Rnx/PwpNs52c9oRQ16EH3Nr3Z8ej8VGxs8998pWb1PTF5BOs+gP9edNRc8esVw1IEcEUdobNqGWMKR2w//7/3z/En+STihDfDvzqK9OT48CApSo1tXlQN36bNp/tZZE1b+XgOWA7m+FT0VtzAmo2QETrTkqSfXDSM+zCgLid1FQsMTrt0gvJUdNQcFS8/HHm1ttvxxpx4ABTjNoNpkiVVWp/0cK0GhxhkNAtPvl5SRVnEQ8pYiVcVeYI+3e3bnNfEDq6+faL6WlAd9Pkp9+l7QzsdpzsunUqaN5+un7UaApahBQBiRqI5bF7aVadurUcXBMm50WCrQpHzVqYKupZd2NkQR7hPmQHHtN5ub6NidJ21qtVAhkJgTEjKKq6iKvg6RtaE7BnCE6kPbvv7BV8VXaUVEBXxQmv9mkXWITNqbeDBjQp9XmDXmV5gqBMEJA8CQBX2nbwbXOMRqvrm5dfCUjdM45N5n+/SGv7XC9ByrxyiVTxOUV80gefMtuv/1amHFKKDvvdj0LPwSEhAp/S7WFWQ8BSjLffPMj7MOx2IOFSFIlR01raUC8lzpnpb4SX5hCUji5uS434rtJTxUC2QMB8dvq0MGn9GW0KUeSKpDo0uX5VvPbIjFDfzD6hXXtulBe6UbNswrYJiuKXj8wQgNUa+lBSc+yCAKCr5afJftNxoPrqj/SIwK91DTe1mr4ytcKI/Txx6fZoe5lKaX2g0lyCPq6du2qAgMbKpE8KgMSyWHLzkZzYiopKQSBcJUHAJmMJEdNRcXMVpGoSgjeggJHuyGSVDIfmJitCFhoRyxWhA2dztUQvN4o6VmWQoA427cvOHXurlwPIFD7ISE6mANvdlcvMa21F4ifmNm8u4nppk9oweHp3v0zbGg2jKeaFAJZCQHiS8eOQMwaxMoRk6cmkKg7vL3V8JWvEpPJsjJH0BgQ7vkbc6opLCxuNdNN/5v0vHUgoAxI68BVn9oKEODExH0FOnZclvbprWVTLhOju5cAW2FJTpGTGXElqd3MW2/9XSdGwkdTVkOAOFNZeQj40dOTXMqqIzkg1FpaS8FZi5ihJJeCAiYyHxRauEzISTAFqzVFRcId8SZNCoHsggDxxfaz/LOHr4IjkgMkrYWvhDZ9Lbds2YrfhTbwU/psfW527dpj3W/fqMeoQcC3BESt6drebIOASDMDNqp0RiNhQZtyR1XcWjbl8v5tu/alBX1ubgM0NR1VNZwWSlqZDRAgzlBrGYv5tJYi0ZQcgGgtv60AMUOGg2YlTKIxldzQhjxXiRkLOHrIVggQXxP8LAVHJAdwWgtfCXexNMjLW2MPg1ga8IrmWCI8MMPgs1WmlgY2lCJ5VAYkksOWnY0WG9WATTl3YKFpByclCFqZWsOmnJuZlZZONy+8sN4cjr9qvyjpcbw5fPhDmJ10VFvypPDRwmyCgGhA4vElXreFkJEcNa0pUeWL3cARXb1mWI617uUA7DHkE/G65XqiEMgeCBBfE/wsk3S/NfFVtJYJlgZETwotKGyE5UHHjuvMzp2VammQZHyiUqQMSFRGSttpQYASGsumnFdkPJomy6b8mRa3UeVmZg0NtYjAdYmtaaG5laQA3WJ7pk+cOFZqNVcIZC0ERAMS8NtKAo3W9tvKz3c8Wf3SVLpy0eIKjFBeXq0ZMmSASlOTjI0WZQ8EuBt6efleaCx9dk9itig5wLF5cxwmUjthrpVsET52eDFoxC9/+QTW78/Npk0SNxvP4xpLgYVvrT1w4CysyTmqtTx2cLf7P5UBafch0AYcDQREompqJntmFDIpSY6ZqqUlNPn5+aa4mMHQl9rNlUg+CRNj3IqAxfs1KQSyHQLE1wS/LcFTyQGk1vDbIjEzaNB0s3z5O9BKvu4NhfhtUWNajB+uGxs3gPDSPUA8IOlZNkKAu6FfcslkMOTTve4LnkqOmpyc1tkNnQKLc845A8//CkLw3uu1QbSlkls168yFF05WoYEHpcidKQMSuSHL7gaLRDUW+6YHCJmUJEdNS9qokpBZtOgtTMrFIKYG2u8V/oLvpFkHc+v91TDVKtFJ0YaSHrMcAsRX7skT8NsSQkZywKg1/Lb47smTJ0JC2sc0Fr6fdiTi8bHm7LPHqN9WWihpZaZDgGbOCxf+wpx33tteV2VdlRw1rOd9vL8lEwUW69btwB4gcNaqSffkmfAt22PGjx/c4m1I91ata1kIKAPSsvDUp7UyBEQDErApF0JGcrShJTUgJGRGjx4MKeoNJl70a7uHdGZ1NmYy9El3ImDl5Awx//Ef39dJ0YaSHrMcAsRX7nLeufNyDxIMHEHLDWofHAsO+m219G7ozSdmJsNvbLUSM94I6VmWQ+Caay7wIEDGg+GzaYLFHOnrX7/IPmnhYyBoRNpnHzbV1TVqfpUWRuGvVAYk/GOkLfRBQDQgAZtykcxIjvtb0qZciCgrlCelMiSgkqbxpnfvHebxx9OHCU76Vy1UCGQgBJJqQEjIkIGnwIA+GUitoQFpPjFTAGLmoBIz9lDoMYshQG3/6NFXQIP/tAcFCgpockx8dSLX3XbbU9Z9d97pM5Py/nHcZ27QCJ8rSvCh+3Sz3yBAInmlDEgkhy17G01moK1tyoWIqqi43Aa8E20rcRSGY2OkGGzOH0ms0hKFQBZCQEw6rr76E/QeFIz4rEpOggYMSUPRjS1u0pEQzjMlMWMgOOimZpNZ+H1ql4MQ4FpH1f727XvsCsFT/23A120VT5kdOxrME0+sMC3FhPhNneNxR5roDxohbbCqhmLj0C6KswKTiObKgER04LK12cIMBGzKRfMhOYDTkhLViy++3ixb9oapqXnIBrufkAnsW/aZ2bBhO5z45mXr8Gi/FQIJEGAI69///i+w6Z7mBY4QHyonP4xoOp06zcFGgJNgX+7bMyThac0vEM2lG87TT8wI3mLOyMnZZw5BqDB/vgoOmg9dvTMTIUCBwWWXnQshHxiQmtkevlL7wSQ5TisrO5pt23a1WCQsru1i6mw63Ge9zjo4Zl/Wux1TZ2N2m9NOO0lNnT0oRfJMGZBIDlv2NlqIioBNOf3g6I/B+OCVNmxa0qZ84cL7TI8enWCicZ39cD8hQ1MSRvi0mJ8yEFCF5umn77fv06NCQCEAzcZ91maExlzrQUOEBZKjhiGuiWcthT9igrV5sxM4gm9HxCsrEW8dYqaxcThMsKrUBMsBjWbZDQFGwhoxoj+Crjg7kRMcgqeSo6igYLqZOXMiNg68uUUAJtrSu+7C42jqLNSpvFNyM9zMnj3UnHLK6BZ5rz6k/SAgQ9x+LdA3KwSOAgKiIt6zZ7/3L9qUUzIjP5yWlxe1iIqYauERIy40e/bU4qm/wM9JJGREikoGyCJsNkCaWmAeeOBxuUtzhUDWQ6CsrMx873tXQlrpk2rSppxJcpxeffUq7C3wMgJIlFlVx3MImnOsO8KjvoCTfLGacxwBSlqdHRAQM+euXV9I2+Hevf/SooEjqCmlBvQHP1hkv9c3NwQbMg4WCW+av/3tb8FivYocBJQBidyQZXeDKSVZufIZxPcntwEOIIWNarzoCWxSdBlUuiccV2hNMjwSytN0+MgDPpkPpwlSGIudZWbNmnxc75Nnaa4QyBQIkBn4r/96HmZOfb0uiTRTcuDShg2mxRxbqf3Ytm0HzESuwX4CL3rvTTibDmfW/ebmm+eoOUcCbLQgGyFgmzkPQCAXXyQsrnVMkuO0JUPd89FiaXDgwKW8NMZv6myXOMdyk58fbzFNaeDRetGmEFAGpE3BrS9rCQiQCZk792JT2IjdxoWAaZrjRXfdFTtux1a+a8mSX5p774U9rD8uOSdiOtC6E/J0c911PXHv3UrItMQg6zMyBgIkaEpKChGgYY7Xp6b4iuu1a0+19gy59VY6wh5fCjigE29LUj0vpg7oqUCj5VkJAa55l146GYy5o4kgFIivFPZ1dHJkP/sZmYaW2wvk/vsfxzxRin20founI/lNnYVSteaNOBiQfLU0sKEU6aMMa6Q7oY3PLgh4EtWeqTsOxmDBgpXHLVE98cSzYFZ1qvnud5uYVTnhCCUsIeRB5tFHlxver0khoBDwICAmHb16/dkrTHJWWvrfLWbSIb5iffpsst/k+IY1fW0sVqEO6E2BotdZDQGur9/+9v81jY3c4MpJZOCbhM6+5ZanTHHxRaZXrxktEglLfLb27TtF3moMLZ/pY0lK1XVA7wqcPaw+Wx6UInumDEhkhy57Gy4S1VjsstRAaCGJ6ooVC2GXGsNkfIX9rpRq4ZFgVBoM79ekEFAIeBCwTToGwiRqmldYhNOD+DFwBHOk7duntpgGRIiZLVscR1o/3oqLCeaIeJwO6PuVmLGHQI8KAUN8nTVrCkyYHZNJMXO2tA8OgOB3eSjnNWzOO9ucffaYFjE7Fq1lTs7nwVEQYZ9TmptbCw3NeeqzFYRSJK+UAYnksGV3oyndrKw8BOJhSVpAlJa+eFwSVUqCTjmFe3+QWvpP+11+tbC83ZqY/w4GJM889lj6NslfNFcIZAsERBuRk+NjzrmZJ51MacLoEBix2KLjwleBJ/F20aK3EMWnGETUB3Yx8bbKuaMCuStNpT15rhIzDmg0UwiI2fEFF3SH2fFIz8xZGAHJzX6YJhe3iNkxHdBLS6ebF15YbxoKnvcGQd4luRkFYeAWs3fyRVkBAABAAElEQVTvVjV19qAU2TNlQCI7dNnb8Pr6ekS52gsA+MSaJGSYJMfppk2NiKqz85jjlFMS5Dmgr7Yebx0Yl5zv4c8lZMabceOGt4gkyHqHHhQCGQIB0YAUFn4TPfIFjhCJKnNKVHP/1CIakKADui/yVhJ4xmKbzIQJw5WYSQIbLcpeCJCJ//DDzdgPZEhqIGD9awkzZ75g4sQxEBbUWqG4LV/LlD5bYyF4rMX9Y1O3S2siAwFlQCIzVNpQgQDjjl9yCZ3kpkmRLaXhDuV0kmOOlJs79bjilIskyHVAp9RWkhBP1vUJYD72m4sumqaEjMBHc4WAAwHi0ZgxA6EhfBAS1RmeRDUJhLZsacQGY3OPy6ZcTDny8ta4c0GSV6FovOnbtxekro7Ta/KbtFQhkHUQoNCAgSPy8nyBI5pCAWtgSwWOoFN5cTHj2S+135LCZ8uY/7EsDXi/puhDQBmQ6I9h1vVATDoGDHjN6zslJjSzgFTGclxD1r//iuMy6UiIS+4wNgmRt0w/s2bNlxqXHDDXpBBIBgFqLWtqiEAw60iVQNCsXFl+XFpLPlrmB8sBnWZedGJNkmKxuDqgJ4GLFikEiEOxGCVuvkhYXFuZJMfp8Zo5W8/DQYQGhYUn2kU+4wbj89kyZiw0liepyaQALuK5MiARH8BsbD6lMzTo3rRpp919cZLzAwMmHRu//P1xbUYYUAvz2f5JkfuAuGkgnPH2qFrYhYeeKAQSIZCTc+TlprGRHMPxpVdeeR2S2c/Mxo3n2w9KYc5BB/Ty8j3mlVdWHN8L9d8KgQyDANfYjh1zEZzBpwERrb/k6POuXdOP22yS5l6DBk03y5e/A/+OI/ls7YJp2Dozf/4jGQbx7OzOkVeE7ISL9jrEEKBJBzcj7NULKo+an6c16TjWzQg5KT777HumSxdyHc/Y0PA7oDMkISWr1mT8PlTVheZHP/q+fZ8eFQIKgQAEaDY5eDDDZk8NlDe9KCo697jMJvm8JUsegmkVNS0f2o/34y3jSTBZeFuOCHd5ZvHih+0yPSoEFAIWBC6++Hrz/vufQwvirH0p4HLo0CLsSv4GTKLnpbjjyMX02aqtbYSGtKepz3vtCH8YiTW5WH0tjwClqFQrAxKVkdJ2BiBAFXFuLqkJ3y7HohqWHLVlZccWCYsSoNGjB0OzcQN2Uv6j926/AzpNVquhkY6NNw8//H/V/8ODkp4pBAIQIL4ycp3LzLOWARyqnNyx+e7d+y/HZTZJwcE559wE88uTjSn2OaADT63EfQWcwBF5eVvMmWeOwRwhNh7OPZopBLIcAtyVvE+frnD4vsqDBDWJXfEj/jj42tDwNdOjR6fj2pWc5lezZk3GRqWD7M1+U7p3XGq6d3/bbN78qq613qhE+kwZkEgPX/Y23jbDIkFzpQcEUQ1LjpqKilnHpCIWO/KyssWJk6Lv+cYMMJ06fWoef3yZ1w49UwgoBAIQIL7W1VWAWafq0EkkZERY4OSbN8ePy2xS9v9YuXI0fMKAqCmJmUkgrnIR5W6ctEZzhYBCwIEAmfIbb7wYhP4DHkz64XSLd8mzTp0WW8w+mf4777w3WNnMK2pbqEVxza/8JtUyP1jP2gaTyarj0rY0s0l6WxtBQBmQNgK0vqZlIUAGoa4uB1oQMAhp0rFqQISQcTcyk0lRmA/JzQkgZPKVkEkzBlqlEKDZ5KZNr5mBA4E4DSOMIT5Rmyh4xBx+Ww0FjyMc52XQPp5wTGYW4sxqRcDi3gEBAgbXbqo1w4cPVmdWFx56ohAIQoBCg8GDe0EA9x82vm4L1hNfqxueP+5IWNS2UItSXz/PfoH4WgruSg71S1FR4XFpW5r0QC/bGQLKgLTzAOjrjw0CjKpTXX0QxEoP7wES7UZy1FCiejx7gTQ2/t1+vkyKvHInRF70h/1qypiBvEGTQkAhAAhQaFBRUQPNRB+P8UgCmWMVGvBRorm0ImCxgFoWSQG8HWb27TugzqwCG80VAk0gQFyyzSb/5OGr4JDk+M/x4KvfZLJD55/YLRCfLQol+OO7LEFFuZk06WQ1mbShlBFHZUAyYhizrxPi1Mq9PtxExoMWHnQNcSw9cnKObS8QkaTm5zvcjEyKeDT3UjPEHGtS/AJq6iKVpBIumhQCaSDgheIFA5Imbd4MS49j3EA0QXPpx1v6bLl4Ww5Tr+2QuvIGTQoBhUBTCFADwr1AWsvMme8TfKXJZE39F14TxGeLJdzbqxomlWY4wv4Ws0RThkCA07EmhUDkIECTjo8+eg4Rc9712s658iB+lJg4E9h5571tFi78xVE7rYkktXNnRwPCt8ikSNMOa1KkDexhqIU7qySV8NGkEEgDAQoNhg3rizumprkLllgF0487ElZDgxPOk28SvOXc4OAtNw2KxShJ0KQQUAgkgwB9Mz75ZDuqfJGwxKdKctTW1j513JGwLEsDCRCRrDGmB/D1A2hQBZmT3qSFEYOAMiARGzBtrgcBbhT40kv/YxeQKWAS1TBz2Ki+8OrTYBLmgEmYZM47zxfRw7o5+YFq4SeffA0S0gqz5+BryW9ySqurTzY9e5Yek7162gdrpUIgwyDgmXT4CJokfezV69giYRFvFy16CyGxISXt4IuAleQd2ELNjBw5VDWXSWGjhQoBA8HdfcAlmhKkD/Ry6NCc446E5cI75TZAlWhLBzNlygT3Vj2JPgSUAYn+GGZtDzhBFhfjE6550LNRTYBGlTlw4JKjmiC5kdm6deshbQHDApP11Ok8VL0JBiT/qDUsqZ+pNQqBzISAmHTk538t2EGG4u2En+NKdaybm9GcY9u2HbBbv+YIeHsKpKmfmMsvn6x4GxwJvVIIuBBgJKxDhz4wZ5zxvFtmnVBTQTNn5kiTJr0Ak8mXj8k3Q0ydCwoclYpPs2KF/OULLFPn4WbAgDIVGBAeGZSUAcmgwcy2rnCCvO++29Ht+z0GxJqsUCQ5Tq++etVRTZCTJo039qbNqxJBKhoWq4bimhgmYJXKJAJKSxQCQQhQAxKLFUJo4AtZLbuU+/AqP3/pMe0FIsSMFQEr+OomVzHVfjSBiF4qBJpCgBrF0aOvwNrpi+pCE0ZGsCO+Mgo+0ubNudZ9xxKGV0yde/feaD3L8t2kQIJpH34MIgGrq44d15mdOyvV1JlwyaCkDEgGDWa2dYUmWHPn3olu90vb9WXLNh6VCVZ+fj6IJHqsbrKf2815PCddMjYusRRHGOA8w/s1KQQUAukhQA0Idx7cv5/OGEgS2tq+so8wm6ysW3ZMe4Ek7CcgeOt/vnU+FBrOLbqfQAJctEAh4EFA8HX79j12YQp83Vbx1DHhq9/UedOupd6Lk5wdOHAWQgIPUFPnJLCJcpEyIFEevSxvO02wOnWidMZno5oEJkdjghW0I3cktRJJh8wHX8ecP4hmSktLVC1MUGhSCBwBAgwccdll50ILAoKm5vyAlrLpXysrO8Kcahf2+klG9TS92xji7datNdjMrB6Rda6zbxC89d9u4W05Sup0PwE/XPRcIdAEAsTXlSufMb16AZFq7kmLr8eyd8/RmUz+SU0mm4xPJlwqA5IJo5ilfbj//sdhFzrQdOv2nAeBLs4pVbeOKrdbt2UIJ1hqHnjgce++FGe/+c2T2Fjp80T/Dwm+QWd3Sy08CieD8TugduQpYKnFCoFkELBDW5d6VWLhITlqGhtTeqN6//OdkZjZsWMX/nczHNDneTWCtyyx8JYncTN0aP9jslnnvzUpBLIFAjSROnSIXHsTP5AmADiWvUBWrHgHe3nVYC+v95s8rellGTb7NWbFirebVuh1xCGgDEjEBzCbm08V8ahRA2HScZEHhjKcig2pQ8NUVV1o3XfrrTQBSZ/+9rclYChgB2Ic/w/X3Krp/0bDT+QD881vpte+NP2XXisEshkCDMU7duwQgGCKBwbBMclRU1R07jGF4rXCeTJwhO9Z3ot4dj6i6Ww3vXt3DxbrlUJAIZAAAe7ds3//AZT3TKjzFxzL3j1Lljxk+vYlHjrhsFOaTHI+KDSLFz/sf6WeZwAElAHJgEHM1i5QRVxRsc0cPnwf7MkH2Tble5tAA7xEXe63zAsvrIe51PS0oXjpU3LiiZeDsRiAh7xmP4gMTdK0B34iRdh5+YdJa7VQIaAQSIQAcfb8888ELj7pVdLKihF1uI+PE1ln/vzCo9q/R6Sp8bgjdaDQNmk6hPmiEWZgzTPtSvoILVQIZAkEKDCYM2cK8MUnMGDf6cbFyHWOO9fR7t1Dk8lzzrnJ9O/Pnc0dYV9Kk8k9ugM6YZ6BSRmQDBzUbOoS/UD69YM5x+Efp7VRbY4fyMSJY6AOrrXC9poOSSZF8TW3iJtKU1hITYkmhYBCoLkQIOExf/5jZu9eqimcxEhY5AeotXAi6/zzPy8Cg38R7M9nmOZE12m+NHUf/MY6qzTVAb1mCoF0EKAJ1osv/hUmUI94txFfyecTX539txoanjHLl39iTjjhombhq38H9IoaR9jHNyQ1mdQd0AmaTEzKgGTiqGZRn+gHcuAAqZf0/h3N8QO5447vm9mzZyCy1R/tfQQo4WGSSZGvsezIT4dEqKs5++xTrWo9KAQUAs2DAM0m+/XrA/zpb/+BONU0ga8/0PgsNBWzgWNjjhj5hprLvn0vBFMD5OzwK/tpKaWpw+EPlqP+H01hrtcKgSQQ8PCVVgFIgq+iYWRuWRn8sdn4yscEQmZTFoG9Q5On2Yh+9SVMqOlzqSnTIKAMSKaNaJb1x7NR7R3sOSUzZCAcCc2+fZ0Qz3xnyqg6JGI6djwZOym/Ci3IDvtZwngEn4yrQZAIbTKrV69NqNEChYBCIDUEaIL19a9/1RQUbAWTPziN1jIO84zXEC532xFj/3/22RdwlD1gay7JeEjy468lOJiJmrdMebk4icmNmisEFALJICD42rHjTuDriWnw1TQbX/me0aPPhRbyr8Dbd+3XOqZciW2oNBs27DBPPrk4sUpLIg8BZUAiP4TaAXcXVQGF36TDmdiOFFWHplx9+vTDE2CI3uEt+0l+p7iAhGYP/ERiCFG4VN6ouUJAIdBMCFBo0NBAG44z0/6juY6tb765FIEjgLfmWWNtZCamkglPr4fmpdB88cWfE2q0QCGgEEgOATvYCzUgtyW/wSmtqJjVrGAvNMPMzaVzJdUpTmAY/1obsGymnVcDImAtdN6iWSZBQBmQTBrNLOwLneQGD+6JzQBn2L0XFbGj+bD268CEFi9anjKqDrUf3btPNNu3U2QKfbCYp1Oa2uAAlYwM9yZs4IT4Gd6Xp2YcDmg0UwgcLQRyc4+89BxJaMB3BgJHdHDCcUso7iSN6tatI0zAKGjQpBBQCDQHAvQDWbsWGkuzKO3tzQ3FS/+PL7+kEO8fsaZeZz/TbzLJc8oTLDOvL8ygQX0VZ20oZdzxyKtAxnVZO5RJEKCK+OqrZ4AheASMw/g0KuJKmGA1mtNOm5vgJEfn81iM3AU4lQ7/EwSPXzXMfQoOXoUwnh3ArLwevE+vFAIKgWZBgEKDSy45Gzg3JXg/LaNoNllpFx8pFC8lqW+++QHMOA7C/OoCW3BA5sNPzBCtmSxiJmZqax0vd7tUjwoBhcARIECNpb0haK+0d27eHE9r5ix/loh11v4fFPZxXWXym0xSC1J9EQ4dzJAhPVirKQMhoAxIBg5qtnWJKuLiYs5iN6bt+tq1pyZVEb/11gf4H//f09N++FXCgaeq9iMADr1QCBwlBFJG1iEKUsHoECT19YtTRtah5uPHP37A1NTQ3gr2kR0cU479TmOEmCGBY/l/TILgYIsZN260c4NmCgGFQHMhkJNDUtHi4u2/kNFnyGziliMwaGjw1dt3JRwpNFi9eqOz0aiz/4dYKyTcTelfA0LwTkio0YLMgIAyIJkxjlndi4svvt7s20fJ5jMeHEjIMEmO09rap8yyZW9A+jrPquKBE+KOHfWwSeVkOMgtD0hRpdSaXw+b733vWinRXCGgEDhKCEhkHbit2v8Us0nBVeaQgB7Ofz5lZB2acTQ2coM0ai2xQ7KYTfoFB/QFY7Lw9gSEzY6Z119/yi7To0JAIdAsCFBj2akTbZF3efeLC4dXgrM4mPweCOTyVoKVgdz2yiuvY+8uqihPBN7+yi7246zcaOUV1gaEP/rR9wOlepE5EFAGJHPGMmt7QgfyvDw6tV7lwUCEMZKj5tChOaZHj07m6afvd+8jMTRmzFfgxPpPiROiSFF5tyVFnYyTkaa62l/hPkpPFAIKgWZAgGaTa9cuN3PnfgWMwyhPsCqMiOR41r33FpslS+4Gfootlf2CpUsfQdCIgbjwITirSNuIlRUlsx1ZNgiHzzFHBJ+BQk0KAYXAESBA3Nu06TXs8QHJQP1M23d8b5M/0WSqw5MILnEZIlydkDR0tmg/4hbKQvggQgO/ySQfSwGEdc9I7APUOQH3eYumzICAMiCZMY5Z3QvuBZKfXwQYPBGEQxUufTblyfYCoTnIxx9vhkP5YntCtAgW/K8JXWNf70Ko3lW4f2PwPXqlEFAIHBUEaEL1+9//Bf8ZkPZ/3JCwqGiSOe88T7hAQmbEiAuh9YzBkRX2WkLIiCSVBI0krnB1vUA8FZiuXbtKqeYKAYXAUUCAVgZbtoDrOIyFsena6HtOMisDqU6q/ZBKv0yPa3b15cBtaDYNTSw1ZSoElAHJ1JHNon5Ri1FUVAenVrHhQOfF/MJXtG9fR5hbNZgnnljhqoj531GjBkItfLkNMVp1MPknRFf7MR57GFxsXn319/Y9elQIKASOCQLUWhYy0o1fa5nkSQcOXJKgtSQhU15+EP4fPUxj4Xvev5pKUlljEUtDwMCcbTZufNW7V88UAgqBZkNg4sSxuJfI5HMIl7VVctQeOtQFWpAGM3HiuIRnszxuqT982g8RGiTcXWFKS7sCZ/+aUKMFmQMBZUAyZyyztieiIh40yAGBz4TDBQpUxA0FjyeoiAMaEN7snxCpVHETOZN3zUsvUSqjSSGgEDgeCFBr2alTCYQGjwcfQ1NzaiGZIyXTWk6aNB4+W1y6HEKGeCp4myA4OAuVu83zz7+OXJNCQCFwLBC4445/tMyhjDnH+zv5EVo+07KROVJ+/jQze/Y55kc/+ke7wDlS4/n221twlYvfZq8updAgZgYO7Ofdp2cZCQFlQDJyWLOvU66KuGaipyIWyYzkAEtTFTGlqWvXfgZJyywbaJwQncnUivJB4sa6LsVJDHuOCKVj365HhYBC4OghwNCe1dUHIRHt5f2ZWksGvuGq5DASFRXFCaE977jj+yByZoAJ2Wb/l9F4UhIyB7CPQK0ZNmyIfa8eFQIKgaOGAAV1VVWU7PkCvRBfiXfkKarwQ+rX7/9v707grajrPo4PXLhcQUDFBVQUFBdE3HIXBXHBR00rTbMeyxbT1OrJLM1Ks9JWLXOtLC2X1CRNc0+TFLOEzDSMNEAFUxFZJJbLcp/v95z5nzP3cO5lzpl7Ee98/q/X785y5sw58547c/7bzEwodGm+5JKfFmfEf5ubl0bLlvniLBVMwvN6wk/pKpUGo7XcfP1W+/NIXVmAAkhX3rs52jZ36WhyYSH6VHmrXUPjFIYarbwQffz4H0ebbrqhXrnXSxZT6IblKXdBLUzPjRobm6LbbvtJcRn+IoBA3QKr3FlnebyqUFngoVotWxp/1+rOOr7+w8/y8TN9Gvs9UXxTMiMT38K3eNOI/fT6cPUlb6DbZMzLAIF6BNxVefDgAWrhOK74drdQ+hhNlhF0vL70+pSqt7q/445ros03d2XDHcVrtnwb3zYrDRrU+tErOv74I7UQqSsLUADpyns3R9t23HGnR4sWuaRxc3mrdUIs1Mz4ora4hqah4dfR7NkLdXI7rXAL3gMOOFUnxpGqlbm9/L4qY42NzdF5553M08+r2DALgVoFQrfJXXfVheGLv16uJAiVBWHYfX6rbpPhmq0pU/aIFi96pVj7mszIuCDjSoOWBhU85kX9+z+j2/XyM1fr/mF5BJICPl4HDeqtY1F3kFy8b7FXQDhGEwuubJkc3XPPNF2/MbZ044hw04g5c5bomIxbLSuf1+N1FK61HK2RBfotb9Zzfs5IrJnRrijAmbkr7tUcbpNbQNZf300g5bvlFPqm2sI1NXG3qhUr3l+6qDWZmSncSccFlarp/XqA2fZVby1YdXFmIoDAagXcrWPGDD9bYEK5AFLlXRtscF+pW0era7bco6PQ6qlhshuH5y08QN27RnLxeRVPZiFQj4B/Y9dZxz+mJ7d7vFbeOMK/s/vvv6e6YA0q3zQitFr6i7grl1OhQNOgz1gUnXrqCcV5/O3SAhRAuvTuzc/GbbDBBqrt9PZeVtzoZNNwYHCLyDofjebO7atuV0fofuUHl2/B62WSmZjwnsLw+WjatFejyn6trRZhAgEEahJ46KHHdCz6oGv/+RzTp8/TdVrTot///jFlYprV/Wqm4ojiZ7m1o2rqpgLIk7pb1ryqrzITAQRqEyj2MnBNXqKXQZVVJHsZ+GVXGkyd+h/1NFC/Ld8y290k3Wq5yvN6BmnmW7q2q0f0jW98TuOkri5AAaSr7+Ecbd+kSXdG/fopR7JYzcRVmocDRaih+eMfb219C95krUzvsLSH2+vi801oAUmSMI5ARoHx469Wl0Y3VxxTXpPLIm8p3BrpBwkW0ntVYbC+Hkh4dXTOOadH48btq+tCni2+lDzO3a/cFbSFeQujvn37qjvIz4vL8RcBBDIJuAWkb1+X+BO9DNxtyserh/HxmuxloLmrVhqE671cCAnJOdHmA/TnDd35Tt0ySbkQoACSi92cj430rT0bGvxwgV+3u8Hh1p7XXTc+uvXW70ff/na8uE+IcVetQg2NM0OF24HOLoy4HywJAQQ6RsCtlpts4mPq8vIK3Urp5IJEnAYMuKtwnZav1/Ld7vzg0IEDZxRf9fHqDJCXd79yF1zUqDJgQC/dlKIvrZbiICHQEQI+Xg89dBetKnG8uuBRkfz76usqfbxeeOGPVq00GFDxhtLkND0bqLdaO+8rzWGkawtQAOna+zdXW+dbey5Y4FtWuSk3kSpqVOfNW7dwa89rrvlV4SnLZ511a3nhcAcs19K4qVi3BW1omBtNmjS1dFFdeWHGEEAgi8DixT7g4maMNrpNzll0u54h8EKhG1Zzc3Oh1fK114aVPza0eiQKLYsW7R2NGbMnrZZlJcYQyCwwe/abukZDrSArNireASv8XoY1qwLBx+uTT75R+I1tbl5W6ILVqtLAFX3Jlstw/OrHtnv3nlQaBMscDCmA5GAn52kTu3ev+JcOF7glMicrVxabOY499vDCBemLFg1tl2jFitG6v/lm0S23qGsXCQEEOkxg8uS7426TP26dKVnlE4rdsEaN2qPQAtKr18TyEiEzE4Z6pbFxYunC9fKCjCGAQBaB0aP3ipYvV7eA5oPKx2v4bQ1DfUD4jfVnhZu9tKo0UCtlKcWtllG0czRy5DAqDUowXX+kIrfW9TeYLey6An62QM+evsrNd9ZRaqNGtaXpxsKzBe6++2ndbePd0YgRU4vLh7/u5ppIfv3llx/kFrwJE0YR6AiB8oWtiQechYxMGOqDGhpuK9w++4knJhVaQJYu3bfdj/frO+ywBZmZdpV4EYHaBPwb27+/+0keWH6jC/4Oz44rAZqaDta1WnsWlgnP7Yl6tt81urHxb9G66/ZSCwtdnQtwOfhDASQHOzkvm+gT11lnnVToMhUtHls6GVbb/rlzZ+vOHDOiq656XE9cTZzwQuEjDPXmefMWF/qyVlsP8xBAoH4BX9havCVO4sJW14guVZRqRtXjI759th8E6uu2lvb4Qbsfuv32UwvLkZlpl4kXEahJwNdgvfmmD87by+9zLwPX+7kAEj/fY+XK30R33jkxevTRPxUqAvzcniXLZkRRmznOI6Kdd94h+t3vfqqVkPIi0Oa/Q14A2M6uKjCw3Q1radlU/U1bdJvOcdG/XxnfetmKlpP+/ftQk9paiCkEOkTAF7aOGjUy2nrr68vr84Wt4Q45hZtA6L47H3g6OuWUdxcubN1nnzOjlqV6IVFvkLxoPYp2imbOnEulQVmUMQQ6RKBYYeBSRnwrK/dm9rWS8XFa+BBV3i1d8Yq6YfWPnnlmZjRhwlPqPXBbFC3Rq30KS1T5szj65z+ncf1HFZmuPIsCSFfeuzndtsbGRPNFMHCGxjWqIWMTzdIJspsuQr+heGIMt9114cMn1FIhZC89T6AXJ0aRkBDoDIGGhuXRiy/qThEr1m/zwtabf/vD6IILboj+8Y+puiB9h+JyIdPjrlohCl9wx2jhwrcKt//sjO/LOhHIq4ArDL7ylU/GvQy2KRY8wm11A4qPxZ6T9fu6cTR//lvqQTC//Nye4uWXYcnEcFm0dOlyjtmESB5GKYDkYS/naBvdR7X4RPTR5a12E7FbjX1idFOxUlPTiYVnC7S0fLzYVcuvO7nw4eU8LKStdGKcQwtI4GCIQAcL+O51y5crF9O8T7vdJpcv37Dwyd26PVX8BuEWoD5eGxQeFtIL0VZbbR6dffbpYQZDBBDoQIFu3Xyw7dHu8eoL0Zua1ouGDRtUfm5Psm7Qj/vwagrXjQyOdtllW47ZDtxH74RVUQB5J+wlvmNqAff5XrZsrpb/cfE9pZaMxCrUV3VJt1OiWbPe0m17f1R8ITz7yCfEEBrt02dq9Oqr82kBSfAxikBHCuy33+5RIT8TqQUkJHfVqLh9dnFGDz0M7bniUm7NdObFtarO2LjSQNPdum2lmtdFHLPiICGwxgTC8ephnJYuXaCbR/y3/Nwet1r6df/GzlPE13kNGPByNH36axyzIslTogCSp72dk23dbrthxQzN4iPbraFpaXGu5aNFlZCZcYHFJ0cfGcrMNDScGR1xxFhaQIpK/EWgwwW++tXPRkOH6rkC0QHldft5ok4+FuPUo8cH9YCzDTT1iTCr2LLpKR+3fo9u79nUtLnu1MN1W2YhIdAZAg0NFVlH9zJw8vGa6GbVq1c/3eq+T9TqFrxexhUHiWOb5/bII4ep4r8ohwJscpcTGDt2HxUc/K/dr7xtPtmFGpr5YfaWahq+LExEUXiokgsjvqOHMjPHHPN37qZTFmIMgQ4XcKvlypWLot69ry1mTFyYCNd3hE/T8bi88bTCE5bXWeenYW75Wi2/J04XX7yrrhW5i9t5BhCGCHSggLs59+7tA271t7vv2XNg4RrKLbaIu036e7jw4RSGGh07dh6/swWUfP2hAJKv/Z2brV2xwk86mlbe3lAWSdS6RNHz6nserj7XoqFWJnFifOCBZ6MddzyOO+qUJRlDoMMFhgwZpNthK1Oz5LByxiQcq2Go4/KJJyaqNlXHdphX+U00/+KLx3PMVrowjUAHCbjCoF+/dXQXyTd1TeWo8vFaZf3hdvevv15Ro1Bx/P7zn3M4Zqv4dfVZFEC6+h7O4fa5hmbQIHfpGFTc+kTtaInDLRzr/EV/dAINySfFUAiJ57355ggeaBZ8GCLQSQLjx1+tFgsfgMeXP8HHolstfT1IqdVyc03o2E5UEhQuQNfcQtL8F19cxjEbPBgi0AkCQ4duplZLrzhcPKlRX4NV0cugpWVdLbdMd8Jq8sLFVOV3lmM24ORrSAEkX/s7F1vrGppevVaqhuYl1dDs2zqzskgEvvDNw0J6KIyUCx+JzE1j48RoypSXuDiurMQYAh0ucOWVN0SLFjn3ckV53cl+5aW5MzU2qzRVKHz4Ui7fBStOffrM4ZgNGAwR6AQBVxh06+abvbxWXnt4xker1o05en1TVfbdV17Ov6+hEBLP7dVrlh5EuAXdJstKuRijAJKL3Zy/jfzAB45UzYu7YSVKE87QuCXYJ79Sq8gYTcQpnDjDULObm/ejNjX4MESgkwQ+//mTdT2WVx6XJErHZ+IDQ6vlOn8vz/R7XBNbeG9xNsdsmYcxBDpDwM8D2WabwVq1bp/t1N7xGh2j1/U7HB4cGgofpd/Z90cjRmzFjV6Kkrn6SwEkV7s7PxvrO+v06eMqmU2KG93mCTK+DW+gqTgiGhoeomYm2DBEoJME3Gq52Wa+Da8O1MU7tao3KGRu3GoZjmE/yydkZly/4EqFUj3Du3QDCo5ZiZAQ6FSB7bffTq0g+o1dfHHi+Fv1I7t3/1uxnOLj1MnHqisM4mN2//27RYcfPprWD9vkLFVkt3K29WxulxVwhqalxV06XtIJUoNSBqVik33Hq5B8NLhWpnRUjIoOO2wMNTPBhyECnShwwglHq/DgA3Bk+VPcarlE4dmlbpPxPA0KhQ9nbELmJtpYmaJGnqhsGxICnSjwzDNTdKz54Hyg/CmuGFjlOpD4ZjDhwaEufPh4jlstJ0/2HbAmcKOXsmJuxkpZrdxsMRuaG4EDDthb2+pq0+tab3PyBOmMi/uQO/mk6IKKh0o9emwRvfe9B1AzU+TgLwKdKuBWyx49XMp4tfg5ocUj+anuhuXkx4E4heO3VADpHw0fzlPQizj8RaDzBCZNujNqbPQP5gfKHxKOz/g31C+0tLyv+Lor+7y4e235N9e5T00vWrRxNG3a81QaiCNviQJI3vZ4jrZ36dLlOkG6g/jl5a2udmFruEWvT47OyHiotHz51OjEE8+LDjkkcYItvsRfBBDoYAG3WjY1+SfJt/fUID4OQ4VAaejPDZkZjzs546Plu3d/XpmZV7lpRAGFPwh0noCvA+nZ0w/Puqz4IW1VGKxzcvlL+Lh24WSpIn5waBQt0PWa4WAvL8pY1xegANL193Fut/Duu3+m1gu387ZzYat1QmbGhQ83IZcKIcP1ROWm6JZbrvRSJAQQ6GSB73znbH2CKw2uLX+S8yZutWx1O15N+x4TTs74xJmZlSuHR1ttNZBukwUY/iDQuQLdusXNGIsPLVcYtPeRPrSdwlCjPXT3iaOPPiQ6++zTCy/xJz8CFEDys69zt6WuUS3eWUcljMUHtX+CdGbGZRXX0LivqqZ7956i9/eKrrrqBs0gIYBAZwt85zs/Vr9yVxjcXP6oaq2W5VdbjZ100kAuaG0lwgQCnScwffqj+o10aeKE8oe4R4ErDPw7Wnp+T/nlUstmPOuwwzbkKegJnjyNUgDJ097O4bbuuOO2OkE6Q7NJ660P14GEuS58uGnYwzgtXrx/5G5cy5a5iYSEAAKdLeB+5S0t6oLlblhO1bp1FF+p+veGGx6Prrzydi5orarDTAQ6VsDdsPr08UUdibtJhuuzqn1U6GkVhlpm9uzePAW9mlUO5lEAycFOzvMmjh69V7RihUsb08oM1WpUXfhwZidx8Zz7k48bty9Nw2U5xhDoVAFnaIYNG6LP0N11Fqs7ViKjUqhV7V3x8Ynj1a8sX75eNGrUTnTBqmBiEoHOEmhqiu/i4t9Px1yFr8mqrOTTrGrpz392n+elHLPVcLr4PAogXXwH533zzjnnNF2Y6lxMnJNJ1qjGz1AqGIX5YaiZG274X54Bkvd/ILZ/jQusWOGD0Be3Plj+7FBpkLwVb2ixLBVCtlRt7KvRbrsN5c51ZTnGEOhUga23HqaL0dV3eXmim3N4To+PTR/OyZxmXF4pfqk9dZ3lY9GTT97MMdupe2ntXHny32Lt/IZ8KwQyCPg6kG233VRrULXM4q1L5ZDIGZpwvUfV9R8fzZnzOt2vqtowE4HOE3A3rO7dnYOJ7+mZqBRo9anuLul6hVK3yVE8UbkVEBMIdL7AxIm/jkaOHKoPaqPbpCv6wjHqwoeP2VIhpK/ugNWNu9aJJI+JAkge93rOtvnd7z5MDzjzbXJ2Lm55MkPjZuKq6VmdGKu+wEwEEOhEAXfDGjx4PX2C7tXpSoK48bL0kQPiMc935iZ+vVu3v0WTJ/8rOuqoj5YWZQQBBDpfYOrU6foQZSf9m5k8XsPva3gIYcUxG6kmkOssO3//rK2fQAEk2545UW9/TDFHMVvxsGJfRTL5l/RGxTyFqwiuV4QOBRoldbaAb+3ZvbvPhJNWzdCEzIy/xLrJbzKSe5MnORhHYA0KLFuma0AKF2QdWf7UcNb0PSFCRsavxoWQlpato4EDN+K22WUxxhBYIwI9ejgrqexNy2blzwvHq+ckj1lfx1WqOFgQ9epVeWFXeRWMdW0BCiDZ9q+zrBcotlAMVPxWcZ/CfX5CcuFjI4XbKIcpvNwvFKQ1KDB69N76NOVaQiEjtIL4xOiToZNrW8PJMXpD/ckbuQC9AMMfBNaswJAhPl26TucfxQ8Ox2v4Gr5ExKmbIr5tth/iM3v2bG6bXYDhDwJrTmDaNN+OVw/qaR5c/NDK49Vzfat7H6++jqt0zG4c9evXk99ZkeQxUQDJttev0tsfVPjn0LdyuDQe7qGhkwsm/6M4UzFX4RaQzyuOUmyuIK0hgb5919cn6f6AC3cpfmLyYlYXPJy8B33H3sWD1WVrVrT77jtwYZxdSAisYQH3K+/bVxka1w6EbljJTE3o5hGGhe83T8etTrZnnryGvy0fh0C+BdxtcuVK9zJQjV44Xk0SLkb3uAsfPl49LKUndAF7C7+zJY98jVAA6dj9vZdW51/Np+PVOre7RPFsPO3B3xWud49zwp5F6myBnXfeIdplFzdM6ezngka7aT/dSWdEdO+9P293KV5EAIHOEzj2WNfduMnSrZdKyUqD4pzE33FRU9Mr0cyZfyAzk1BhFIE1JbD33q53dT2rO3rEyYWRUOAIlQVhWFiuMfrgBw8PSzPMmQAFkOo7/FrNXqlwVtXDynhY8yrTYM3w43u/pZihcOqnmF8Ya/3H14P4NdIaEjj//DNUO+pbb6gVpHnb4qcmr/9o9T1m6yLYjcnItDJhAoE1KzB9+mxd07Genoyu06UzMpUpZGwK82erG8fJkWtiSQggsOYF3Gq57bbOBsUptFiWChzhhTB8l67N7K4WEP8uk/IoQAGk+l4/XbM3VGwUDz2ejKM1nUwu8k9QuAByfuKFBRpPXooVXlpPI36NtAYF+vbtp1YQtwkrk+LCh9uhKk+OldNr8PvxUQggUBb4wx+uj7773bP0ZHSdhsO1W6HSwIUPH6ulQsh2uv7j9fKbGUMAgTUuMGeOW0CaWnfDCsfsKt/mDVUKtnD9xyou+ZnRIz+bWtOWurG/3Qb/xNp20vh9issVFyXme/RvCt//dUfFswonL+8iv1+rms4999yosbF4D/xx48bpadzjqi7HzNoEnKEZMeJgvWlh4Q6fhcyLRovPG1BpxBfGvTVGNa7K15QyNn6dhAACb4fApZf+Qh87SIfsdqo0mFquNAgVBR4qBgyYHk2ZQn3a27GP+EwEgsDgwZvp+VmzNPlpFUIuK85us6KvRXmbUe+ongb3339/5HBqbvaGkbIIUADJole85e5dWsUFih9VWdVLmneP4vuKDymcrf2e4k7FTEXVdNFFF+nOEPTQqoqTceasWXPV7NscrVy4jWpVn9fado2GDds1euGFp5SRUUSzot69m/Rgpfdk/CTejgACWQXcajlw4HPRq6+qcKHHghRSodIgHnfLyMIDo/lLBkUnn/z+eCYDBBB4OwTWW2/DaJNN5kWvvTah9ccnj9lCRd9x0amnDtOxrcqFd1BKVggvWLAguuKKK95B337t+6pUGWXbJ9/U293FysO34nDXqnMUIZ2okTcU/1Y4x/ua4iMK0tsgMG/e5Ojoow/Svcf3jnYZdlJ0ww3nRVOn/rQw9LTnu1bG14yQEEDg7RVwq+Vbb/k+HroMb+G7FLupoHFOdMopX1JFwghN76fXukXrrjslev75l9/eL8unI5BzAR+v73vfQVIYXuw2OaAtkDnRpElT+J1tiycn82kBybajx6Z4+zwt878plmORNSTgO2Idc8zmuvvG0epq5UYpNU996D2F6Ztu+q1aQ9psnFpD35CPQQCBILDHHruqkmCannA+Jrryym8ULlz1a1de+c3otNO+Et1558PRdtvtSGYmgDFE4G0UeO65F6Phw2dHzz2nbpPd1G0ydJcM36kwvSBauJDsZyDJ67CY+8rr1q992+1+V/OV6IK19u0bvhECCCCAAAIIrEZg++0PUaWBLkhfd7JaKSNVGuyn54RMLLaKLByjdzeoEnC76Lbb3rldmNwFq39/d4Ap9IJxzxdSjQJ0waoRjMURQAABBBBAAAEEqgv07euLs6Kox5Ldda3HudHSpY9En/rUl6Ney9xppHs0dGizrrNUNy1SrgVoAVm7dj8tIGvX/uDbIIAAAggggEANAgceeKK6YW0ZXX7510tdJv32lStXRmeccZ66Z70Y+XqRd3KiBST73qMAkt2wI9dAAaQjNVkXAggggAACCCDQwQIUQLKD0gUruyFrQAABBBBAAAEEEEAAgZQCFEBSQrEYAggggAACCCCAAAIIZBegAJLdkDUggAACCCCAAAIIIIBASgEKICmhWAwBBBBAAAEEEEAAAQSyC1AAyW7IGhBAAAEEEEAAAQQQQCClAAWQlFAshgACCCCAAAIIIIAAAtkFKIBkN2QNCCCAAAIIIIAAAgggkFKAAkhKKBZDAAEEEEAAAQQQQACB7AIUQLIbsgYEEEAAAQQQQAABBBBIKUABJCUUiyGAAAIIIIAAAggggEB2AQog2Q1ZAwIIIIAAAggggAACCKQUoACSEorFEEAAAQQQQAABBBBAILsABZDshqwBAQQQQAABBBBAAAEEUgpQAEkJxWIIIIAAAggggAACCCCQXYACSHZD1oAAAggggAACCCCAAAIpBSiApIRiMQQQQAABBBBAAAEEEMguQAEkuyFrQAABBBBAAAEEEEAAgZQCFEBSQrEYAggggAACCCCAAAIIZBegAJLdkDUggAACCCCAAAIIIIBASgEKICmhWAwBBBBAAAEEEEAAAQSyC1AAyW7IGhBAAAEEEEAAAQQQQCClAAWQlFAshgACCCCAAAIIIIAAAtkFKIBkN2QNCCCAAAIIIIAAAgggkFKAAkhKKBZDAAEEEEAAAQQQQACB7AIUQLIbsgYEEEAAAQQQQAABBBBIKUABJCUUiyGAAAIIIIAAAggggEB2AQog2Q1ZAwIIIIAAAggggAACCKQUoACSEorFEEAAAQQQQAABBBBAILsABZDshqwBAQQQQAABBBBAAAEEUgpQAEkJxWIIIIAAAggggAACCCCQXYACSHZD1oAAAggggAACCCCAAAIpBSiApIRiMQQQQAABBBBAAAEEEMguQAEkuyFrQAABBBBAAAEEEEAAgZQCFEBSQrEYAggggAACCCCAAAIIZBegAJLdkDUggAACCCCAAAIIIIBASgEKICmhWAwBBBBAAAEEEEAAAQSyC1AAyW7IGhBAAAEEEEAAAQQQQCClAAWQlFAshgACCCCAAAIIIIAAAtkFKIBkN2QNCCCAAAIIIIAAAgggkFKAAkhKKBZDAAEEEEAAAQQQQACB7AIUQLIbsgYEEEAAAQQQQAABBBBIKUABJCUUiyGAAAIIIIAAAggggEB2AQog2Q1ZAwIIIIAAAggggAACCKQUoACSEorFEEAAAQQQQAABBBBAILsABZDshqwBAQQQQAABBBBAAAEEUgpQAEkJxWIIIIAAAggggAACCCCQXYACSHZD1oAAAggggAACCCCAAAIpBSiApIRiMQQQQAABBBBAAAEEEMguQAEkuyFrQAABBBBAAAEEEEAAgZQCFEBSQrEYAggggAACCCCAAAIIZBegAJLdkDUggAACCCCAAAIIIIBASgEKICmhWAwBBBBAAAEEEEAAAQSyC1AAyW7IGhBAAAEEEEAAAQQQQCClAAWQlFAshgACCCCAAAIIIIAAAtkFKIBkN2QNCCCAAAIIIIAAAgggkFKAAkhKKBZDAAEEEEAAAQQQQACB7AIUQLIbsgYEEEAAAQQQQAABBBBIKUABJCUUiyGAAAIIIIAAAggggEB2AQog2Q1ZAwIIIIAAAggggAACCKQUoACSEorFEEAAAQQQQAABBBBAILsABZDshqwBAQQQQAABBBBAAAEEUgpQAEkJxWIIIIAAAggggAACCCCQXYACSHZD1oAAAggggAACCCCAAAIpBSiApIRiMQQQQAABBBBAAAEEEMguQAEkuyFrQAABBBBAAAEEEEAAgZQCFEBSQrEYAggggAACCCCAAAIIZBegAJLdkDUggAACCCCAAAIIIIBASgEKICmhWAwBBBBAAAEEEEAAAQSyC1AAyW7IGhBAAAEEEEAAAQQQQCClAAWQlFAshgACCCCAAAIIIIAAAtkFKIBkN2QNCCCAAAIIIIAAAgggkFKAAkhKKBZDAAEEEEAAAQQQQACB7AIUQLIbsgYEEEAAAQQQQAABBBBIKUABJCUUiyGAAAIIIIAAAggggEB2AQog2Q1ZAwIIIIAAAggggAACCKQUoACSEorFEEAAAQQQQAABBBBAILsABZDshqwBAQQQQAABBBBAAAEEUgpQAEkJxWIIIIAAAggggAACCCCQXYACSHZD1oAAAggggAACCCCAAAIpBSiApIRiMQQQQAABBBBAAAEEEMguQAEkm+GJevtjijmK2YqHFfsqkukbmvirYqnij8kXGEcAAQQQQAABBBBAIG8CFECy7fF19fYLFFsoBip+q7hPsakipBc08lXFT8IMhh0rcP/993fsCnOyNtxq39GY1W7md+BWuxtmtZvxv1afGW71u/HO+gUogNRv53depXhQ8V/FCsWl8XAPDUP6hUbuVrwRZjDsWAF+qOvzxK12N8xqN/M7cKvdDbPazfhfq88Mt/rdeGf9AhRA6rer9s69NLOP4ulqLzIPAQQQQAABBBBAAIG8C/TIO0Ab23+t5n9E0aLoVmWZRzRvbMX8wZq+WfEtxQxF3WnBggV1vzePb2xubo4wq33P44ZZ7QL1vYP/tdrdMKvdzO/ADbf6BGp7F3mO2ryqLV0tc11tubzN660Nbmpno5fptbcSrw/T+AOKWxRfSsxPjp6viYMUByRnVoxvpumZFfOYRAABBBBAAAEEEFj7BDbXV5q19n2ttf8b0QJSfR8t0mxHmrSTFvKF55crLkrzhnaWeUWv+Z85WbhpZ3FeQgABBBBAAAEEEHgbBPrqM51vIyGwxgV8y13fgvcz7XyyC3luTfmm4lFFrzg0ICGAAAIIIIAAAggggAAC6QX83I/lCl+04VYLh8fPUYTk60lWKnyXLEcY1ygJAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEgl0JBqKRZC4O0RGKqP/aJiO4XvSjZbQWpfALP2fdp6Fbe2ZNqfP0Avb6Dgzn3tOyVfxSypkX4ct/RWYUnOa0GCIQIIIJBS4AtabqHiGsVkxVOKzyqcuhcH/K0QwKwCJOUkbimhKha7StMvKnx8XqnYTeHE8Vl0qPYXs2oqq5+H2+qNKpfgvFYpwjQCCCCwGoF+ev1Bxbvj5QZq6MKHHwC5YzyPQWsBzFp7pJ3CLa1U6+Wu1qRvK+6Hp56g+I3i34pGBam6AGbVXVY3F7fVCa36Oue1VU2YgwACCKxWwM9XWaJYP7Gkn5/yO8WEeF63xGuMRhFm9f0X4Fa7mwsdf1cckHjrlhr/l+In8TyOzwSORjFr7ZF2Cre0Uq2X47zW2oMpBBBAYBWBjTTnaMXWiVeGaHyG4liFU+jS8S6NL1OM8kylvGZyMCvu/1r/4larWHH5ylaNdTXbzzs6MF5dOA6P1LSfdRSO5TA/XixXA8zq29241e7Gea12M96xFghwEfpasBNy/BUu0LbfrNhK8QXFFgr3J/+vwv3JhynuUfhhj06+JmQnhZuXH1bkMWFW317HrT63C/W2cxR7KRYrZij6KHx8+ri9UxHSKxpxq4gLID5u85owq2/P41a7G+e12s14x1oiEGqW15Kvw9fIkcCh2tbDFbso9ld8WOFrPpzZ8R2v7lUMVXxM4eTCsu+04yb5/yicuhUHufmLWX27Grfa3QbpLRMUbm28VuE7EN2qOEkxV/GYYhvFOIVTD4W7Tfo6EB+rns5bwqy+PY5bfW6c1+pz410IIJBTgVBoOFfb/3hs4AyL06cVzth8SNGk+JFiuuIQhZNrXf+qCF2wPC8PCbP69jJu9bn5XQcr/lbx9qs1/QfFGMWmitsV9ymSFVl3aPrzijwmzOrb67jV5sZ5rTYvlkYAAQRaCVyqqfGK3om57trxK8WNCvczH6jw7RdnKf6kWKA4S5HXhFl9ex632t3O0FsmKjZUhALGUI3fpfipoqfiIIUrBBwfV9yimKHYVZHHhFl9ex23+tw4r9XnxrsQQCBnAiETEzbbzcfNipHxjPC6Wz+mKVz4CMkZnyMULqDkKQWTsM2YBYn2h7i179PWq65ZDbWrvrXuq4qt44XD/M9perJiRDzfLSE/UNymuEaxriJPCbP69jZutbtxXqvdjHcggEAOBVxDGlLyxLmtZjbGL7hVw904wrRnuz+wrwHJYy0qZv4PqD3hVrtZmnfM1ELfjhcMBZB1NO3js7IbZPIYjt+SywFm9e123FZ147y2qglzEEAAgTYFdtAr7qZxo+ISRbKL1Zc1/TvFxgonX9OxXOFrPzZROH1QcbfC14DkJWFW357GrT43t2r8j2Krirf7WR7fUwyP5/vGEEsVycKGu2T52pDkPE12+YRZfbsYt9rdOK/VbsY7EEAgpwKhdvQobf/rCmdiTlbMUNyjCJmVDTQeUmgV8e13n1b8RXGzYqHiFEVXT5jVt4dxq8/N72pQ+EJyX0vli8l9N6svKUKFgG+he7YimVyZ4JbKcxQDFK5YmKDoq8hDwqy+vYxbbW6c12rzYmkEEECglcA1mnLhI6TNNfKo4ueK0MLh13opQgHE0+5PfqrifMVGijwlzOrb27jV7uYWDR+P68Vv9bRvm/s1RWUXqh6a5+Rlv6Z4QvGcwgWSZEWCJrt0wqy+3YtbfW6c1+pz410IIJBjgfW17Q8ovhIbuAbM6SSFa0w/oahM7k+e54RZfXsft9rcQmHiKr1tfPzWcHxeoGm3hrwvnu+a2GTf83h24eGfm4WJHAwxq28n41afm9/Fea1+O975DhRI1kK/A78+X/ltEthCn3uh4nTFjvF3cHcO16Juq/D/1UqF0y0KP9n8XQoXOPxab8VNiuMVeUmY1bencavPzZmZUGBYHq/CXa3+o3AmMRyfP9G4j88xCt/BqkWxTOG7W41ShORuW7PCRBcdYlbfjsWtdjfOa7Wb8Q4EEMi5wP9p+32dxs8VTyp8Qar7hzsdqXDmZaQnlEK/Vr9namFO+Y+7XOUlYVbfnsatPrfL9LaXFJMVvuZjH4XTGYo3FaFgEo5PXwfiZV1B4HmuJHCXq48o8pIwq29P41a7G+e12s14BwII5FzANaTuZvXe2ME1qs7UuIZ1t3jeBA0fUvhuOSF9QiN+nzM4oetHeK2rDzGrbw/jVp/b5XrbRMXmiuMUtyleVDQpnGYoLlW4kBHSXhpxpcKgMENDt1LmJWFW357GrXY3zmu1m/EOBBBAINpTBksVGyYsfEH5HYrH43lbazhbcaPiYMXein8pPqfIY8Ksvr2OW+1uLkA8rRibeOtgjfvi8V/G83yth1spT1A4M+TkO9FdXxjL3x/M6tvnuNXnxnmtPjfehQACORdwv9Vpig/EDqEWdRdNO1NzaDz/cA2d4fmz4mXFmYq8Jszq2/O41e7mFsZ5inAchi5Wh2neSsVwhdMPFf9QPKy4WzFHEVo1NZqrhFl9uxu3+tw4r9XnxrsQQCDnAu7W4ZYNh3+AQuqjET/R/HthRjz0yTZvXa4qCApdYTCrVFn9NP9rqzfyEuH4cmFjI8VvFNcpksndqXynq5/FMxs13FXxGcW5Ck/nKWFW397GrT635Ls42MxHbQAAD/9JREFUryU1GM+1QKjBzjUCG18S2EZj1fp+H6f5LnDMVDyqcLeOjyuc/KP0X4UvbH1F4eS77Di9pFhRGOu6f96vTfuCYpOKTcSsAqRicqim3X0vpFBbj1sQSTcMx5fvXuWuj76YfIjCrZBOPhaXKl5QeBmbL1c8pfiR4iJFs6Irp8pjE7Pa97aPT9xW7xbOY2EY3sF5LUgwRAABBBICO2v8sTju1fCMxGvbadyFjt3jeRto+APFdMW4eN5IDf+qGBNP52GwtTbyccUsxYcV7hMd0rYawSxotB7upMk/KZ5U2C/ZPW97TeMmhCppR837tOIoRfIaLF/H4a5Un1Q4+Xj9tcI3feipCOk2jZwdJnIy9Hntn4rrFKFSRKOFa18ws0T1ZDcXTn3d3r6JRfpqHLcESGJ0hMavUByRmBdG+T0IEgwRQACBWMA/ypcqXldcoGhUOPMyUbGVwinZShZqddyM7B+oVxTOTC5Q5C1zc5222QWxaimZ2cGsLLSbRqcqvqpwJvoSxb8VvibBCbeiQ/KvWx6vVbyp+LFituImhQtyTv0VhxTGyn9cMfBnxdOKTyh+pXBrZKhE0GiXTn20dTabq/B5rTI5I41ZpUrx+PNtde32fYV/B/6iGKtw4n+t6JD869/HLypmKhYp/Lu4scIp/HaGoefxe2AFEgII5F7AXRMuVGyZkHBm5VlFr8Q8nzRdOEmeSP3yEIW7ergWNk/JF93/XTEw3ujTNfymwt2x1o/nYRZDJAYXafwXiWnfGvYqxUrFnvF83GIIDTZSXK8Yr3Cm2WmsYpLiGE9UpOQx62P7BwpXKPxM0U+Rh+Rz1MuKWYpkS9F6bWw8ZmUYF8r+qBgczxqh4R8UH4qnkwPcyhquxDtW8UnFnxQnKqolfkOrqTAPAQRyI7CfttTN6uFHxrWFTgcpXlJMV7im9RTFRxSVKXQ1StZWVy7T1aZtto8iFNT8Q/2GYgvFY4qJimsUzyvuV1SmPJrZoNLtYs27L8ZxQcPpcoULIA95oiLl1S0wbKaRzyiGxzPCMTdV05WZnOAZL1oaONOTl9Qz3lDXSP9b4XPbexTuNvQbxZUK/086uaCCWYGidCODr2jyP8VZpb9uAfHvRUM8B7dV/298nIYC2R0av0mxXexV7X8s7+e1mIYBAgjkRcAnPddu+QfmEcWbiqMVvRVOztAcXxgrtmg44+Mf8T3iec78fFXx13g6D4NKM3dNsNk+iicV9yu+pQhpW400K7yMkzNEeTPzdle6+X/tCMWXFfcoTlM4baO4XfE5xSuKkNF2ZiePbsO03ScpQnLmxa0gybSxJqYq3ApXmQZrhgt0eUpJs1AA8fa7MmWJYoriFMXJigcUPn9tqggpj2be9qRbKFwcqPkzFV9QjFP8SfEfxV2KCQpXuISURzefr36m+IHi7ABRMbSbfxt8TksWPjye1/OaNp2EAAJ5FvihNv66GMD9yj39lOIT8bwwcA2X006KFxQf9USchoSRnAwrzdzU/oTifMUvFa65/5jCyd3Qeihc2/o9RUhDwkiOhpVu7lf+R4XdvqhwxvBuxRzFmQrXSjujmMwYDtF0XpIzJ19SLFX4f2qkwilkDD0ejsujNP6MYn3PTCSv4z2KbyfmdeXRtszcrc9pjOJmRXDTaKGA+4iGyXNensxs0JabX3PaX+FCrCsNLlE47al4SPFrTyjl7X/N/0PfUsxXfEdxocIt4OE8nzxONTu6WnGvYh9PKPn3NqShYYQhAgggkAeBPtpIN6X/X2JjnVn+peJORTLDE06mnudMYaiV1miuUltm10vBTewXKV5U3KhIpkc1MSY5I2fj7bm5tcP/V0MURygGKpwOVTym6OeJHKa9tc03KD6kuE3xuKIyhePSmUN39wvpfzWyQzzhjGFeUntmwWFAjBFaeTfX9GuK9yaQwrKJWV16NI3bGRK4tULBvxX+3/PvhlOe3HyMucLELSAhed7LisYwQ0MXVJx2VDyicIXL1xUvKHZXkBBAAIHcCbgbh2tNPxxveThRjtW0M8z+wXEK8w/UuC+yvkrhH+88/dhocwupLbND9OqDinMUn1GsUFyv+LTCxncoNlTkNbXldpBAXMg4vQLGni7o2jKvybX2zhi6ptS1zUsUxymcGoqD0t8JGttVsYfiecVfFcMUeUvtmYVMcqWJTd0Na3DlCzmaXp2bM9SXKuy0XuxygIb/UBwTT+dtsIE2+KR4o8P/1mc1/Ygi/GbGL5cGLsC5NdPntjEKEgIIIJBbAdesPqKozNDcpXk/U/jHxrU6DytmK05R5D21ZXa3YK6OcY7V8LsKN7ljVkRpyy38r3kpZ5qdmXaNNG5CiJMzgO5j/kqYoWHI5DgjuFDxnOINxakKUrEWutLM5zlXnAxS7K+4RzFLkddMtDZ9lVTtf80LnaB4UvG44j6Fj9GPKUjlyji3blxWAeL/t76K3yveUnxYQUIAAQRyL7CDBJYrKmtWfSJ9KtYZq+En43EGxa4tbZm5hYhUXaCt/7XztPjTibccnxhntCywlUadWf5meVZhzF20FlWZX7FYLiermfWUxJGKPytcq09aVaCam2v5t1E4A33aqm/J7RwXMEJ6RiMfCRMVQ35DK0CYRAABBC4RwYuKMQmKGzT+hXg6eYJNLJLr0bbMPp9rldVvfFtuZ63+rblfwhnAMxQubPSKx+22m2IjBWlVgWpm52gxt4D0X3Vx5sQC1dy+hk67AnvqVbcKhfQpjZyt6BNmMEQAAQQQWFXgt5r1guImhWvxn1UMV5DaFsCsbZv2XsGtPZ3VvzZDi6xUzFccrCCtXmCGFglmh6x+cZaIBWZoaLd5CtyE0E76qF67WLGLYpJipuIgBQkBBBBAoB2BAXpttOJcxcntLMdLZQHMyha1jOFWi1Z52X4afUTh6z0+riCtXgCz1RtVWwK3aiptz+uul3wTEhfW5ihC7wGNkhBAAAEEEEAAgXeuwL766t9XrPPO3YQ1/s0xq48ct9rdHtBbrlC4eyQJAQQQQAABBBDoEgJci1X7bsSsdjO/A7fa3SrvJFn7GngHAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAnUK/D9TXlhdogpabQAAAABJRU5ErkJggg==\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x117b6b320>" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "plt.figure()\n", "reindexed.FUV_DET_TEMP_C.dropna().plot(style='*', markersize=12)\n", "reindexed.FUV_DET_TEMP_C.interpolate(method='time').plot(style='*', color='green')" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "2015-01-01 00:00:21.000000000 NaN\n", "2015-01-01 00:00:21.165966331 -18.005027\n", "2015-01-01 00:00:22.000000000 -18.005436\n", "2015-01-01 00:00:23.000000000 -18.005927\n", "2015-01-01 00:00:24.000000000 -18.006417\n", "2015-01-01 00:00:25.000000000 -18.006908\n", "2015-01-01 00:00:26.000000000 -18.007399\n", "2015-01-01 00:00:27.000000000 -18.007889\n", "2015-01-01 00:00:28.000000000 -18.008380\n", "2015-01-01 00:00:29.000000000 -18.008871\n", "2015-01-01 00:00:30.000000000 -18.009361\n", "2015-01-01 00:00:31.000000000 -18.009852\n", "2015-01-01 00:00:32.000000000 -18.010343\n", "2015-01-01 00:00:33.000000000 -18.010833\n", "2015-01-01 00:00:34.000000000 -18.011324\n", "2015-01-01 00:00:35.000000000 -18.011815\n", "2015-01-01 00:00:36.000000000 -18.012305\n", "2015-01-01 00:00:37.000000000 -18.012796\n", "2015-01-01 00:00:38.000000000 -18.013287\n", "2015-01-01 00:00:39.000000000 -18.013777\n", "2015-01-01 00:00:40.000000000 -18.014268\n", "2015-01-01 00:00:41.000000000 -18.014759\n", "2015-01-01 00:00:42.000000000 -18.015249\n", "2015-01-01 00:00:43.000000000 -18.015740\n", "2015-01-01 00:00:44.000000000 -18.016231\n", "2015-01-01 00:00:45.000000000 -18.016721\n", "2015-01-01 00:00:46.000000000 -18.017212\n", "2015-01-01 00:00:47.000000000 -18.017703\n", "2015-01-01 00:00:48.000000000 -18.018193\n", "2015-01-01 00:00:49.000000000 -18.018684\n", " ... \n", "2015-01-01 23:59:21.000000000 -17.150675\n", "2015-01-01 23:59:22.000000000 -17.150192\n", "2015-01-01 23:59:23.000000000 -17.149710\n", "2015-01-01 23:59:24.000000000 -17.149228\n", "2015-01-01 23:59:25.000000000 -17.148745\n", "2015-01-01 23:59:26.000000000 -17.148263\n", "2015-01-01 23:59:27.000000000 -17.147781\n", "2015-01-01 23:59:28.000000000 -17.147298\n", "2015-01-01 23:59:29.000000000 -17.146816\n", "2015-01-01 23:59:30.000000000 -17.146334\n", "2015-01-01 23:59:31.000000000 -17.145851\n", "2015-01-01 23:59:32.000000000 -17.145369\n", "2015-01-01 23:59:33.000000000 -17.144887\n", "2015-01-01 23:59:34.000000000 -17.144404\n", "2015-01-01 23:59:35.000000000 -17.143922\n", "2015-01-01 23:59:36.000000000 -17.143439\n", "2015-01-01 23:59:37.000000000 -17.142957\n", "2015-01-01 23:59:38.000000000 -17.142475\n", "2015-01-01 23:59:39.000000000 -17.141992\n", "2015-01-01 23:59:40.000000000 -17.141510\n", "2015-01-01 23:59:41.000000000 -17.141028\n", "2015-01-01 23:59:42.000000000 -17.140545\n", "2015-01-01 23:59:43.000000000 -17.140063\n", "2015-01-01 23:59:44.000000000 -17.139581\n", "2015-01-01 23:59:45.000000000 -17.139098\n", "2015-01-01 23:59:46.000000000 -17.138616\n", "2015-01-01 23:59:47.000000000 -17.138134\n", "2015-01-01 23:59:48.000000000 -17.137651\n", "2015-01-01 23:59:49.000000000 -17.137169\n", "2015-01-01 23:59:49.759748995 -17.136802\n", "Name: FUV_DET_TEMP_C, dtype: float64" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "reindexed.FUV_DET_TEMP_C.interpolate(method='time')" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from pathlib import Path\n", "# root = Path('/home/klay6683/to_keep')\n", "root = Path('/Users/klay6683/data/iuvs')\n", "# df = pd.read_hdf('/home/klay6683/to_keep/l1a_dark_scan.h5', 'df')\n", "df = pd.read_hdf(str(root / 'l1a_dark_scan.h5'), 'df')" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from iuvs import meta" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "df = meta.clean_up_dark_scan(df)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "df = df[df.COLLECTION_ID!='cruise']\n", "df = df[df.COLLECTION_ID!='transition']\n", "df = df[df.INT_TIME.isin([14400, 4200, 1400])]" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'0.17.0rc1+31.g9be2180'" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.__version__" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "TIME_OF_INT\n", "2014-10-18 07:36:19.926570 (0, 1, 319, 11)\n", "2014-10-18 07:36:19.926570 (0, 1, 441, 11)\n", "2014-10-18 07:36:59.675040 (52, 4, 89, 115)\n", "2014-10-18 07:36:59.675040 (2, 34, 96, 115)\n", "2014-10-18 07:55:27.922290 (52, 4, 89, 115)\n", "Name: BINNING_SET, dtype: object" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.BINNING_SET.head()" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "g = df.groupby(['CHANNEL', 'INT_TIME', 'activity', 'BINNING_SET'])" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for name, group in g:\n", " if name == ('FUV', 14400, 'apoapse', (0, 4, 89, 80)):\n", " mygroup = group" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>ANC_SVN_REVISION</th>\n", " <th>BIN_PATTERN_INDEX</th>\n", " <th>BIN_TBL</th>\n", " <th>CADENCE</th>\n", " <th>CASE_TEMP</th>\n", " <th>CHANNEL</th>\n", " <th>CODE_SVN_REVISION</th>\n", " <th>COLLECTION_ID</th>\n", " <th>DET_TEMP</th>\n", " <th>DUTY_CYCLE</th>\n", " <th>...</th>\n", " <th>ORBIT_NUMBER</th>\n", " <th>PROCESS</th>\n", " <th>PRODUCT_ID</th>\n", " <th>SOLAR_LONGITUDE</th>\n", " <th>TARGET_NAME</th>\n", " <th>mean</th>\n", " <th>median</th>\n", " <th>std</th>\n", " <th>BINNING_SET</th>\n", " <th>activity</th>\n", " </tr>\n", " <tr>\n", " <th>TIME_OF_INT</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2015-03-18 08:21:49.885030</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.283555</td>\n", " <td>0.277863</td>\n", " <td>0.045621</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:22:04.885040</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.278590</td>\n", " <td>0.268579</td>\n", " <td>0.049753</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:22:19.885040</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.295184</td>\n", " <td>0.286997</td>\n", " <td>0.045738</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:22:34.885050</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.278975</td>\n", " <td>0.272278</td>\n", " <td>0.048057</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:22:49.885060</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.287784</td>\n", " <td>0.281335</td>\n", " <td>0.055271</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:23:04.885070</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.5062</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.294565</td>\n", " <td>0.285864</td>\n", " <td>0.044219</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:23:19.885080</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.295131</td>\n", " <td>0.287601</td>\n", " <td>0.046916</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:23:34.885090</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.274008</td>\n", " <td>0.266918</td>\n", " <td>0.047799</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:23:49.885100</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.278177</td>\n", " <td>0.269938</td>\n", " <td>0.046535</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:24:04.885100</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.287852</td>\n", " <td>0.279826</td>\n", " <td>0.044779</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:24:19.885110</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.286780</td>\n", " <td>0.280656</td>\n", " <td>0.045108</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:24:34.885120</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.276485</td>\n", " <td>0.268956</td>\n", " <td>0.045343</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:24:49.885130</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.267341</td>\n", " <td>0.258464</td>\n", " <td>0.044368</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:25:04.885140</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.279333</td>\n", " <td>0.270541</td>\n", " <td>0.047273</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:25:19.885150</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.284141</td>\n", " <td>0.277486</td>\n", " <td>0.046018</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:25:34.885150</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.273611</td>\n", " <td>0.264956</td>\n", " <td>0.044858</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:25:49.885160</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.279487</td>\n", " <td>0.269862</td>\n", " <td>0.046529</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:26:04.885170</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.270350</td>\n", " <td>0.263446</td>\n", " <td>0.046531</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:26:19.885180</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.287379</td>\n", " <td>0.281034</td>\n", " <td>0.045513</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:26:34.885190</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.276569</td>\n", " <td>0.270994</td>\n", " <td>0.044540</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:26:49.885200</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.287927</td>\n", " <td>0.280656</td>\n", " <td>0.047143</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:27:04.885210</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.2066</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.276048</td>\n", " <td>0.270239</td>\n", " <td>0.044321</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:27:19.885210</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.5062</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.279785</td>\n", " <td>0.270390</td>\n", " <td>0.049062</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:27:34.885220</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.2066</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.266617</td>\n", " <td>0.259596</td>\n", " <td>0.042847</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:27:49.885230</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.290446</td>\n", " <td>0.282015</td>\n", " <td>0.045142</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:28:04.885240</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.3564</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.268905</td>\n", " <td>0.261785</td>\n", " <td>0.047627</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:28:19.885250</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.5062</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.280346</td>\n", " <td>0.270919</td>\n", " <td>0.045021</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:28:34.885260</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.5062</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.273589</td>\n", " <td>0.265786</td>\n", " <td>0.046481</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:28:49.885260</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.0568</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.287122</td>\n", " <td>0.279071</td>\n", " <td>0.044150</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-03-18 08:29:04.885270</th>\n", " <td>1145</td>\n", " <td>LINEAR linear_0011</td>\n", " <td>LINEAR 13,14 linear_0011</td>\n", " <td>15</td>\n", " <td>4.994077</td>\n", " <td>MUV</td>\n", " <td>1145</td>\n", " <td>disk</td>\n", " <td>-23.0568</td>\n", " <td>0.01</td>\n", " <td>...</td>\n", " <td>900</td>\n", " <td>2015/208 Jul 27 19:23:22.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031...</td>\n", " <td>310.216980</td>\n", " <td>MARS</td>\n", " <td>0.284603</td>\n", " <td>0.278694</td>\n", " <td>0.045415</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>2015-07-31 09:01:46.805400</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.899468</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.6560</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1628</td>\n", " <td>2015/227 Aug 15 02:14:59.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01628-muvdark_2015073...</td>\n", " <td>20.730314</td>\n", " <td>MARS</td>\n", " <td>0.286300</td>\n", " <td>0.278618</td>\n", " <td>0.049890</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-07-31 09:02:01.805420</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.899468</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1628</td>\n", " <td>2015/227 Aug 15 02:14:59.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01628-muvdark_2015073...</td>\n", " <td>20.730314</td>\n", " <td>MARS</td>\n", " <td>0.269280</td>\n", " <td>0.262993</td>\n", " <td>0.044151</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-01 11:13:44.119710</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.899468</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1634</td>\n", " <td>2015/227 Aug 15 02:19:17.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01634-muvdark_2015080...</td>\n", " <td>21.242508</td>\n", " <td>MARS</td>\n", " <td>0.280916</td>\n", " <td>0.272881</td>\n", " <td>0.044516</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-01 11:13:59.119720</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.899468</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1634</td>\n", " <td>2015/227 Aug 15 02:19:17.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01634-muvdark_2015080...</td>\n", " <td>21.242508</td>\n", " <td>MARS</td>\n", " <td>0.274880</td>\n", " <td>0.266994</td>\n", " <td>0.047420</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-02 22:09:35.338090</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.893165</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1642</td>\n", " <td>2015/227 Aug 15 02:25:59.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01642-muvdark_2015080...</td>\n", " <td>21.924328</td>\n", " <td>MARS</td>\n", " <td>0.273396</td>\n", " <td>0.265786</td>\n", " <td>0.042934</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-02 22:09:50.338100</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.893165</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1642</td>\n", " <td>2015/227 Aug 15 02:25:59.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01642-muvdark_2015080...</td>\n", " <td>21.924328</td>\n", " <td>MARS</td>\n", " <td>0.270391</td>\n", " <td>0.263295</td>\n", " <td>0.044142</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-03 15:37:28.739170</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.886863</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1646</td>\n", " <td>2015/227 Aug 15 02:30:05.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01646-muvdark_2015080...</td>\n", " <td>22.264767</td>\n", " <td>MARS</td>\n", " <td>0.276564</td>\n", " <td>0.270919</td>\n", " <td>0.044382</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-03 15:37:43.739180</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.886863</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1646</td>\n", " <td>2015/227 Aug 15 02:30:05.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01646-muvdark_2015080...</td>\n", " <td>22.264767</td>\n", " <td>MARS</td>\n", " <td>0.278678</td>\n", " <td>0.272881</td>\n", " <td>0.045348</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-04 09:05:30.391810</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.880561</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.9556</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1650</td>\n", " <td>2015/227 Aug 15 02:34:05.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01650-muvdark_2015080...</td>\n", " <td>22.604948</td>\n", " <td>MARS</td>\n", " <td>0.277575</td>\n", " <td>0.269560</td>\n", " <td>0.044585</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-04 09:05:45.391830</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.880561</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.9556</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1650</td>\n", " <td>2015/227 Aug 15 02:34:05.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01650-muvdark_2015080...</td>\n", " <td>22.604948</td>\n", " <td>MARS</td>\n", " <td>0.283405</td>\n", " <td>0.275448</td>\n", " <td>0.044783</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-05 02:33:14.363780</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.899468</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1654</td>\n", " <td>2015/227 Aug 15 02:36:15.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01654-muvdark_2015080...</td>\n", " <td>22.944733</td>\n", " <td>MARS</td>\n", " <td>0.280550</td>\n", " <td>0.272127</td>\n", " <td>0.045239</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-05 02:33:29.363790</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.899468</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1654</td>\n", " <td>2015/227 Aug 15 02:36:15.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01654-muvdark_2015080...</td>\n", " <td>22.944733</td>\n", " <td>MARS</td>\n", " <td>0.264695</td>\n", " <td>0.256879</td>\n", " <td>0.042329</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-05 20:01:12.759880</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.886863</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1658</td>\n", " <td>2015/227 Aug 15 02:39:55.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01658-muvdark_2015080...</td>\n", " <td>23.284298</td>\n", " <td>MARS</td>\n", " <td>0.285244</td>\n", " <td>0.276882</td>\n", " <td>0.044246</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-05 20:01:27.759890</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.886863</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1658</td>\n", " <td>2015/227 Aug 15 02:39:55.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01658-muvdark_2015080...</td>\n", " <td>23.284298</td>\n", " <td>MARS</td>\n", " <td>0.262809</td>\n", " <td>0.254464</td>\n", " <td>0.043954</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-06 13:29:07.155970</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.874260</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.6560</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1662</td>\n", " <td>2015/227 Aug 15 02:43:45.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01662-muvdark_2015080...</td>\n", " <td>23.623545</td>\n", " <td>MARS</td>\n", " <td>0.283734</td>\n", " <td>0.277033</td>\n", " <td>0.045009</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-06 13:29:22.155980</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.874260</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1662</td>\n", " <td>2015/227 Aug 15 02:43:45.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01662-muvdark_2015080...</td>\n", " <td>23.623545</td>\n", " <td>MARS</td>\n", " <td>0.275166</td>\n", " <td>0.267522</td>\n", " <td>0.044902</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-07 06:57:03.048110</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.893165</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1666</td>\n", " <td>2015/227 Aug 15 02:47:15.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01666-muvdark_2015080...</td>\n", " <td>23.962503</td>\n", " <td>MARS</td>\n", " <td>0.291146</td>\n", " <td>0.281562</td>\n", " <td>0.044339</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-07 06:57:18.048120</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.893165</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1666</td>\n", " <td>2015/227 Aug 15 02:47:15.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01666-muvdark_2015080...</td>\n", " <td>23.962503</td>\n", " <td>MARS</td>\n", " <td>0.271494</td>\n", " <td>0.264880</td>\n", " <td>0.044387</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-08 09:08:40.831420</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.880561</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1672</td>\n", " <td>2015/227 Aug 15 02:51:39.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01672-muvdark_2015080...</td>\n", " <td>24.470308</td>\n", " <td>MARS</td>\n", " <td>0.277495</td>\n", " <td>0.270692</td>\n", " <td>0.045312</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-08 09:08:55.831440</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.880561</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1672</td>\n", " <td>2015/227 Aug 15 02:51:39.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01672-muvdark_2015080...</td>\n", " <td>24.470308</td>\n", " <td>MARS</td>\n", " <td>0.275910</td>\n", " <td>0.271749</td>\n", " <td>0.045855</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-09 02:36:20.973030</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.861658</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1676</td>\n", " <td>2015/227 Aug 15 02:55:21.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01676-muvdark_2015080...</td>\n", " <td>24.808456</td>\n", " <td>MARS</td>\n", " <td>0.293732</td>\n", " <td>0.287752</td>\n", " <td>0.045684</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-09 02:36:35.973050</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.861658</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.6560</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1676</td>\n", " <td>2015/227 Aug 15 02:55:21.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01676-muvdark_2015080...</td>\n", " <td>24.808456</td>\n", " <td>MARS</td>\n", " <td>0.272160</td>\n", " <td>0.262389</td>\n", " <td>0.054927</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-09 20:04:03.603630</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.861658</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.9556</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1680</td>\n", " <td>2015/227 Aug 15 02:59:34.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01680-muvdark_2015080...</td>\n", " <td>25.146330</td>\n", " <td>MARS</td>\n", " <td>0.282969</td>\n", " <td>0.275750</td>\n", " <td>0.045176</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-09 20:04:18.603640</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.861658</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1680</td>\n", " <td>2015/227 Aug 15 02:59:34.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01680-muvdark_2015080...</td>\n", " <td>25.146330</td>\n", " <td>MARS</td>\n", " <td>0.277051</td>\n", " <td>0.268956</td>\n", " <td>0.051991</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-10 13:31:45.485780</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.849059</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1684</td>\n", " <td>2015/227 Aug 15 03:03:45.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01684-muvdark_2015081...</td>\n", " <td>25.483913</td>\n", " <td>MARS</td>\n", " <td>0.279507</td>\n", " <td>0.271598</td>\n", " <td>0.046828</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-10 13:32:00.485790</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.849059</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.9556</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1684</td>\n", " <td>2015/227 Aug 15 03:03:45.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01684-muvdark_2015081...</td>\n", " <td>25.483913</td>\n", " <td>MARS</td>\n", " <td>0.277062</td>\n", " <td>0.266012</td>\n", " <td>0.065724</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-11 06:59:23.362940</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.830165</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1688</td>\n", " <td>2015/227 Aug 15 03:07:51.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01688-muvdark_2015081...</td>\n", " <td>25.821190</td>\n", " <td>MARS</td>\n", " <td>0.300453</td>\n", " <td>0.285336</td>\n", " <td>0.206381</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-11 06:59:38.362950</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.830165</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1688</td>\n", " <td>2015/227 Aug 15 03:07:51.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01688-muvdark_2015081...</td>\n", " <td>25.821190</td>\n", " <td>MARS</td>\n", " <td>0.273599</td>\n", " <td>0.265710</td>\n", " <td>0.045281</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-12 09:10:39.635220</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.748343</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1694</td>\n", " <td>2015/227 Aug 15 03:11:59.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01694-muvdark_2015081...</td>\n", " <td>26.326525</td>\n", " <td>MARS</td>\n", " <td>0.294047</td>\n", " <td>0.283977</td>\n", " <td>0.046752</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " <tr>\n", " <th>2015-08-12 09:10:54.635230</th>\n", " <td>1168</td>\n", " <td>LINEAR linear_0014</td>\n", " <td>LINEAR 13,14 linear_0014</td>\n", " <td>15</td>\n", " <td>4.748343</td>\n", " <td>MUV</td>\n", " <td>1168</td>\n", " <td>disk</td>\n", " <td>-23.8058</td>\n", " <td>1.00</td>\n", " <td>...</td>\n", " <td>1694</td>\n", " <td>2015/227 Aug 15 03:11:59.00000UTC</td>\n", " <td>mvn_iuv_l1a_outdisk-orbit01694-muvdark_2015081...</td>\n", " <td>26.326525</td>\n", " <td>MARS</td>\n", " <td>0.255966</td>\n", " <td>0.248802</td>\n", " <td>0.043373</td>\n", " <td>(140, 8, 96, 115)</td>\n", " <td>outdisk</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>472 rows × 35 columns</p>\n", "</div>" ], "text/plain": [ " ANC_SVN_REVISION BIN_PATTERN_INDEX \\\n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 1145 LINEAR linear_0011 \n", "2015-03-18 08:22:04.885040 1145 LINEAR linear_0011 \n", "2015-03-18 08:22:19.885040 1145 LINEAR linear_0011 \n", "2015-03-18 08:22:34.885050 1145 LINEAR linear_0011 \n", "2015-03-18 08:22:49.885060 1145 LINEAR linear_0011 \n", "2015-03-18 08:23:04.885070 1145 LINEAR linear_0011 \n", "2015-03-18 08:23:19.885080 1145 LINEAR linear_0011 \n", "2015-03-18 08:23:34.885090 1145 LINEAR linear_0011 \n", "2015-03-18 08:23:49.885100 1145 LINEAR linear_0011 \n", "2015-03-18 08:24:04.885100 1145 LINEAR linear_0011 \n", "2015-03-18 08:24:19.885110 1145 LINEAR linear_0011 \n", "2015-03-18 08:24:34.885120 1145 LINEAR linear_0011 \n", "2015-03-18 08:24:49.885130 1145 LINEAR linear_0011 \n", "2015-03-18 08:25:04.885140 1145 LINEAR linear_0011 \n", "2015-03-18 08:25:19.885150 1145 LINEAR linear_0011 \n", "2015-03-18 08:25:34.885150 1145 LINEAR linear_0011 \n", "2015-03-18 08:25:49.885160 1145 LINEAR linear_0011 \n", "2015-03-18 08:26:04.885170 1145 LINEAR linear_0011 \n", "2015-03-18 08:26:19.885180 1145 LINEAR linear_0011 \n", "2015-03-18 08:26:34.885190 1145 LINEAR linear_0011 \n", "2015-03-18 08:26:49.885200 1145 LINEAR linear_0011 \n", "2015-03-18 08:27:04.885210 1145 LINEAR linear_0011 \n", "2015-03-18 08:27:19.885210 1145 LINEAR linear_0011 \n", "2015-03-18 08:27:34.885220 1145 LINEAR linear_0011 \n", "2015-03-18 08:27:49.885230 1145 LINEAR linear_0011 \n", "2015-03-18 08:28:04.885240 1145 LINEAR linear_0011 \n", "2015-03-18 08:28:19.885250 1145 LINEAR linear_0011 \n", "2015-03-18 08:28:34.885260 1145 LINEAR linear_0011 \n", "2015-03-18 08:28:49.885260 1145 LINEAR linear_0011 \n", "2015-03-18 08:29:04.885270 1145 LINEAR linear_0011 \n", "... ... ... \n", "2015-07-31 09:01:46.805400 1168 LINEAR linear_0014 \n", "2015-07-31 09:02:01.805420 1168 LINEAR linear_0014 \n", "2015-08-01 11:13:44.119710 1168 LINEAR linear_0014 \n", "2015-08-01 11:13:59.119720 1168 LINEAR linear_0014 \n", "2015-08-02 22:09:35.338090 1168 LINEAR linear_0014 \n", "2015-08-02 22:09:50.338100 1168 LINEAR linear_0014 \n", "2015-08-03 15:37:28.739170 1168 LINEAR linear_0014 \n", "2015-08-03 15:37:43.739180 1168 LINEAR linear_0014 \n", "2015-08-04 09:05:30.391810 1168 LINEAR linear_0014 \n", "2015-08-04 09:05:45.391830 1168 LINEAR linear_0014 \n", "2015-08-05 02:33:14.363780 1168 LINEAR linear_0014 \n", "2015-08-05 02:33:29.363790 1168 LINEAR linear_0014 \n", "2015-08-05 20:01:12.759880 1168 LINEAR linear_0014 \n", "2015-08-05 20:01:27.759890 1168 LINEAR linear_0014 \n", "2015-08-06 13:29:07.155970 1168 LINEAR linear_0014 \n", "2015-08-06 13:29:22.155980 1168 LINEAR linear_0014 \n", "2015-08-07 06:57:03.048110 1168 LINEAR linear_0014 \n", "2015-08-07 06:57:18.048120 1168 LINEAR linear_0014 \n", "2015-08-08 09:08:40.831420 1168 LINEAR linear_0014 \n", "2015-08-08 09:08:55.831440 1168 LINEAR linear_0014 \n", "2015-08-09 02:36:20.973030 1168 LINEAR linear_0014 \n", "2015-08-09 02:36:35.973050 1168 LINEAR linear_0014 \n", "2015-08-09 20:04:03.603630 1168 LINEAR linear_0014 \n", "2015-08-09 20:04:18.603640 1168 LINEAR linear_0014 \n", "2015-08-10 13:31:45.485780 1168 LINEAR linear_0014 \n", "2015-08-10 13:32:00.485790 1168 LINEAR linear_0014 \n", "2015-08-11 06:59:23.362940 1168 LINEAR linear_0014 \n", "2015-08-11 06:59:38.362950 1168 LINEAR linear_0014 \n", "2015-08-12 09:10:39.635220 1168 LINEAR linear_0014 \n", "2015-08-12 09:10:54.635230 1168 LINEAR linear_0014 \n", "\n", " BIN_TBL CADENCE CASE_TEMP \\\n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:22:04.885040 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:22:19.885040 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:22:34.885050 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:22:49.885060 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:23:04.885070 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:23:19.885080 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:23:34.885090 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:23:49.885100 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:24:04.885100 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:24:19.885110 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:24:34.885120 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:24:49.885130 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:25:04.885140 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:25:19.885150 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:25:34.885150 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:25:49.885160 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:26:04.885170 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:26:19.885180 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:26:34.885190 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:26:49.885200 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:27:04.885210 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:27:19.885210 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:27:34.885220 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:27:49.885230 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:28:04.885240 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:28:19.885250 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:28:34.885260 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:28:49.885260 LINEAR 13,14 linear_0011 15 4.994077 \n", "2015-03-18 08:29:04.885270 LINEAR 13,14 linear_0011 15 4.994077 \n", "... ... ... ... \n", "2015-07-31 09:01:46.805400 LINEAR 13,14 linear_0014 15 4.899468 \n", "2015-07-31 09:02:01.805420 LINEAR 13,14 linear_0014 15 4.899468 \n", "2015-08-01 11:13:44.119710 LINEAR 13,14 linear_0014 15 4.899468 \n", "2015-08-01 11:13:59.119720 LINEAR 13,14 linear_0014 15 4.899468 \n", "2015-08-02 22:09:35.338090 LINEAR 13,14 linear_0014 15 4.893165 \n", "2015-08-02 22:09:50.338100 LINEAR 13,14 linear_0014 15 4.893165 \n", "2015-08-03 15:37:28.739170 LINEAR 13,14 linear_0014 15 4.886863 \n", "2015-08-03 15:37:43.739180 LINEAR 13,14 linear_0014 15 4.886863 \n", "2015-08-04 09:05:30.391810 LINEAR 13,14 linear_0014 15 4.880561 \n", "2015-08-04 09:05:45.391830 LINEAR 13,14 linear_0014 15 4.880561 \n", "2015-08-05 02:33:14.363780 LINEAR 13,14 linear_0014 15 4.899468 \n", "2015-08-05 02:33:29.363790 LINEAR 13,14 linear_0014 15 4.899468 \n", "2015-08-05 20:01:12.759880 LINEAR 13,14 linear_0014 15 4.886863 \n", "2015-08-05 20:01:27.759890 LINEAR 13,14 linear_0014 15 4.886863 \n", "2015-08-06 13:29:07.155970 LINEAR 13,14 linear_0014 15 4.874260 \n", "2015-08-06 13:29:22.155980 LINEAR 13,14 linear_0014 15 4.874260 \n", "2015-08-07 06:57:03.048110 LINEAR 13,14 linear_0014 15 4.893165 \n", "2015-08-07 06:57:18.048120 LINEAR 13,14 linear_0014 15 4.893165 \n", "2015-08-08 09:08:40.831420 LINEAR 13,14 linear_0014 15 4.880561 \n", "2015-08-08 09:08:55.831440 LINEAR 13,14 linear_0014 15 4.880561 \n", "2015-08-09 02:36:20.973030 LINEAR 13,14 linear_0014 15 4.861658 \n", "2015-08-09 02:36:35.973050 LINEAR 13,14 linear_0014 15 4.861658 \n", "2015-08-09 20:04:03.603630 LINEAR 13,14 linear_0014 15 4.861658 \n", "2015-08-09 20:04:18.603640 LINEAR 13,14 linear_0014 15 4.861658 \n", "2015-08-10 13:31:45.485780 LINEAR 13,14 linear_0014 15 4.849059 \n", "2015-08-10 13:32:00.485790 LINEAR 13,14 linear_0014 15 4.849059 \n", "2015-08-11 06:59:23.362940 LINEAR 13,14 linear_0014 15 4.830165 \n", "2015-08-11 06:59:38.362950 LINEAR 13,14 linear_0014 15 4.830165 \n", "2015-08-12 09:10:39.635220 LINEAR 13,14 linear_0014 15 4.748343 \n", "2015-08-12 09:10:54.635230 LINEAR 13,14 linear_0014 15 4.748343 \n", "\n", " CHANNEL CODE_SVN_REVISION COLLECTION_ID DET_TEMP \\\n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 MUV 1145 disk -23.3564 \n", "2015-03-18 08:22:04.885040 MUV 1145 disk -23.3564 \n", "2015-03-18 08:22:19.885040 MUV 1145 disk -23.3564 \n", "2015-03-18 08:22:34.885050 MUV 1145 disk -23.3564 \n", "2015-03-18 08:22:49.885060 MUV 1145 disk -23.3564 \n", "2015-03-18 08:23:04.885070 MUV 1145 disk -23.5062 \n", "2015-03-18 08:23:19.885080 MUV 1145 disk -23.3564 \n", "2015-03-18 08:23:34.885090 MUV 1145 disk -23.3564 \n", "2015-03-18 08:23:49.885100 MUV 1145 disk -23.3564 \n", "2015-03-18 08:24:04.885100 MUV 1145 disk -23.3564 \n", "2015-03-18 08:24:19.885110 MUV 1145 disk -23.3564 \n", "2015-03-18 08:24:34.885120 MUV 1145 disk -23.3564 \n", "2015-03-18 08:24:49.885130 MUV 1145 disk -23.3564 \n", "2015-03-18 08:25:04.885140 MUV 1145 disk -23.3564 \n", "2015-03-18 08:25:19.885150 MUV 1145 disk -23.3564 \n", "2015-03-18 08:25:34.885150 MUV 1145 disk -23.3564 \n", "2015-03-18 08:25:49.885160 MUV 1145 disk -23.3564 \n", "2015-03-18 08:26:04.885170 MUV 1145 disk -23.3564 \n", "2015-03-18 08:26:19.885180 MUV 1145 disk -23.3564 \n", "2015-03-18 08:26:34.885190 MUV 1145 disk -23.3564 \n", "2015-03-18 08:26:49.885200 MUV 1145 disk -23.3564 \n", "2015-03-18 08:27:04.885210 MUV 1145 disk -23.2066 \n", "2015-03-18 08:27:19.885210 MUV 1145 disk -23.5062 \n", "2015-03-18 08:27:34.885220 MUV 1145 disk -23.2066 \n", "2015-03-18 08:27:49.885230 MUV 1145 disk -23.3564 \n", "2015-03-18 08:28:04.885240 MUV 1145 disk -23.3564 \n", "2015-03-18 08:28:19.885250 MUV 1145 disk -23.5062 \n", "2015-03-18 08:28:34.885260 MUV 1145 disk -23.5062 \n", "2015-03-18 08:28:49.885260 MUV 1145 disk -23.0568 \n", "2015-03-18 08:29:04.885270 MUV 1145 disk -23.0568 \n", "... ... ... ... ... \n", "2015-07-31 09:01:46.805400 MUV 1168 disk -23.6560 \n", "2015-07-31 09:02:01.805420 MUV 1168 disk -23.8058 \n", "2015-08-01 11:13:44.119710 MUV 1168 disk -23.8058 \n", "2015-08-01 11:13:59.119720 MUV 1168 disk -23.8058 \n", "2015-08-02 22:09:35.338090 MUV 1168 disk -23.8058 \n", "2015-08-02 22:09:50.338100 MUV 1168 disk -23.8058 \n", "2015-08-03 15:37:28.739170 MUV 1168 disk -23.8058 \n", "2015-08-03 15:37:43.739180 MUV 1168 disk -23.8058 \n", "2015-08-04 09:05:30.391810 MUV 1168 disk -23.9556 \n", "2015-08-04 09:05:45.391830 MUV 1168 disk -23.9556 \n", "2015-08-05 02:33:14.363780 MUV 1168 disk -23.8058 \n", "2015-08-05 02:33:29.363790 MUV 1168 disk -23.8058 \n", "2015-08-05 20:01:12.759880 MUV 1168 disk -23.8058 \n", "2015-08-05 20:01:27.759890 MUV 1168 disk -23.8058 \n", "2015-08-06 13:29:07.155970 MUV 1168 disk -23.6560 \n", "2015-08-06 13:29:22.155980 MUV 1168 disk -23.8058 \n", "2015-08-07 06:57:03.048110 MUV 1168 disk -23.8058 \n", "2015-08-07 06:57:18.048120 MUV 1168 disk -23.8058 \n", "2015-08-08 09:08:40.831420 MUV 1168 disk -23.8058 \n", "2015-08-08 09:08:55.831440 MUV 1168 disk -23.8058 \n", "2015-08-09 02:36:20.973030 MUV 1168 disk -23.8058 \n", "2015-08-09 02:36:35.973050 MUV 1168 disk -23.6560 \n", "2015-08-09 20:04:03.603630 MUV 1168 disk -23.9556 \n", "2015-08-09 20:04:18.603640 MUV 1168 disk -23.8058 \n", "2015-08-10 13:31:45.485780 MUV 1168 disk -23.8058 \n", "2015-08-10 13:32:00.485790 MUV 1168 disk -23.9556 \n", "2015-08-11 06:59:23.362940 MUV 1168 disk -23.8058 \n", "2015-08-11 06:59:38.362950 MUV 1168 disk -23.8058 \n", "2015-08-12 09:10:39.635220 MUV 1168 disk -23.8058 \n", "2015-08-12 09:10:54.635230 MUV 1168 disk -23.8058 \n", "\n", " DUTY_CYCLE ... ORBIT_NUMBER \\\n", "TIME_OF_INT ... \n", "2015-03-18 08:21:49.885030 0.01 ... 900 \n", "2015-03-18 08:22:04.885040 0.01 ... 900 \n", "2015-03-18 08:22:19.885040 0.01 ... 900 \n", "2015-03-18 08:22:34.885050 0.01 ... 900 \n", "2015-03-18 08:22:49.885060 0.01 ... 900 \n", "2015-03-18 08:23:04.885070 0.01 ... 900 \n", "2015-03-18 08:23:19.885080 0.01 ... 900 \n", "2015-03-18 08:23:34.885090 0.01 ... 900 \n", "2015-03-18 08:23:49.885100 0.01 ... 900 \n", "2015-03-18 08:24:04.885100 0.01 ... 900 \n", "2015-03-18 08:24:19.885110 0.01 ... 900 \n", "2015-03-18 08:24:34.885120 0.01 ... 900 \n", "2015-03-18 08:24:49.885130 0.01 ... 900 \n", "2015-03-18 08:25:04.885140 0.01 ... 900 \n", "2015-03-18 08:25:19.885150 0.01 ... 900 \n", "2015-03-18 08:25:34.885150 0.01 ... 900 \n", "2015-03-18 08:25:49.885160 0.01 ... 900 \n", "2015-03-18 08:26:04.885170 0.01 ... 900 \n", "2015-03-18 08:26:19.885180 0.01 ... 900 \n", "2015-03-18 08:26:34.885190 0.01 ... 900 \n", "2015-03-18 08:26:49.885200 0.01 ... 900 \n", "2015-03-18 08:27:04.885210 0.01 ... 900 \n", "2015-03-18 08:27:19.885210 0.01 ... 900 \n", "2015-03-18 08:27:34.885220 0.01 ... 900 \n", "2015-03-18 08:27:49.885230 0.01 ... 900 \n", "2015-03-18 08:28:04.885240 0.01 ... 900 \n", "2015-03-18 08:28:19.885250 0.01 ... 900 \n", "2015-03-18 08:28:34.885260 0.01 ... 900 \n", "2015-03-18 08:28:49.885260 0.01 ... 900 \n", "2015-03-18 08:29:04.885270 0.01 ... 900 \n", "... ... ... ... \n", "2015-07-31 09:01:46.805400 1.00 ... 1628 \n", "2015-07-31 09:02:01.805420 1.00 ... 1628 \n", "2015-08-01 11:13:44.119710 1.00 ... 1634 \n", "2015-08-01 11:13:59.119720 1.00 ... 1634 \n", "2015-08-02 22:09:35.338090 1.00 ... 1642 \n", "2015-08-02 22:09:50.338100 1.00 ... 1642 \n", "2015-08-03 15:37:28.739170 1.00 ... 1646 \n", "2015-08-03 15:37:43.739180 1.00 ... 1646 \n", "2015-08-04 09:05:30.391810 1.00 ... 1650 \n", "2015-08-04 09:05:45.391830 1.00 ... 1650 \n", "2015-08-05 02:33:14.363780 1.00 ... 1654 \n", "2015-08-05 02:33:29.363790 1.00 ... 1654 \n", "2015-08-05 20:01:12.759880 1.00 ... 1658 \n", "2015-08-05 20:01:27.759890 1.00 ... 1658 \n", "2015-08-06 13:29:07.155970 1.00 ... 1662 \n", "2015-08-06 13:29:22.155980 1.00 ... 1662 \n", "2015-08-07 06:57:03.048110 1.00 ... 1666 \n", "2015-08-07 06:57:18.048120 1.00 ... 1666 \n", "2015-08-08 09:08:40.831420 1.00 ... 1672 \n", "2015-08-08 09:08:55.831440 1.00 ... 1672 \n", "2015-08-09 02:36:20.973030 1.00 ... 1676 \n", "2015-08-09 02:36:35.973050 1.00 ... 1676 \n", "2015-08-09 20:04:03.603630 1.00 ... 1680 \n", "2015-08-09 20:04:18.603640 1.00 ... 1680 \n", "2015-08-10 13:31:45.485780 1.00 ... 1684 \n", "2015-08-10 13:32:00.485790 1.00 ... 1684 \n", "2015-08-11 06:59:23.362940 1.00 ... 1688 \n", "2015-08-11 06:59:38.362950 1.00 ... 1688 \n", "2015-08-12 09:10:39.635220 1.00 ... 1694 \n", "2015-08-12 09:10:54.635230 1.00 ... 1694 \n", "\n", " PROCESS \\\n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:22:04.885040 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:22:19.885040 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:22:34.885050 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:22:49.885060 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:23:04.885070 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:23:19.885080 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:23:34.885090 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:23:49.885100 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:24:04.885100 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:24:19.885110 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:24:34.885120 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:24:49.885130 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:25:04.885140 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:25:19.885150 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:25:34.885150 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:25:49.885160 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:26:04.885170 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:26:19.885180 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:26:34.885190 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:26:49.885200 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:27:04.885210 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:27:19.885210 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:27:34.885220 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:27:49.885230 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:28:04.885240 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:28:19.885250 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:28:34.885260 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:28:49.885260 2015/208 Jul 27 19:23:22.00000UTC \n", "2015-03-18 08:29:04.885270 2015/208 Jul 27 19:23:22.00000UTC \n", "... ... \n", "2015-07-31 09:01:46.805400 2015/227 Aug 15 02:14:59.00000UTC \n", "2015-07-31 09:02:01.805420 2015/227 Aug 15 02:14:59.00000UTC \n", "2015-08-01 11:13:44.119710 2015/227 Aug 15 02:19:17.00000UTC \n", "2015-08-01 11:13:59.119720 2015/227 Aug 15 02:19:17.00000UTC \n", "2015-08-02 22:09:35.338090 2015/227 Aug 15 02:25:59.00000UTC \n", "2015-08-02 22:09:50.338100 2015/227 Aug 15 02:25:59.00000UTC \n", "2015-08-03 15:37:28.739170 2015/227 Aug 15 02:30:05.00000UTC \n", "2015-08-03 15:37:43.739180 2015/227 Aug 15 02:30:05.00000UTC \n", "2015-08-04 09:05:30.391810 2015/227 Aug 15 02:34:05.00000UTC \n", "2015-08-04 09:05:45.391830 2015/227 Aug 15 02:34:05.00000UTC \n", "2015-08-05 02:33:14.363780 2015/227 Aug 15 02:36:15.00000UTC \n", "2015-08-05 02:33:29.363790 2015/227 Aug 15 02:36:15.00000UTC \n", "2015-08-05 20:01:12.759880 2015/227 Aug 15 02:39:55.00000UTC \n", "2015-08-05 20:01:27.759890 2015/227 Aug 15 02:39:55.00000UTC \n", "2015-08-06 13:29:07.155970 2015/227 Aug 15 02:43:45.00000UTC \n", "2015-08-06 13:29:22.155980 2015/227 Aug 15 02:43:45.00000UTC \n", "2015-08-07 06:57:03.048110 2015/227 Aug 15 02:47:15.00000UTC \n", "2015-08-07 06:57:18.048120 2015/227 Aug 15 02:47:15.00000UTC \n", "2015-08-08 09:08:40.831420 2015/227 Aug 15 02:51:39.00000UTC \n", "2015-08-08 09:08:55.831440 2015/227 Aug 15 02:51:39.00000UTC \n", "2015-08-09 02:36:20.973030 2015/227 Aug 15 02:55:21.00000UTC \n", "2015-08-09 02:36:35.973050 2015/227 Aug 15 02:55:21.00000UTC \n", "2015-08-09 20:04:03.603630 2015/227 Aug 15 02:59:34.00000UTC \n", "2015-08-09 20:04:18.603640 2015/227 Aug 15 02:59:34.00000UTC \n", "2015-08-10 13:31:45.485780 2015/227 Aug 15 03:03:45.00000UTC \n", "2015-08-10 13:32:00.485790 2015/227 Aug 15 03:03:45.00000UTC \n", "2015-08-11 06:59:23.362940 2015/227 Aug 15 03:07:51.00000UTC \n", "2015-08-11 06:59:38.362950 2015/227 Aug 15 03:07:51.00000UTC \n", "2015-08-12 09:10:39.635220 2015/227 Aug 15 03:11:59.00000UTC \n", "2015-08-12 09:10:54.635230 2015/227 Aug 15 03:11:59.00000UTC \n", "\n", " PRODUCT_ID \\\n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:22:04.885040 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:22:19.885040 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:22:34.885050 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:22:49.885060 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:23:04.885070 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:23:19.885080 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:23:34.885090 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:23:49.885100 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:24:04.885100 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:24:19.885110 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:24:34.885120 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:24:49.885130 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:25:04.885140 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:25:19.885150 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:25:34.885150 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:25:49.885160 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:26:04.885170 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:26:19.885180 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:26:34.885190 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:26:49.885200 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:27:04.885210 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:27:19.885210 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:27:34.885220 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:27:49.885230 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:28:04.885240 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:28:19.885250 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:28:34.885260 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:28:49.885260 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "2015-03-18 08:29:04.885270 mvn_iuv_l1a_outdisk-orbit00900-muvdark_2015031... \n", "... ... \n", "2015-07-31 09:01:46.805400 mvn_iuv_l1a_outdisk-orbit01628-muvdark_2015073... \n", "2015-07-31 09:02:01.805420 mvn_iuv_l1a_outdisk-orbit01628-muvdark_2015073... \n", "2015-08-01 11:13:44.119710 mvn_iuv_l1a_outdisk-orbit01634-muvdark_2015080... \n", "2015-08-01 11:13:59.119720 mvn_iuv_l1a_outdisk-orbit01634-muvdark_2015080... \n", "2015-08-02 22:09:35.338090 mvn_iuv_l1a_outdisk-orbit01642-muvdark_2015080... \n", "2015-08-02 22:09:50.338100 mvn_iuv_l1a_outdisk-orbit01642-muvdark_2015080... \n", "2015-08-03 15:37:28.739170 mvn_iuv_l1a_outdisk-orbit01646-muvdark_2015080... \n", "2015-08-03 15:37:43.739180 mvn_iuv_l1a_outdisk-orbit01646-muvdark_2015080... \n", "2015-08-04 09:05:30.391810 mvn_iuv_l1a_outdisk-orbit01650-muvdark_2015080... \n", "2015-08-04 09:05:45.391830 mvn_iuv_l1a_outdisk-orbit01650-muvdark_2015080... \n", "2015-08-05 02:33:14.363780 mvn_iuv_l1a_outdisk-orbit01654-muvdark_2015080... \n", "2015-08-05 02:33:29.363790 mvn_iuv_l1a_outdisk-orbit01654-muvdark_2015080... \n", "2015-08-05 20:01:12.759880 mvn_iuv_l1a_outdisk-orbit01658-muvdark_2015080... \n", "2015-08-05 20:01:27.759890 mvn_iuv_l1a_outdisk-orbit01658-muvdark_2015080... \n", "2015-08-06 13:29:07.155970 mvn_iuv_l1a_outdisk-orbit01662-muvdark_2015080... \n", "2015-08-06 13:29:22.155980 mvn_iuv_l1a_outdisk-orbit01662-muvdark_2015080... \n", "2015-08-07 06:57:03.048110 mvn_iuv_l1a_outdisk-orbit01666-muvdark_2015080... \n", "2015-08-07 06:57:18.048120 mvn_iuv_l1a_outdisk-orbit01666-muvdark_2015080... \n", "2015-08-08 09:08:40.831420 mvn_iuv_l1a_outdisk-orbit01672-muvdark_2015080... \n", "2015-08-08 09:08:55.831440 mvn_iuv_l1a_outdisk-orbit01672-muvdark_2015080... \n", "2015-08-09 02:36:20.973030 mvn_iuv_l1a_outdisk-orbit01676-muvdark_2015080... \n", "2015-08-09 02:36:35.973050 mvn_iuv_l1a_outdisk-orbit01676-muvdark_2015080... \n", "2015-08-09 20:04:03.603630 mvn_iuv_l1a_outdisk-orbit01680-muvdark_2015080... \n", "2015-08-09 20:04:18.603640 mvn_iuv_l1a_outdisk-orbit01680-muvdark_2015080... \n", "2015-08-10 13:31:45.485780 mvn_iuv_l1a_outdisk-orbit01684-muvdark_2015081... \n", "2015-08-10 13:32:00.485790 mvn_iuv_l1a_outdisk-orbit01684-muvdark_2015081... \n", "2015-08-11 06:59:23.362940 mvn_iuv_l1a_outdisk-orbit01688-muvdark_2015081... \n", "2015-08-11 06:59:38.362950 mvn_iuv_l1a_outdisk-orbit01688-muvdark_2015081... \n", "2015-08-12 09:10:39.635220 mvn_iuv_l1a_outdisk-orbit01694-muvdark_2015081... \n", "2015-08-12 09:10:54.635230 mvn_iuv_l1a_outdisk-orbit01694-muvdark_2015081... \n", "\n", " SOLAR_LONGITUDE TARGET_NAME mean median \\\n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 310.216980 MARS 0.283555 0.277863 \n", "2015-03-18 08:22:04.885040 310.216980 MARS 0.278590 0.268579 \n", "2015-03-18 08:22:19.885040 310.216980 MARS 0.295184 0.286997 \n", "2015-03-18 08:22:34.885050 310.216980 MARS 0.278975 0.272278 \n", "2015-03-18 08:22:49.885060 310.216980 MARS 0.287784 0.281335 \n", "2015-03-18 08:23:04.885070 310.216980 MARS 0.294565 0.285864 \n", "2015-03-18 08:23:19.885080 310.216980 MARS 0.295131 0.287601 \n", "2015-03-18 08:23:34.885090 310.216980 MARS 0.274008 0.266918 \n", "2015-03-18 08:23:49.885100 310.216980 MARS 0.278177 0.269938 \n", "2015-03-18 08:24:04.885100 310.216980 MARS 0.287852 0.279826 \n", "2015-03-18 08:24:19.885110 310.216980 MARS 0.286780 0.280656 \n", "2015-03-18 08:24:34.885120 310.216980 MARS 0.276485 0.268956 \n", "2015-03-18 08:24:49.885130 310.216980 MARS 0.267341 0.258464 \n", "2015-03-18 08:25:04.885140 310.216980 MARS 0.279333 0.270541 \n", "2015-03-18 08:25:19.885150 310.216980 MARS 0.284141 0.277486 \n", "2015-03-18 08:25:34.885150 310.216980 MARS 0.273611 0.264956 \n", "2015-03-18 08:25:49.885160 310.216980 MARS 0.279487 0.269862 \n", "2015-03-18 08:26:04.885170 310.216980 MARS 0.270350 0.263446 \n", "2015-03-18 08:26:19.885180 310.216980 MARS 0.287379 0.281034 \n", "2015-03-18 08:26:34.885190 310.216980 MARS 0.276569 0.270994 \n", "2015-03-18 08:26:49.885200 310.216980 MARS 0.287927 0.280656 \n", "2015-03-18 08:27:04.885210 310.216980 MARS 0.276048 0.270239 \n", "2015-03-18 08:27:19.885210 310.216980 MARS 0.279785 0.270390 \n", "2015-03-18 08:27:34.885220 310.216980 MARS 0.266617 0.259596 \n", "2015-03-18 08:27:49.885230 310.216980 MARS 0.290446 0.282015 \n", "2015-03-18 08:28:04.885240 310.216980 MARS 0.268905 0.261785 \n", "2015-03-18 08:28:19.885250 310.216980 MARS 0.280346 0.270919 \n", "2015-03-18 08:28:34.885260 310.216980 MARS 0.273589 0.265786 \n", "2015-03-18 08:28:49.885260 310.216980 MARS 0.287122 0.279071 \n", "2015-03-18 08:29:04.885270 310.216980 MARS 0.284603 0.278694 \n", "... ... ... ... ... \n", "2015-07-31 09:01:46.805400 20.730314 MARS 0.286300 0.278618 \n", "2015-07-31 09:02:01.805420 20.730314 MARS 0.269280 0.262993 \n", "2015-08-01 11:13:44.119710 21.242508 MARS 0.280916 0.272881 \n", "2015-08-01 11:13:59.119720 21.242508 MARS 0.274880 0.266994 \n", "2015-08-02 22:09:35.338090 21.924328 MARS 0.273396 0.265786 \n", "2015-08-02 22:09:50.338100 21.924328 MARS 0.270391 0.263295 \n", "2015-08-03 15:37:28.739170 22.264767 MARS 0.276564 0.270919 \n", "2015-08-03 15:37:43.739180 22.264767 MARS 0.278678 0.272881 \n", "2015-08-04 09:05:30.391810 22.604948 MARS 0.277575 0.269560 \n", "2015-08-04 09:05:45.391830 22.604948 MARS 0.283405 0.275448 \n", "2015-08-05 02:33:14.363780 22.944733 MARS 0.280550 0.272127 \n", "2015-08-05 02:33:29.363790 22.944733 MARS 0.264695 0.256879 \n", "2015-08-05 20:01:12.759880 23.284298 MARS 0.285244 0.276882 \n", "2015-08-05 20:01:27.759890 23.284298 MARS 0.262809 0.254464 \n", "2015-08-06 13:29:07.155970 23.623545 MARS 0.283734 0.277033 \n", "2015-08-06 13:29:22.155980 23.623545 MARS 0.275166 0.267522 \n", "2015-08-07 06:57:03.048110 23.962503 MARS 0.291146 0.281562 \n", "2015-08-07 06:57:18.048120 23.962503 MARS 0.271494 0.264880 \n", "2015-08-08 09:08:40.831420 24.470308 MARS 0.277495 0.270692 \n", "2015-08-08 09:08:55.831440 24.470308 MARS 0.275910 0.271749 \n", "2015-08-09 02:36:20.973030 24.808456 MARS 0.293732 0.287752 \n", "2015-08-09 02:36:35.973050 24.808456 MARS 0.272160 0.262389 \n", "2015-08-09 20:04:03.603630 25.146330 MARS 0.282969 0.275750 \n", "2015-08-09 20:04:18.603640 25.146330 MARS 0.277051 0.268956 \n", "2015-08-10 13:31:45.485780 25.483913 MARS 0.279507 0.271598 \n", "2015-08-10 13:32:00.485790 25.483913 MARS 0.277062 0.266012 \n", "2015-08-11 06:59:23.362940 25.821190 MARS 0.300453 0.285336 \n", "2015-08-11 06:59:38.362950 25.821190 MARS 0.273599 0.265710 \n", "2015-08-12 09:10:39.635220 26.326525 MARS 0.294047 0.283977 \n", "2015-08-12 09:10:54.635230 26.326525 MARS 0.255966 0.248802 \n", "\n", " std BINNING_SET activity \n", "TIME_OF_INT \n", "2015-03-18 08:21:49.885030 0.045621 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:22:04.885040 0.049753 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:22:19.885040 0.045738 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:22:34.885050 0.048057 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:22:49.885060 0.055271 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:23:04.885070 0.044219 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:23:19.885080 0.046916 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:23:34.885090 0.047799 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:23:49.885100 0.046535 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:24:04.885100 0.044779 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:24:19.885110 0.045108 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:24:34.885120 0.045343 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:24:49.885130 0.044368 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:25:04.885140 0.047273 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:25:19.885150 0.046018 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:25:34.885150 0.044858 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:25:49.885160 0.046529 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:26:04.885170 0.046531 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:26:19.885180 0.045513 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:26:34.885190 0.044540 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:26:49.885200 0.047143 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:27:04.885210 0.044321 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:27:19.885210 0.049062 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:27:34.885220 0.042847 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:27:49.885230 0.045142 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:28:04.885240 0.047627 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:28:19.885250 0.045021 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:28:34.885260 0.046481 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:28:49.885260 0.044150 (140, 8, 96, 115) outdisk \n", "2015-03-18 08:29:04.885270 0.045415 (140, 8, 96, 115) outdisk \n", "... ... ... ... \n", "2015-07-31 09:01:46.805400 0.049890 (140, 8, 96, 115) outdisk \n", "2015-07-31 09:02:01.805420 0.044151 (140, 8, 96, 115) outdisk \n", "2015-08-01 11:13:44.119710 0.044516 (140, 8, 96, 115) outdisk \n", "2015-08-01 11:13:59.119720 0.047420 (140, 8, 96, 115) outdisk \n", "2015-08-02 22:09:35.338090 0.042934 (140, 8, 96, 115) outdisk \n", "2015-08-02 22:09:50.338100 0.044142 (140, 8, 96, 115) outdisk \n", "2015-08-03 15:37:28.739170 0.044382 (140, 8, 96, 115) outdisk \n", "2015-08-03 15:37:43.739180 0.045348 (140, 8, 96, 115) outdisk \n", "2015-08-04 09:05:30.391810 0.044585 (140, 8, 96, 115) outdisk \n", "2015-08-04 09:05:45.391830 0.044783 (140, 8, 96, 115) outdisk \n", "2015-08-05 02:33:14.363780 0.045239 (140, 8, 96, 115) outdisk \n", "2015-08-05 02:33:29.363790 0.042329 (140, 8, 96, 115) outdisk \n", "2015-08-05 20:01:12.759880 0.044246 (140, 8, 96, 115) outdisk \n", "2015-08-05 20:01:27.759890 0.043954 (140, 8, 96, 115) outdisk \n", "2015-08-06 13:29:07.155970 0.045009 (140, 8, 96, 115) outdisk \n", "2015-08-06 13:29:22.155980 0.044902 (140, 8, 96, 115) outdisk \n", "2015-08-07 06:57:03.048110 0.044339 (140, 8, 96, 115) outdisk \n", "2015-08-07 06:57:18.048120 0.044387 (140, 8, 96, 115) outdisk \n", "2015-08-08 09:08:40.831420 0.045312 (140, 8, 96, 115) outdisk \n", "2015-08-08 09:08:55.831440 0.045855 (140, 8, 96, 115) outdisk \n", "2015-08-09 02:36:20.973030 0.045684 (140, 8, 96, 115) outdisk \n", "2015-08-09 02:36:35.973050 0.054927 (140, 8, 96, 115) outdisk \n", "2015-08-09 20:04:03.603630 0.045176 (140, 8, 96, 115) outdisk \n", "2015-08-09 20:04:18.603640 0.051991 (140, 8, 96, 115) outdisk \n", "2015-08-10 13:31:45.485780 0.046828 (140, 8, 96, 115) outdisk \n", "2015-08-10 13:32:00.485790 0.065724 (140, 8, 96, 115) outdisk \n", "2015-08-11 06:59:23.362940 0.206381 (140, 8, 96, 115) outdisk \n", "2015-08-11 06:59:38.362950 0.045281 (140, 8, 96, 115) outdisk \n", "2015-08-12 09:10:39.635220 0.046752 (140, 8, 96, 115) outdisk \n", "2015-08-12 09:10:54.635230 0.043373 (140, 8, 96, 115) outdisk \n", "\n", "[472 rows x 35 columns]" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "group" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>ANC_SVN_REVISION</th>\n", " <th>BIN_PATTERN_INDEX</th>\n", " <th>BIN_TBL</th>\n", " <th>CADENCE</th>\n", " <th>CASE_TEMP</th>\n", " <th>CHANNEL</th>\n", " <th>CODE_SVN_REVISION</th>\n", " <th>COLLECTION_ID</th>\n", " <th>DET_TEMP</th>\n", " <th>DUTY_CYCLE</th>\n", " <th>...</th>\n", " <th>ORBIT_NUMBER</th>\n", " <th>PROCESS</th>\n", " <th>PRODUCT_ID</th>\n", " <th>SOLAR_LONGITUDE</th>\n", " <th>TARGET_NAME</th>\n", " <th>mean</th>\n", " <th>median</th>\n", " <th>std</th>\n", " <th>BINNING_SET</th>\n", " <th>activity</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2015-01-01 00:20:04</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 15,16 linear_0006</td>\n", " <td>15.0</td>\n", " <td>5.044584</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>disk</td>\n", " <td>-19.3867</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>497</td>\n", " <td>2015/203 Jul 22 20:31:37.00000UTC</td>\n", " <td>mvn_iuv_l1a_apoapse-orbit00497-fuvdark_2015010...</td>\n", " <td>263.506836</td>\n", " <td>MARS</td>\n", " <td>0.373513</td>\n", " <td>0.354177</td>\n", " <td>0.094435</td>\n", " <td>(0, 4, 89, 80)</td>\n", " <td>apoapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 00:20:19</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 15,16 linear_0006</td>\n", " <td>15.0</td>\n", " <td>5.044584</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>disk</td>\n", " <td>-19.4616</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>497</td>\n", " <td>2015/203 Jul 22 20:31:37.00000UTC</td>\n", " <td>mvn_iuv_l1a_apoapse-orbit00497-fuvdark_2015010...</td>\n", " <td>263.506836</td>\n", " <td>MARS</td>\n", " <td>0.337646</td>\n", " <td>0.319888</td>\n", " <td>0.088610</td>\n", " <td>(0, 4, 89, 80)</td>\n", " <td>apoapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:22</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:16.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.582947</td>\n", " <td>MARS</td>\n", " <td>0.571342</td>\n", " <td>0.556428</td>\n", " <td>0.132053</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:27</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:16.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.582947</td>\n", " <td>MARS</td>\n", " <td>0.509673</td>\n", " <td>0.494575</td>\n", " <td>0.126925</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:40</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.539798</td>\n", " <td>0.528219</td>\n", " <td>0.131290</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:44</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.496077</td>\n", " <td>0.477236</td>\n", " <td>0.128544</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:49</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.535675</td>\n", " <td>0.518644</td>\n", " <td>0.131181</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:54</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.522510</td>\n", " <td>0.506739</td>\n", " <td>0.125528</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:13:59</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.476635</td>\n", " <td>0.459379</td>\n", " <td>0.125628</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:04</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.524106</td>\n", " <td>0.501822</td>\n", " <td>0.132672</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:08</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.526785</td>\n", " <td>0.503633</td>\n", " <td>0.220018</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:13</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.469022</td>\n", " <td>0.449803</td>\n", " <td>0.118687</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:18</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.516653</td>\n", " <td>0.502598</td>\n", " <td>0.133506</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:23</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.515822</td>\n", " <td>0.496904</td>\n", " <td>0.132110</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:28</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.511717</td>\n", " <td>0.494834</td>\n", " <td>0.131107</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:32</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.484852</td>\n", " <td>0.469472</td>\n", " <td>0.129343</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:37</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.527716</td>\n", " <td>0.512432</td>\n", " <td>0.130310</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:42</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.486654</td>\n", " <td>0.464813</td>\n", " <td>0.127952</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:47</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.524919</td>\n", " <td>0.510103</td>\n", " <td>0.127110</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:52</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.494811</td>\n", " <td>0.478012</td>\n", " <td>0.124460</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:14:56</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.520366</td>\n", " <td>0.503116</td>\n", " <td>0.141542</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:01</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.512159</td>\n", " <td>0.494575</td>\n", " <td>0.127555</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:06</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.522668</td>\n", " <td>0.506221</td>\n", " <td>0.130657</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:11</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.494344</td>\n", " <td>0.474130</td>\n", " <td>0.128327</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:16</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.849059</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.0608</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:18.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583069</td>\n", " <td>MARS</td>\n", " <td>0.520924</td>\n", " <td>0.506998</td>\n", " <td>0.131260</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:26</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:20.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583862</td>\n", " <td>MARS</td>\n", " <td>0.459113</td>\n", " <td>0.442557</td>\n", " <td>0.125964</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:30</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:20.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583862</td>\n", " <td>MARS</td>\n", " <td>0.501048</td>\n", " <td>0.483964</td>\n", " <td>0.125897</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:35</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:20.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583862</td>\n", " <td>MARS</td>\n", " <td>0.505558</td>\n", " <td>0.489917</td>\n", " <td>0.126173</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:40</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:20.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583862</td>\n", " <td>MARS</td>\n", " <td>0.487165</td>\n", " <td>0.468954</td>\n", " <td>0.130470</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 03:15:45</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.855359</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>498</td>\n", " <td>2015/203 Jul 22 20:32:20.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501...</td>\n", " <td>263.583862</td>\n", " <td>MARS</td>\n", " <td>0.473506</td>\n", " <td>0.457049</td>\n", " <td>0.124312</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:35:41</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.512423</td>\n", " <td>0.496387</td>\n", " <td>0.127878</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:35:46</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.518853</td>\n", " <td>0.503892</td>\n", " <td>0.123366</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:35:51</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.508469</td>\n", " <td>0.494575</td>\n", " <td>0.122917</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:35:56</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.500099</td>\n", " <td>0.481635</td>\n", " <td>0.142032</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:00</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.533371</td>\n", " <td>0.518644</td>\n", " <td>0.134899</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:05</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.551917</td>\n", " <td>0.537536</td>\n", " <td>0.132781</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:10</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.842761</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:38.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.066437</td>\n", " <td>MARS</td>\n", " <td>0.523813</td>\n", " <td>0.502598</td>\n", " <td>0.197807</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:20</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.532864</td>\n", " <td>0.516056</td>\n", " <td>0.130837</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:24</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.488513</td>\n", " <td>0.468954</td>\n", " <td>0.250580</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:29</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.518006</td>\n", " <td>0.503116</td>\n", " <td>0.123345</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:34</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.484303</td>\n", " <td>0.467143</td>\n", " <td>0.127496</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:39</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.498550</td>\n", " <td>0.479047</td>\n", " <td>0.122959</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:44</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.499342</td>\n", " <td>0.479824</td>\n", " <td>0.127139</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:48</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5851</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.512377</td>\n", " <td>0.503116</td>\n", " <td>0.125167</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:53</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.516722</td>\n", " <td>0.503374</td>\n", " <td>0.126310</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:36:58</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.473924</td>\n", " <td>0.458085</td>\n", " <td>0.126590</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:03</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.509037</td>\n", " <td>0.491728</td>\n", " <td>0.128590</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:08</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.483975</td>\n", " <td>0.468437</td>\n", " <td>0.124763</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:12</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.529303</td>\n", " <td>0.509327</td>\n", " <td>0.130900</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:17</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.500576</td>\n", " <td>0.481118</td>\n", " <td>0.126732</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:22</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.460541</td>\n", " <td>0.443074</td>\n", " <td>0.125336</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:27</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.548409</td>\n", " <td>0.526925</td>\n", " <td>0.146438</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:32</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.494863</td>\n", " <td>0.471542</td>\n", " <td>0.140489</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:36</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.529045</td>\n", " <td>0.497940</td>\n", " <td>0.167874</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:41</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.4353</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.535570</td>\n", " <td>0.517608</td>\n", " <td>0.143703</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:46</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.518056</td>\n", " <td>0.497422</td>\n", " <td>0.132298</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:51</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.3604</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.532756</td>\n", " <td>0.513468</td>\n", " <td>0.140263</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 21:37:56</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 5,6 linear_0006</td>\n", " <td>4.8</td>\n", " <td>4.830165</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>limb</td>\n", " <td>-20.5102</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:36:40.00000UTC</td>\n", " <td>mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501...</td>\n", " <td>264.067200</td>\n", " <td>MARS</td>\n", " <td>0.535489</td>\n", " <td>0.517091</td>\n", " <td>0.134681</td>\n", " <td>(0, 4, 89, 115)</td>\n", " <td>periapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 23:13:59</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 15,16 linear_0006</td>\n", " <td>15.0</td>\n", " <td>5.202645</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>disk</td>\n", " <td>-19.1620</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:37:16.00000UTC</td>\n", " <td>mvn_iuv_l1a_apoapse-orbit00502-fuvdark_2015010...</td>\n", " <td>264.110077</td>\n", " <td>MARS</td>\n", " <td>0.340867</td>\n", " <td>0.319888</td>\n", " <td>0.092653</td>\n", " <td>(0, 4, 89, 80)</td>\n", " <td>apoapse</td>\n", " </tr>\n", " <tr>\n", " <th>2015-01-01 23:14:14</th>\n", " <td>1141</td>\n", " <td>LINEAR linear_0006</td>\n", " <td>LINEAR 15,16 linear_0006</td>\n", " <td>15.0</td>\n", " <td>5.202645</td>\n", " <td>FUV</td>\n", " <td>1141</td>\n", " <td>disk</td>\n", " <td>-19.0871</td>\n", " <td>1</td>\n", " <td>...</td>\n", " <td>502</td>\n", " <td>2015/203 Jul 22 20:37:16.00000UTC</td>\n", " <td>mvn_iuv_l1a_apoapse-orbit00502-fuvdark_2015010...</td>\n", " <td>264.110077</td>\n", " <td>MARS</td>\n", " <td>0.337751</td>\n", " <td>0.318369</td>\n", " <td>0.092121</td>\n", " <td>(0, 4, 89, 80)</td>\n", " <td>apoapse</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>213 rows × 35 columns</p>\n", "</div>" ], "text/plain": [ " ANC_SVN_REVISION BIN_PATTERN_INDEX \\\n", "2015-01-01 00:20:04 1141 LINEAR linear_0006 \n", "2015-01-01 00:20:19 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:22 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:27 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:40 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:44 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:49 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:54 1141 LINEAR linear_0006 \n", "2015-01-01 03:13:59 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:04 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:08 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:13 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:18 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:23 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:28 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:32 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:37 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:42 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:47 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:52 1141 LINEAR linear_0006 \n", "2015-01-01 03:14:56 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:01 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:06 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:11 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:16 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:26 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:30 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:35 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:40 1141 LINEAR linear_0006 \n", "2015-01-01 03:15:45 1141 LINEAR linear_0006 \n", "... ... ... \n", "2015-01-01 21:35:41 1141 LINEAR linear_0006 \n", "2015-01-01 21:35:46 1141 LINEAR linear_0006 \n", "2015-01-01 21:35:51 1141 LINEAR linear_0006 \n", "2015-01-01 21:35:56 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:00 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:05 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:10 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:20 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:24 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:29 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:34 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:39 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:44 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:48 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:53 1141 LINEAR linear_0006 \n", "2015-01-01 21:36:58 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:03 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:08 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:12 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:17 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:22 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:27 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:32 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:36 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:41 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:46 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:51 1141 LINEAR linear_0006 \n", "2015-01-01 21:37:56 1141 LINEAR linear_0006 \n", "2015-01-01 23:13:59 1141 LINEAR linear_0006 \n", "2015-01-01 23:14:14 1141 LINEAR linear_0006 \n", "\n", " BIN_TBL CADENCE CASE_TEMP CHANNEL \\\n", "2015-01-01 00:20:04 LINEAR 15,16 linear_0006 15.0 5.044584 FUV \n", "2015-01-01 00:20:19 LINEAR 15,16 linear_0006 15.0 5.044584 FUV \n", "2015-01-01 03:13:22 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "2015-01-01 03:13:27 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "2015-01-01 03:13:40 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:13:44 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:13:49 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:13:54 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:13:59 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:04 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:08 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:13 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:18 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:23 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:28 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:32 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:37 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:42 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:47 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:52 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:14:56 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:15:01 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:15:06 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:15:11 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:15:16 LINEAR 5,6 linear_0006 4.8 4.849059 FUV \n", "2015-01-01 03:15:26 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "2015-01-01 03:15:30 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "2015-01-01 03:15:35 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "2015-01-01 03:15:40 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "2015-01-01 03:15:45 LINEAR 5,6 linear_0006 4.8 4.855359 FUV \n", "... ... ... ... ... \n", "2015-01-01 21:35:41 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:35:46 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:35:51 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:35:56 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:36:00 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:36:05 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:36:10 LINEAR 5,6 linear_0006 4.8 4.842761 FUV \n", "2015-01-01 21:36:20 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:24 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:29 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:34 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:39 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:44 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:48 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:53 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:36:58 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:03 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:08 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:12 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:17 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:22 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:27 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:32 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:36 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:41 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:46 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:51 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 21:37:56 LINEAR 5,6 linear_0006 4.8 4.830165 FUV \n", "2015-01-01 23:13:59 LINEAR 15,16 linear_0006 15.0 5.202645 FUV \n", "2015-01-01 23:14:14 LINEAR 15,16 linear_0006 15.0 5.202645 FUV \n", "\n", " CODE_SVN_REVISION COLLECTION_ID DET_TEMP DUTY_CYCLE \\\n", "2015-01-01 00:20:04 1141 disk -19.3867 1 \n", "2015-01-01 00:20:19 1141 disk -19.4616 1 \n", "2015-01-01 03:13:22 1141 limb -20.4353 1 \n", "2015-01-01 03:13:27 1141 limb -20.5102 1 \n", "2015-01-01 03:13:40 1141 limb -20.5102 1 \n", "2015-01-01 03:13:44 1141 limb -20.4353 1 \n", "2015-01-01 03:13:49 1141 limb -20.5102 1 \n", "2015-01-01 03:13:54 1141 limb -20.4353 1 \n", "2015-01-01 03:13:59 1141 limb -20.3604 1 \n", "2015-01-01 03:14:04 1141 limb -20.4353 1 \n", "2015-01-01 03:14:08 1141 limb -20.4353 1 \n", "2015-01-01 03:14:13 1141 limb -20.4353 1 \n", "2015-01-01 03:14:18 1141 limb -20.4353 1 \n", "2015-01-01 03:14:23 1141 limb -20.4353 1 \n", "2015-01-01 03:14:28 1141 limb -20.3604 1 \n", "2015-01-01 03:14:32 1141 limb -20.4353 1 \n", "2015-01-01 03:14:37 1141 limb -20.3604 1 \n", "2015-01-01 03:14:42 1141 limb -20.4353 1 \n", "2015-01-01 03:14:47 1141 limb -20.4353 1 \n", "2015-01-01 03:14:52 1141 limb -20.4353 1 \n", "2015-01-01 03:14:56 1141 limb -20.4353 1 \n", "2015-01-01 03:15:01 1141 limb -20.3604 1 \n", "2015-01-01 03:15:06 1141 limb -20.5102 1 \n", "2015-01-01 03:15:11 1141 limb -20.4353 1 \n", "2015-01-01 03:15:16 1141 limb -20.0608 1 \n", "2015-01-01 03:15:26 1141 limb -20.4353 1 \n", "2015-01-01 03:15:30 1141 limb -20.4353 1 \n", "2015-01-01 03:15:35 1141 limb -20.5102 1 \n", "2015-01-01 03:15:40 1141 limb -20.5102 1 \n", "2015-01-01 03:15:45 1141 limb -20.5102 1 \n", "... ... ... ... ... \n", "2015-01-01 21:35:41 1141 limb -20.4353 1 \n", "2015-01-01 21:35:46 1141 limb -20.3604 1 \n", "2015-01-01 21:35:51 1141 limb -20.4353 1 \n", "2015-01-01 21:35:56 1141 limb -20.3604 1 \n", "2015-01-01 21:36:00 1141 limb -20.5102 1 \n", "2015-01-01 21:36:05 1141 limb -20.5102 1 \n", "2015-01-01 21:36:10 1141 limb -20.3604 1 \n", "2015-01-01 21:36:20 1141 limb -20.3604 1 \n", "2015-01-01 21:36:24 1141 limb -20.4353 1 \n", "2015-01-01 21:36:29 1141 limb -20.5102 1 \n", "2015-01-01 21:36:34 1141 limb -20.5102 1 \n", "2015-01-01 21:36:39 1141 limb -20.4353 1 \n", "2015-01-01 21:36:44 1141 limb -20.5102 1 \n", "2015-01-01 21:36:48 1141 limb -20.5851 1 \n", "2015-01-01 21:36:53 1141 limb -20.5102 1 \n", "2015-01-01 21:36:58 1141 limb -20.4353 1 \n", "2015-01-01 21:37:03 1141 limb -20.5102 1 \n", "2015-01-01 21:37:08 1141 limb -20.3604 1 \n", "2015-01-01 21:37:12 1141 limb -20.4353 1 \n", "2015-01-01 21:37:17 1141 limb -20.3604 1 \n", "2015-01-01 21:37:22 1141 limb -20.5102 1 \n", "2015-01-01 21:37:27 1141 limb -20.5102 1 \n", "2015-01-01 21:37:32 1141 limb -20.4353 1 \n", "2015-01-01 21:37:36 1141 limb -20.4353 1 \n", "2015-01-01 21:37:41 1141 limb -20.4353 1 \n", "2015-01-01 21:37:46 1141 limb -20.5102 1 \n", "2015-01-01 21:37:51 1141 limb -20.3604 1 \n", "2015-01-01 21:37:56 1141 limb -20.5102 1 \n", "2015-01-01 23:13:59 1141 disk -19.1620 1 \n", "2015-01-01 23:14:14 1141 disk -19.0871 1 \n", "\n", " ... ORBIT_NUMBER PROCESS \\\n", "2015-01-01 00:20:04 ... 497 2015/203 Jul 22 20:31:37.00000UTC \n", "2015-01-01 00:20:19 ... 497 2015/203 Jul 22 20:31:37.00000UTC \n", "2015-01-01 03:13:22 ... 498 2015/203 Jul 22 20:32:16.00000UTC \n", "2015-01-01 03:13:27 ... 498 2015/203 Jul 22 20:32:16.00000UTC \n", "2015-01-01 03:13:40 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:13:44 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:13:49 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:13:54 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:13:59 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:04 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:08 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:13 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:18 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:23 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:28 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:32 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:37 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:42 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:47 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:52 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:14:56 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:15:01 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:15:06 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:15:11 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:15:16 ... 498 2015/203 Jul 22 20:32:18.00000UTC \n", "2015-01-01 03:15:26 ... 498 2015/203 Jul 22 20:32:20.00000UTC \n", "2015-01-01 03:15:30 ... 498 2015/203 Jul 22 20:32:20.00000UTC \n", "2015-01-01 03:15:35 ... 498 2015/203 Jul 22 20:32:20.00000UTC \n", "2015-01-01 03:15:40 ... 498 2015/203 Jul 22 20:32:20.00000UTC \n", "2015-01-01 03:15:45 ... 498 2015/203 Jul 22 20:32:20.00000UTC \n", "... ... ... ... \n", "2015-01-01 21:35:41 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:35:46 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:35:51 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:35:56 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:36:00 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:36:05 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:36:10 ... 502 2015/203 Jul 22 20:36:38.00000UTC \n", "2015-01-01 21:36:20 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:24 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:29 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:34 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:39 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:44 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:48 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:53 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:36:58 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:03 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:08 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:12 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:17 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:22 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:27 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:32 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:36 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:41 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:46 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:51 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 21:37:56 ... 502 2015/203 Jul 22 20:36:40.00000UTC \n", "2015-01-01 23:13:59 ... 502 2015/203 Jul 22 20:37:16.00000UTC \n", "2015-01-01 23:14:14 ... 502 2015/203 Jul 22 20:37:16.00000UTC \n", "\n", " PRODUCT_ID \\\n", "2015-01-01 00:20:04 mvn_iuv_l1a_apoapse-orbit00497-fuvdark_2015010... \n", "2015-01-01 00:20:19 mvn_iuv_l1a_apoapse-orbit00497-fuvdark_2015010... \n", "2015-01-01 03:13:22 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:13:27 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:13:40 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:13:44 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:13:49 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:13:54 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:13:59 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:04 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:08 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:13 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:18 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:23 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:28 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:32 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:37 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:42 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:47 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:52 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:14:56 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:01 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:06 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:11 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:16 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:26 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:30 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:35 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:40 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "2015-01-01 03:15:45 mvn_iuv_l1a_periapse-orbit00498-fuvdark_201501... \n", "... ... \n", "2015-01-01 21:35:41 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:35:46 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:35:51 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:35:56 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:00 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:05 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:10 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:20 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:24 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:29 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:34 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:39 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:44 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:48 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:53 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:36:58 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:03 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:08 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:12 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:17 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:22 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:27 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:32 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:36 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:41 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:46 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:51 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 21:37:56 mvn_iuv_l1a_periapse-orbit00502-fuvdark_201501... \n", "2015-01-01 23:13:59 mvn_iuv_l1a_apoapse-orbit00502-fuvdark_2015010... \n", "2015-01-01 23:14:14 mvn_iuv_l1a_apoapse-orbit00502-fuvdark_2015010... \n", "\n", " SOLAR_LONGITUDE TARGET_NAME mean median \\\n", "2015-01-01 00:20:04 263.506836 MARS 0.373513 0.354177 \n", "2015-01-01 00:20:19 263.506836 MARS 0.337646 0.319888 \n", "2015-01-01 03:13:22 263.582947 MARS 0.571342 0.556428 \n", "2015-01-01 03:13:27 263.582947 MARS 0.509673 0.494575 \n", "2015-01-01 03:13:40 263.583069 MARS 0.539798 0.528219 \n", "2015-01-01 03:13:44 263.583069 MARS 0.496077 0.477236 \n", "2015-01-01 03:13:49 263.583069 MARS 0.535675 0.518644 \n", "2015-01-01 03:13:54 263.583069 MARS 0.522510 0.506739 \n", "2015-01-01 03:13:59 263.583069 MARS 0.476635 0.459379 \n", "2015-01-01 03:14:04 263.583069 MARS 0.524106 0.501822 \n", "2015-01-01 03:14:08 263.583069 MARS 0.526785 0.503633 \n", "2015-01-01 03:14:13 263.583069 MARS 0.469022 0.449803 \n", "2015-01-01 03:14:18 263.583069 MARS 0.516653 0.502598 \n", "2015-01-01 03:14:23 263.583069 MARS 0.515822 0.496904 \n", "2015-01-01 03:14:28 263.583069 MARS 0.511717 0.494834 \n", "2015-01-01 03:14:32 263.583069 MARS 0.484852 0.469472 \n", "2015-01-01 03:14:37 263.583069 MARS 0.527716 0.512432 \n", "2015-01-01 03:14:42 263.583069 MARS 0.486654 0.464813 \n", "2015-01-01 03:14:47 263.583069 MARS 0.524919 0.510103 \n", "2015-01-01 03:14:52 263.583069 MARS 0.494811 0.478012 \n", "2015-01-01 03:14:56 263.583069 MARS 0.520366 0.503116 \n", "2015-01-01 03:15:01 263.583069 MARS 0.512159 0.494575 \n", "2015-01-01 03:15:06 263.583069 MARS 0.522668 0.506221 \n", "2015-01-01 03:15:11 263.583069 MARS 0.494344 0.474130 \n", "2015-01-01 03:15:16 263.583069 MARS 0.520924 0.506998 \n", "2015-01-01 03:15:26 263.583862 MARS 0.459113 0.442557 \n", "2015-01-01 03:15:30 263.583862 MARS 0.501048 0.483964 \n", "2015-01-01 03:15:35 263.583862 MARS 0.505558 0.489917 \n", "2015-01-01 03:15:40 263.583862 MARS 0.487165 0.468954 \n", "2015-01-01 03:15:45 263.583862 MARS 0.473506 0.457049 \n", "... ... ... ... ... \n", "2015-01-01 21:35:41 264.066437 MARS 0.512423 0.496387 \n", "2015-01-01 21:35:46 264.066437 MARS 0.518853 0.503892 \n", "2015-01-01 21:35:51 264.066437 MARS 0.508469 0.494575 \n", "2015-01-01 21:35:56 264.066437 MARS 0.500099 0.481635 \n", "2015-01-01 21:36:00 264.066437 MARS 0.533371 0.518644 \n", "2015-01-01 21:36:05 264.066437 MARS 0.551917 0.537536 \n", "2015-01-01 21:36:10 264.066437 MARS 0.523813 0.502598 \n", "2015-01-01 21:36:20 264.067200 MARS 0.532864 0.516056 \n", "2015-01-01 21:36:24 264.067200 MARS 0.488513 0.468954 \n", "2015-01-01 21:36:29 264.067200 MARS 0.518006 0.503116 \n", "2015-01-01 21:36:34 264.067200 MARS 0.484303 0.467143 \n", "2015-01-01 21:36:39 264.067200 MARS 0.498550 0.479047 \n", "2015-01-01 21:36:44 264.067200 MARS 0.499342 0.479824 \n", "2015-01-01 21:36:48 264.067200 MARS 0.512377 0.503116 \n", "2015-01-01 21:36:53 264.067200 MARS 0.516722 0.503374 \n", "2015-01-01 21:36:58 264.067200 MARS 0.473924 0.458085 \n", "2015-01-01 21:37:03 264.067200 MARS 0.509037 0.491728 \n", "2015-01-01 21:37:08 264.067200 MARS 0.483975 0.468437 \n", "2015-01-01 21:37:12 264.067200 MARS 0.529303 0.509327 \n", "2015-01-01 21:37:17 264.067200 MARS 0.500576 0.481118 \n", "2015-01-01 21:37:22 264.067200 MARS 0.460541 0.443074 \n", "2015-01-01 21:37:27 264.067200 MARS 0.548409 0.526925 \n", "2015-01-01 21:37:32 264.067200 MARS 0.494863 0.471542 \n", "2015-01-01 21:37:36 264.067200 MARS 0.529045 0.497940 \n", "2015-01-01 21:37:41 264.067200 MARS 0.535570 0.517608 \n", "2015-01-01 21:37:46 264.067200 MARS 0.518056 0.497422 \n", "2015-01-01 21:37:51 264.067200 MARS 0.532756 0.513468 \n", "2015-01-01 21:37:56 264.067200 MARS 0.535489 0.517091 \n", "2015-01-01 23:13:59 264.110077 MARS 0.340867 0.319888 \n", "2015-01-01 23:14:14 264.110077 MARS 0.337751 0.318369 \n", "\n", " std BINNING_SET activity \n", "2015-01-01 00:20:04 0.094435 (0, 4, 89, 80) apoapse \n", "2015-01-01 00:20:19 0.088610 (0, 4, 89, 80) apoapse \n", "2015-01-01 03:13:22 0.132053 (0, 4, 89, 115) periapse \n", "2015-01-01 03:13:27 0.126925 (0, 4, 89, 115) periapse \n", "2015-01-01 03:13:40 0.131290 (0, 4, 89, 115) periapse \n", "2015-01-01 03:13:44 0.128544 (0, 4, 89, 115) periapse \n", "2015-01-01 03:13:49 0.131181 (0, 4, 89, 115) periapse \n", "2015-01-01 03:13:54 0.125528 (0, 4, 89, 115) periapse \n", "2015-01-01 03:13:59 0.125628 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:04 0.132672 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:08 0.220018 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:13 0.118687 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:18 0.133506 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:23 0.132110 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:28 0.131107 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:32 0.129343 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:37 0.130310 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:42 0.127952 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:47 0.127110 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:52 0.124460 (0, 4, 89, 115) periapse \n", "2015-01-01 03:14:56 0.141542 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:01 0.127555 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:06 0.130657 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:11 0.128327 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:16 0.131260 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:26 0.125964 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:30 0.125897 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:35 0.126173 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:40 0.130470 (0, 4, 89, 115) periapse \n", "2015-01-01 03:15:45 0.124312 (0, 4, 89, 115) periapse \n", "... ... ... ... \n", "2015-01-01 21:35:41 0.127878 (0, 4, 89, 115) periapse \n", "2015-01-01 21:35:46 0.123366 (0, 4, 89, 115) periapse \n", "2015-01-01 21:35:51 0.122917 (0, 4, 89, 115) periapse \n", "2015-01-01 21:35:56 0.142032 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:00 0.134899 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:05 0.132781 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:10 0.197807 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:20 0.130837 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:24 0.250580 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:29 0.123345 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:34 0.127496 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:39 0.122959 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:44 0.127139 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:48 0.125167 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:53 0.126310 (0, 4, 89, 115) periapse \n", "2015-01-01 21:36:58 0.126590 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:03 0.128590 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:08 0.124763 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:12 0.130900 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:17 0.126732 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:22 0.125336 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:27 0.146438 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:32 0.140489 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:36 0.167874 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:41 0.143703 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:46 0.132298 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:51 0.140263 (0, 4, 89, 115) periapse \n", "2015-01-01 21:37:56 0.134681 (0, 4, 89, 115) periapse \n", "2015-01-01 23:13:59 0.092653 (0, 4, 89, 80) apoapse \n", "2015-01-01 23:14:14 0.092121 (0, 4, 89, 80) apoapse \n", "\n", "[213 rows x 35 columns]" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df[df.CHANNEL=='FUV'].reindex(newind, method='nearest', tolerance='0.5 second').dropna()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
isc
bradleypallen/keras-quora-question-pairs
quora-question-pairs-data-prep.ipynb
1
8749
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# Quora question pairs: data preparation" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Import packages" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Using TensorFlow backend.\n" ] } ], "source": [ "from __future__ import print_function\n", "\n", "import numpy as np\n", "import csv, json\n", "from zipfile import ZipFile\n", "from os.path import expanduser, exists\n", "\n", "from keras.preprocessing.text import Tokenizer\n", "from keras.preprocessing.sequence import pad_sequences\n", "from keras.utils.data_utils import get_file" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Initialize global variables" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "KERAS_DATASETS_DIR = expanduser('~/.keras/datasets/')\n", "QUESTION_PAIRS_FILE_URL = 'http://qim.ec.quoracdn.net/quora_duplicate_questions.tsv'\n", "QUESTION_PAIRS_FILE = 'quora_duplicate_questions.tsv'\n", "GLOVE_ZIP_FILE_URL = 'http://nlp.stanford.edu/data/glove.840B.300d.zip'\n", "GLOVE_ZIP_FILE = 'glove.840B.300d.zip'\n", "GLOVE_FILE = 'glove.840B.300d.txt'\n", "Q1_TRAINING_DATA_FILE = 'q1_train.npy'\n", "Q2_TRAINING_DATA_FILE = 'q2_train.npy'\n", "LABEL_TRAINING_DATA_FILE = 'label_train.npy'\n", "WORD_EMBEDDING_MATRIX_FILE = 'word_embedding_matrix.npy'\n", "NB_WORDS_DATA_FILE = 'nb_words.json'\n", "MAX_NB_WORDS = 200000\n", "MAX_SEQUENCE_LENGTH = 25\n", "EMBEDDING_DIM = 300" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Download and extract questions pairs data" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Downloading data from http://qim.ec.quoracdn.net/quora_duplicate_questions.tsv\n", "Processing quora_duplicate_questions.tsv\n", "Question pairs: 404290\n" ] } ], "source": [ "if not exists(KERAS_DATASETS_DIR + QUESTION_PAIRS_FILE):\n", " get_file(QUESTION_PAIRS_FILE, QUESTION_PAIRS_FILE_URL)\n", "\n", "print(\"Processing\", QUESTION_PAIRS_FILE)\n", "\n", "question1 = []\n", "question2 = []\n", "is_duplicate = []\n", "with open(KERAS_DATASETS_DIR + QUESTION_PAIRS_FILE, encoding='utf-8') as csvfile:\n", " reader = csv.DictReader(csvfile, delimiter='\\t')\n", " for row in reader:\n", " question1.append(row['question1'])\n", " question2.append(row['question2'])\n", " is_duplicate.append(row['is_duplicate'])\n", "\n", "print('Question pairs: %d' % len(question1))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Build tokenized word index" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Words in index: 95596\n" ] } ], "source": [ "questions = question1 + question2\n", "tokenizer = Tokenizer(num_words=MAX_NB_WORDS)\n", "tokenizer.fit_on_texts(questions)\n", "question1_word_sequences = tokenizer.texts_to_sequences(question1)\n", "question2_word_sequences = tokenizer.texts_to_sequences(question2)\n", "word_index = tokenizer.word_index\n", "\n", "print(\"Words in index: %d\" % len(word_index))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Download and process GloVe embeddings" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Downloading data from http://nlp.stanford.edu/data/glove.840B.300d.zip\n", "Processing glove.840B.300d.txt\n", "Word embeddings: 2196016\n" ] } ], "source": [ "if not exists(KERAS_DATASETS_DIR + GLOVE_ZIP_FILE):\n", " zipfile = ZipFile(get_file(GLOVE_ZIP_FILE, GLOVE_ZIP_FILE_URL))\n", " zipfile.extract(GLOVE_FILE, path=KERAS_DATASETS_DIR)\n", " \n", "print(\"Processing\", GLOVE_FILE)\n", "\n", "embeddings_index = {}\n", "with open(KERAS_DATASETS_DIR + GLOVE_FILE, encoding='utf-8') as f:\n", " for line in f:\n", " values = line.split(' ')\n", " word = values[0]\n", " embedding = np.asarray(values[1:], dtype='float32')\n", " embeddings_index[word] = embedding\n", "\n", "print('Word embeddings: %d' % len(embeddings_index))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Prepare word embedding matrix" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Null word embeddings: 29276\n" ] } ], "source": [ "nb_words = min(MAX_NB_WORDS, len(word_index))\n", "word_embedding_matrix = np.zeros((nb_words + 1, EMBEDDING_DIM))\n", "for word, i in word_index.items():\n", " if i > MAX_NB_WORDS:\n", " continue\n", " embedding_vector = embeddings_index.get(word)\n", " if embedding_vector is not None:\n", " word_embedding_matrix[i] = embedding_vector\n", "\n", "print('Null word embeddings: %d' % np.sum(np.sum(word_embedding_matrix, axis=1) == 0))" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Prepare training data tensors" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Shape of question1 data tensor: (404290, 25)\n", "Shape of question2 data tensor: (404290, 25)\n", "Shape of label tensor: (404290,)\n" ] } ], "source": [ "q1_data = pad_sequences(question1_word_sequences, maxlen=MAX_SEQUENCE_LENGTH)\n", "q2_data = pad_sequences(question2_word_sequences, maxlen=MAX_SEQUENCE_LENGTH)\n", "labels = np.array(is_duplicate, dtype=int)\n", "print('Shape of question1 data tensor:', q1_data.shape)\n", "print('Shape of question2 data tensor:', q2_data.shape)\n", "print('Shape of label tensor:', labels.shape)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Persist training and configuration data to files" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "np.save(open(Q1_TRAINING_DATA_FILE, 'wb'), q1_data)\n", "np.save(open(Q2_TRAINING_DATA_FILE, 'wb'), q2_data)\n", "np.save(open(LABEL_TRAINING_DATA_FILE, 'wb'), labels)\n", "np.save(open(WORD_EMBEDDING_MATRIX_FILE, 'wb'), word_embedding_matrix)\n", "with open(NB_WORDS_DATA_FILE, 'w') as f:\n", " json.dump({'nb_words': nb_words}, f)" ] } ], "metadata": { "hide_input": false, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
arcyfelix/Courses
17-09-17-Python-for-Financial-Analysis-and-Algorithmic-Trading/.ipynb_checkpoints/2 - Numpy Exercises-checkpoint.ipynb
1
17908
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "___\n", "\n", "<a href='http://www.pieriandata.com'> <img src='../Pierian_Data_Logo.png' /></a>\n", "___\n", "<center>*Copyright Pierian Data 2017*</center>\n", "<center>*For more information, visit us at www.pieriandata.com*</center>" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# NumPy Exercises\n", "Now that we've learned about NumPy let's test your knowledge. We'll start off with a few simple tasks and then you'll be asked some more complicated questions.\n", "\n", "** IMPORTANT NOTE! Make sure you don't run the cells directly above the example output shown, otherwise you will end up writing over the example output! **" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Import NumPy as np" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "import numpy as np" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create an array of 10 zeros " ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([ 0., 0., 0., 0., 0., 0., 0., 0., 0., 0.])" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.zeros(10)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create an array of 10 ones" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([ 1., 1., 1., 1., 1., 1., 1., 1., 1., 1.])" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.ones(10)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create an array of 10 fives" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([ 5., 5., 5., 5., 5., 5., 5., 5., 5., 5.])" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.ones(10) * 5" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create an array of the integers from 10 to 50" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26,\n", " 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43,\n", " 44, 45, 46, 47, 48, 49, 50])" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.arange(10, 51)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create an array of all the even integers from 10 to 50" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42,\n", " 44, 46, 48, 50])" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.arange(10, 51, 2)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create a 3x3 matrix with values ranging from 0 to 8" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([[0, 1, 2],\n", " [3, 4, 5],\n", " [6, 7, 8]])" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.arange(9).reshape(3,3)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create a 3x3 identity matrix" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([[ 1., 0., 0.],\n", " [ 0., 1., 0.],\n", " [ 0., 0., 1.]])" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.eye(3)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Use NumPy to generate a random number between 0 and 1" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([ 1.91358801])" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.random.randn(1)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Use NumPy to generate an array of 25 random numbers sampled from a standard normal distribution" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([ 0.55183756, -1.3584953 , 1.80606428, 1.23953382, -0.59855745,\n", " -1.00548875, 0.81657645, -1.50001345, -1.1707562 , -1.43071349,\n", " -1.07447439, -1.06407928, -0.81640882, 0.41278046, -0.11352749,\n", " 1.31532805, -0.67066767, 1.59206672, -0.14437886, 1.14994271,\n", " 1.42771432, -0.48792962, 0.78490582, -0.1964844 , 0.86969164])" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.random.randn(25)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create the following matrix:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([[ 0.01, 0.02, 0.03, 0.04, 0.05, 0.06, 0.07, 0.08, 0.09, 0.1 ],\n", " [ 0.11, 0.12, 0.13, 0.14, 0.15, 0.16, 0.17, 0.18, 0.19, 0.2 ],\n", " [ 0.21, 0.22, 0.23, 0.24, 0.25, 0.26, 0.27, 0.28, 0.29, 0.3 ],\n", " [ 0.31, 0.32, 0.33, 0.34, 0.35, 0.36, 0.37, 0.38, 0.39, 0.4 ],\n", " [ 0.41, 0.42, 0.43, 0.44, 0.45, 0.46, 0.47, 0.48, 0.49, 0.5 ],\n", " [ 0.51, 0.52, 0.53, 0.54, 0.55, 0.56, 0.57, 0.58, 0.59, 0.6 ],\n", " [ 0.61, 0.62, 0.63, 0.64, 0.65, 0.66, 0.67, 0.68, 0.69, 0.7 ],\n", " [ 0.71, 0.72, 0.73, 0.74, 0.75, 0.76, 0.77, 0.78, 0.79, 0.8 ],\n", " [ 0.81, 0.82, 0.83, 0.84, 0.85, 0.86, 0.87, 0.88, 0.89, 0.9 ],\n", " [ 0.91, 0.92, 0.93, 0.94, 0.95, 0.96, 0.97, 0.98, 0.99, 1. ]])" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.arange(1, 101).reshape(10, 10) / 100" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Create an array of 20 linearly spaced points between 0 and 1:" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([ 0. , 0.05263158, 0.10526316, 0.15789474, 0.21052632,\n", " 0.26315789, 0.31578947, 0.36842105, 0.42105263, 0.47368421,\n", " 0.52631579, 0.57894737, 0.63157895, 0.68421053, 0.73684211,\n", " 0.78947368, 0.84210526, 0.89473684, 0.94736842, 1. ])" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.linspace(0, 1, 20)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Numpy Indexing and Selection\n", "\n", "Now you will be given a few matrices, and be asked to replicate the resulting matrix outputs:" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([[ 1, 2, 3, 4, 5],\n", " [ 6, 7, 8, 9, 10],\n", " [11, 12, 13, 14, 15],\n", " [16, 17, 18, 19, 20],\n", " [21, 22, 23, 24, 25]])" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# HERE IS THE GIVEN MATRIX CALLED MAT\n", "# USE IT FOR THE FOLLOWING TASKS\n", "mat = np.arange(1,26).reshape(5,5)\n", "mat" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# WRITE CODE HERE THAT REPRODUCES THE OUTPUT OF THE CELL BELOW\n", "# BE CAREFUL NOT TO RUN THE CELL BELOW, OTHERWISE YOU WON'T\n", "# BE ABLE TO SEE THE OUTPUT ANY MORE" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[11, 12, 13, 14, 15],\n", " [16, 17, 18, 19, 20],\n", " [21, 22, 23, 24, 25]])" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mat[2:, ]" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# WRITE CODE HERE THAT REPRODUCES THE OUTPUT OF THE CELL BELOW\n", "# BE CAREFUL NOT TO RUN THE CELL BELOW, OTHERWISE YOU WON'T\n", "# BE ABLE TO SEE THE OUTPUT ANY MORE" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "20" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mat[3, -1]" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# WRITE CODE HERE THAT REPRODUCES THE OUTPUT OF THE CELL BELOW\n", "# BE CAREFUL NOT TO RUN THE CELL BELOW, OTHERWISE YOU WON'T\n", "# BE ABLE TO SEE THE OUTPUT ANY MORE" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[ 2],\n", " [ 7],\n", " [12]])" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mat[:3, 1].reshape(3, 1)" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# WRITE CODE HERE THAT REPRODUCES THE OUTPUT OF THE CELL BELOW\n", "# BE CAREFUL NOT TO RUN THE CELL BELOW, OTHERWISE YOU WON'T\n", "# BE ABLE TO SEE THE OUTPUT ANY MORE" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([21, 22, 23, 24, 25])" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mat[-1, :]" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# WRITE CODE HERE THAT REPRODUCES THE OUTPUT OF THE CELL BELOW\n", "# BE CAREFUL NOT TO RUN THE CELL BELOW, OTHERWISE YOU WON'T\n", "# BE ABLE TO SEE THE OUTPUT ANY MORE" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[16, 17, 18, 19, 20],\n", " [21, 22, 23, 24, 25]])" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mat[-2:, :]" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Now do the following" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Get the sum of all the values in mat" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "325" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.sum(mat)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Get the standard deviation of the values in mat" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "7.2111025509279782" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.std(mat)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### Get the sum of all the columns in mat" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "text/plain": [ "array([55, 60, 65, 70, 75])" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# CODE HERE\n", "np.sum(mat, axis = 0)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Bonus Question\n", "\n", "We worked a lot with random data with numpy, but is there a way we can insure that we always get the same random numbers? [Click Here for a Hint](https://www.google.com/search?q=numpy+random+seed&rlz=1C1CHBF_enUS747US747&oq=numpy+random+seed&aqs=chrome..69i57j69i60j0l4.2087j0j7&sourceid=chrome&ie=UTF-8)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# My favourite number is 7\n", "np.random.seed(7)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true, "deletable": true, "editable": true }, "source": [ "# Great Job!\n", "# Easy / Woj" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2+" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
mne-tools/mne-tools.github.io
0.13/_downloads/plot_topo_compare_conditions.ipynb
1
3781
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "execution_count": null, "cell_type": "code", "source": [ "%matplotlib inline" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "\n# Compare evoked responses for different conditions\n\n\nIn this example, an Epochs object for visual and\nauditory responses is created. Both conditions\nare then accessed by their respective names to\ncreate a sensor layout plot of the related\nevoked responses.\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Authors: Denis Engemann <[email protected]>\n# Alexandre Gramfort <[email protected]>\n\n# License: BSD (3-clause)\n\n\nimport matplotlib.pyplot as plt\nimport mne\n\nfrom mne.viz import plot_evoked_topo\nfrom mne.datasets import sample\n\nprint(__doc__)\n\ndata_path = sample.data_path()" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Set parameters\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "raw_fname = data_path + '/MEG/sample/sample_audvis_filt-0-40_raw.fif'\nevent_fname = data_path + '/MEG/sample/sample_audvis_filt-0-40_raw-eve.fif'\nevent_id = 1\ntmin = -0.2\ntmax = 0.5\n\n# Setup for reading the raw data\nraw = mne.io.read_raw_fif(raw_fname)\nevents = mne.read_events(event_fname)\n\n# Set up pick list: MEG + STI 014 - bad channels (modify to your needs)\ninclude = [] # or stim channels ['STI 014']\n# bad channels in raw.info['bads'] will be automatically excluded\n\n# Set up amplitude-peak rejection values for MEG channels\nreject = dict(grad=4000e-13, mag=4e-12)\n\n# pick MEG channels\npicks = mne.pick_types(raw.info, meg=True, eeg=False, stim=False, eog=True,\n include=include, exclude='bads')\n\n# Create epochs including different events\nevent_id = {'audio/left': 1, 'audio/right': 2,\n 'visual/left': 3, 'visual/right': 4}\nepochs = mne.Epochs(raw, events, event_id, tmin, tmax,\n picks=picks, baseline=(None, 0), reject=reject)\n\n# Generate list of evoked objects from conditions names\nevokeds = [epochs[name].average() for name in ('left', 'right')]" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Show topography for two different conditions\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "colors = 'yellow', 'green'\ntitle = 'MNE sample data - left vs right (A/V combined)'\n\nplot_evoked_topo(evokeds, color=colors, title=title)\n\nconditions = [e.comment for e in evokeds]\nfor cond, col, pos in zip(conditions, colors, (0.025, 0.07)):\n plt.figtext(0.99, pos, cond, color=col, fontsize=12,\n horizontalalignment='right')\n\nplt.show()" ], "outputs": [], "metadata": { "collapsed": false } } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.12", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
bsd-3-clause
mnschmit/LMU-Syntax-nat-rlicher-Sprachen
09-notebook-solution.ipynb
1
196833
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Übungsblatt 9\n", "## Präsenzaufgaben\n", "### Aufgabe 1 &nbsp;&nbsp;&nbsp; Unifikation I" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from nltk.featstruct import FeatStruct" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Gegeben seien folgende Merkmalstrukturen:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "f1 = FeatStruct(\n", " '[Vorname=Max, Nachname=Mustermann,' + \n", " 'Privat=[Strasse=Hauptstrasse, Ort=[Muenchen]]]'\n", ")\n", "f2 = FeatStruct(\n", " '[Arbeit=[Strasse=\"Oettingenstrasse\", Ort=(1)[\"Muenchen\"]],' +\n", " 'Privat=[Ort->(1)]]')\n", "f3 = FeatStruct(\n", " '[Strasse=\"Hauptstrasse\"]'\n", ")\n", "f4 = FeatStruct(\n", " '[Privat=[Strasse=\"Hauptstrasse\", Ort=[\"Passau\"]]]'\n", ")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Unifizieren Sie:\n", "- f1 mit f2" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[Arbeit=[Ort=(1)['Muenchen'], Strasse='Oettingenstrasse'], Nachname='Mustermann', Privat=[Ort->(1), Strasse='Hauptstrasse'], Vorname='Max']\n" ] } ], "source": [ "print(f1.unify(f2).__repr__())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- f2 mit f4" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "None\n" ] } ], "source": [ "print(f2.unify(f4).__repr__())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Aufgabe 2 &nbsp;&nbsp;&nbsp; Typhierarchie im NLTK" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Gegeben sei folgende Typhierarchie:\n", "\n", "$$\\bot \\sqsubseteq \\text{Genitiv}$$\n", "$$\\bot \\sqsubseteq \\text{nicht-Genitiv}$$\n", "$$\\text{nicht-Genitiv} \\sqsubseteq \\text{Nominativ-Akkusativ}$$\n", "$$\\text{nicht-Genitiv} \\sqsubseteq \\text{Dativ}$$\n", "$$\\text{Nominativ-Akkusativ} \\sqsubseteq \\text{Nominativ}$$\n", "$$\\text{Nominativ-Akkusativ} \\sqsubseteq \\text{Akkusativ}$$\n", "\n", "Implementieren Sie mithilfe der Klasse `HierarchicalFeature`,\n", "die Sie sich von der Kurs-Website herunterladen können, ein Feature `CASE`, das der vorgegebenen Typhierarchie entspricht.\n", "\n", "Nutzen Sie dieses Feature dann, um Übergenerierung in folgender Grammatik zu vermeiden:" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "grammar = \"\"\"\n", "S -> NP[*CASE*=nom] VP\n", "NP[*CASE*=?x] -> DET[*CASE*=?x,GEN=?y] NOM[*CASE*=?x,GEN=?y]\n", "NOM[*CASE*=?x,GEN=?y] -> N[*CASE*=?x,GEN=?y] NP[*CASE*=gen]\n", "NOM[*CASE*=?x,GEN=?y] -> N[*CASE*=?x,GEN=?y]\n", "VP -> V\n", "\n", "V -> \"schläft\"\n", "DET[*CASE*=nomakk,GEN=fem] -> \"die\"\n", "DET[*CASE*=nomakk,GEN=neut] -> \"das\"\n", "DET[*CASE*=gen,GEN=mask] -> \"des\"\n", "DET[*CASE*=gen,GEN=neut] -> \"des\"\n", "DET[*CASE*=nom,GEN=mask] -> \"der\"\n", "DET[*CASE*=gen,GEN=fem] -> \"der\"\n", "\n", "N[*CASE*=nongen,GEN=mask] -> \"Mann\"\n", "N[*CASE*=nongen,GEN=fem] -> \"Frau\"\n", "N[*CASE*=nongen,GEN=neut] -> \"Kind\"\n", "N[*CASE*=gen,GEN=fem] -> \"Frau\"\n", "N[*CASE*=gen,GEN=mask] -> \"Mannes\"\n", "N[*CASE*=gen,GEN=neut] -> \"Kindes\"\n", "\"\"\"" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from IPython.display import display\n", "import nltk\n", "from typed_features import HierarchicalFeature, TYPE" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hier muss die Typhierarchie in Form eines `Dictionary` definiert werden:" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "type_hierarchy = {\n", " \"gen\": [],\n", " \"nongen\": [\"nomakk\", \"dat\"],\n", " \"nomakk\": [\"nom\", \"akk\"],\n", " \"nom\": [],\n", " \"dat\": [],\n", " \"akk\": []\n", "}" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "CASE = HierarchicalFeature(\"CASE\", type_hierarchy)\n", "compiled_grammar = nltk.grammar.FeatureGrammar.fromstring(\n", " grammar, features=(CASE, TYPE)\n", ")\n", "parser = nltk.FeatureEarleyChartParser(compiled_grammar)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Folgendes sollte funktionieren:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Tree(S[], [Tree(NP[*CASE*='nomakk'], [Tree(DET[*CASE*='nomakk', GEN='neut'], ['das']), Tree(NOM[*CASE*='nongen', GEN='neut'], [Tree(N[*CASE*='nongen', GEN='neut'], ['Kind']), Tree(NP[*CASE*='gen'], [Tree(DET[*CASE*='gen', GEN='fem'], ['der']), Tree(NOM[*CASE*='gen', GEN='fem'], [Tree(N[*CASE*='gen', GEN='fem'], ['Frau'])])])])]), Tree(VP[], [Tree(V[], ['schläft'])])])" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "for t in parser.parse(\"das Kind der Frau schläft\".split()):\n", " display(t)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Folgendes sollte leer sein:" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[]" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "list(parser.parse(\"des Mannes schläft\".split()))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Folgendes sollte wieder funktionieren. Betrachten Sie aufmerksam die Merkmale im Syntaxbaum." ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Tree(S[], [Tree(NP[*CASE*='nom'], [Tree(DET[*CASE*='nom', GEN='mask'], ['der']), Tree(NOM[*CASE*='nongen', GEN='mask'], [Tree(N[*CASE*='nongen', GEN='mask'], ['Mann']), Tree(NP[*CASE*='gen'], [Tree(DET[*CASE*='gen', GEN='fem'], ['der']), Tree(NOM[*CASE*='gen', GEN='fem'], [Tree(N[*CASE*='gen', GEN='fem'], ['Frau'])])])])]), Tree(VP[], [Tree(V[], ['schläft'])])])" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "for t in parser.parse(\"der Mann der Frau schläft\".split()):\n", " display(t)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Hausaufgaben\n", "### Aufgabe 3 &nbsp;&nbsp;&nbsp; Unifikation II\n", "Es seien wieder die Merkmalstrukturen aus Aufgabe 1 gegeben.\n", "\n", "Unifizieren Sie:\n", "- f1 mit f4" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "None\n" ] } ], "source": [ "print(f1.unify(f4).__repr__())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- f2 mit f3" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[Arbeit=[Ort=(1)['Muenchen'], Strasse='Oettingenstrasse'], Privat=[Ort->(1)], Strasse='Hauptstrasse']\n" ] } ], "source": [ "print(f2.unify(f3).__repr__())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Aufgabe 4 &nbsp;&nbsp;&nbsp; Weniger Redundanz dank besonderer Merkmale\n", "Beseitigen Sie die Redundanz in den lexikalischen Regeln (Zeilen 8 - 32) der folgenden Grammatik durch eine Typhierarchie (wo dies nötig ist). Achten Sie darauf, die Menge der akzeptierten Sätze weder zu verkleinern noch zu vergrößern!\n", "\n", "Anzugeben sind die neuen Grammatikregeln, sowie Ihre Typhierarchie (z. B. in graphischer Form)." ] }, { "cell_type": "raw", "metadata": { "hide_egal": true, "is_egal": true }, "source": [ "<svg height=\"250\" width=\"100%\"><desc>Created with Snap</desc><defs><filter id=\"Sjchfdlra3\" filterUnits=\"userSpaceOnUse\"><feGaussianBlur in=\"SourceAlpha\" stdDeviation=\"3\"></feGaussianBlur><feOffset dx=\"0\" dy=\"2\" result=\"offsetblur\"></feOffset><feFlood flood-color=\"#000000\"></feFlood><feComposite in2=\"offsetblur\" operator=\"in\"></feComposite><feComponentTransfer><feFuncA type=\"linear\" slope=\"1\"></feFuncA></feComponentTransfer><feMerge><feMergeNode></feMergeNode><feMergeNode in=\"SourceGraphic\"></feMergeNode></feMerge></filter><marker viewBox=\"0 0 10 10\" markerWidth=\"10\" markerHeight=\"10\" orient=\"auto\" refX=\"9\" refY=\"3\" id=\"arrowEndMarker\"><polygon points=\"0,0,0,6,9,3,0,0\" fill=\"#323232\" id=\"arrow\" style=\"\"></polygon></marker><marker viewBox=\"0 0 10 10\" markerWidth=\"10\" markerHeight=\"10\" orient=\"auto\" refX=\"0\" refY=\"3\" id=\"arrowStartMarker\"><polygon points=\"0,3,9,0,9,6,0,3\" fill=\"#323232\" id=\"startArrow\" style=\"\"></polygon></marker></defs><g id=\"drup_elem_1\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"400.7329559326172\" y=\"232.77272033691406\" width=\"0\" height=\"0\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"400.7329559326172\" cy=\"232.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"400.7329406738281\" y=\"232.77272033691406\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_2\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"216.7329559326172\" y=\"180.77272033691406\" width=\"55\" height=\"24\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\" transform=\"matrix(1,0,0,1,-7,18)\"></rect><circle cx=\"244.2329559326172\" cy=\"180.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"244.2329559326172\" cy=\"204.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"216.7329559326172\" cy=\"192.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"271.7329559326172\" cy=\"192.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"216.7329559326172\" cy=\"180.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"216.7329559326172\" cy=\"204.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"271.7329559326172\" cy=\"180.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><circle cx=\"271.7329559326172\" cy=\"204.77272033691406\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\" transform=\"matrix(1,0,0,1,-7,18)\"></circle><text x=\"244.23294830322266\" y=\"192.77272033691406\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\" transform=\"matrix(1,0,0,1,-7,18)\">|</text></g><g id=\"drup_elem_3\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"120.73295593261719\" y=\"133.0454559326172\" width=\"62\" height=\"24\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\" transform=\"matrix(1.1452,0,0,1,-0.5258,11)\"></rect><circle cx=\"151.7329559326172\" cy=\"133.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\" transform=\"matrix(1,0,0,1,21.5,11)\"></circle><circle cx=\"151.7329559326172\" cy=\"157.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\" transform=\"matrix(1,0,0,1,21.5,11)\"></circle><circle cx=\"120.73295593261719\" cy=\"145.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\" transform=\"matrix(1,0,0,1,17,11)\"></circle><circle cx=\"182.7329559326172\" cy=\"145.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\" transform=\"matrix(1,0,0,1,26,11)\"></circle><circle cx=\"120.73295593261719\" cy=\"133.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\" transform=\"matrix(1,0,0,1,17,11)\"></circle><circle cx=\"120.73295593261719\" cy=\"157.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\" transform=\"matrix(1,0,0,1,17,11)\"></circle><circle cx=\"182.7329559326172\" cy=\"133.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\" transform=\"matrix(1,0,0,1,26,11)\"></circle><circle cx=\"182.7329559326172\" cy=\"157.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\" transform=\"matrix(1,0,0,1,26,11)\"></circle><text x=\"151.7329559326172\" y=\"145.0454559326172\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\" transform=\"matrix(1,0,0,1,21.4979,11)\">|</text></g><g id=\"drup_elem_4\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"293.7329559326172\" y=\"131.0454559326172\" width=\"71\" height=\"25\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\" transform=\"matrix(1,0,0,1,-23,14)\"></rect><circle cx=\"329.2329559326172\" cy=\"131.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"329.2329559326172\" cy=\"156.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"293.7329559326172\" cy=\"143.5454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"364.7329559326172\" cy=\"143.5454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"293.7329559326172\" cy=\"131.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"293.7329559326172\" cy=\"156.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"364.7329559326172\" cy=\"131.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><circle cx=\"364.7329559326172\" cy=\"156.0454559326172\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\" transform=\"matrix(1,0,0,1,-23,14)\"></circle><text x=\"329.2329406738281\" y=\"143.5454559326172\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\" transform=\"matrix(1,0,0,1,-23,14)\">|</text></g><g id=\"drup_elem_5\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"206.7329559326172\" y=\"81.04545593261719\" width=\"61\" height=\"29\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"237.2329559326172\" cy=\"81.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"237.2329559326172\" cy=\"110.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"206.7329559326172\" cy=\"95.54545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"267.7329559326172\" cy=\"95.54545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"206.7329559326172\" cy=\"81.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"206.7329559326172\" cy=\"110.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"267.7329559326172\" cy=\"81.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"267.7329559326172\" cy=\"110.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"237.23294830322266\" y=\"95.54545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_6\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"77.73295593261719\" y=\"76.04545593261719\" width=\"60\" height=\"32\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\" transform=\"matrix(1.35,0,0,1,-27.2065,0)\"></rect><circle cx=\"107.73295593261719\" cy=\"76.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\" transform=\"matrix(1,0,0,1,10.5,0)\"></circle><circle cx=\"107.73295593261719\" cy=\"108.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\" transform=\"matrix(1,0,0,1,10.5,0)\"></circle><circle cx=\"77.73295593261719\" cy=\"92.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\" transform=\"matrix(1,0,0,1,0,0)\"></circle><circle cx=\"137.7329559326172\" cy=\"92.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\" transform=\"matrix(1,0,0,1,21,0)\"></circle><circle cx=\"77.73295593261719\" cy=\"76.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\" transform=\"matrix(1,0,0,1,0,0)\"></circle><circle cx=\"77.73295593261719\" cy=\"108.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\" transform=\"matrix(1,0,0,1,0,0)\"></circle><circle cx=\"137.7329559326172\" cy=\"76.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\" transform=\"matrix(1,0,0,1,21,0)\"></circle><circle cx=\"137.7329559326172\" cy=\"108.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_6_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\" transform=\"matrix(1,0,0,1,21,0)\"></circle><text x=\"107.73295593261719\" y=\"92.04545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\" transform=\"matrix(1,0,0,1,10.495,0)\">|</text></g><g id=\"drup_elem_7\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"22.732955932617188\" y=\"25.045455932617188\" width=\"56\" height=\"27\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"50.73295593261719\" cy=\"25.045455932617188\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"50.73295593261719\" cy=\"52.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"22.732955932617188\" cy=\"38.54545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"78.73295593261719\" cy=\"38.54545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"22.732955932617188\" cy=\"25.045455932617188\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"22.732955932617188\" cy=\"52.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"78.73295593261719\" cy=\"25.045455932617188\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"78.73295593261719\" cy=\"52.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_7_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"50.73295593261719\" y=\"38.545454025268555\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_8\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"133.7329559326172\" y=\"25.045455932617188\" width=\"58\" height=\"28\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"162.7329559326172\" cy=\"25.045455932617188\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"162.7329559326172\" cy=\"53.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"133.7329559326172\" cy=\"39.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"191.7329559326172\" cy=\"39.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"133.7329559326172\" cy=\"25.045455932617188\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"133.7329559326172\" cy=\"53.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"191.7329559326172\" cy=\"25.045455932617188\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"191.7329559326172\" cy=\"53.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_8_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"162.7329559326172\" y=\"39.045454025268555\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_9\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"225.7329559326172\" y=\"208.0454559326172\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px; visibility: visible; opacity: 1;\" class=\"core alignable sub egal-label\" data-src=\"bot\" transform=\"matrix(1,0,0,1,11,3)\">bot</text></g><g id=\"drup_elem_10\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"228.3693084716797\" y=\"225.0454559326172\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\"></text></g><g id=\"drup_elem_11\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"119.36930847167969\" y=\"189.0454559326172\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"nongen\" transform=\"matrix(1,0,0,1,55,-35)\">nongen</text></g><g id=\"drup_elem_12\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"267.3693084716797\" y=\"165.0454559326172\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"gendat\" transform=\"matrix(1,0,0,1,39,-7)\">gendat</text></g><g id=\"drup_elem_13\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"348.3693084716797\" y=\"82.04545593261719\" width=\"68\" height=\"30\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"382.3693084716797\" cy=\"82.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"382.3693084716797\" cy=\"112.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"348.3693084716797\" cy=\"97.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"416.3693084716797\" cy=\"97.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"348.3693084716797\" cy=\"82.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"348.3693084716797\" cy=\"112.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"416.3693084716797\" cy=\"82.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"416.3693084716797\" cy=\"112.04545593261719\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_13_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"382.36932373046875\" y=\"97.04545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_14\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"347.3693084716797\" y=\"78.04545593261719\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"gen\" transform=\"matrix(1,0,0,1,40,18)\">gen</text></g><g id=\"drup_elem_15\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"213.3693084716797\" y=\"102.04545593261719\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"dat\" transform=\"matrix(1,0,0,1,23,-6)\">dat</text></g><g id=\"drup_elem_16\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"91.36930847167969\" y=\"83.04545593261719\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"nomakk\" transform=\"matrix(1,0,0,1,27,7)\">nomakk</text></g><g id=\"drup_elem_17\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"30.369308471679688\" y=\"48.04545593261719\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"nom\" transform=\"matrix(1,0,0,1,21,-9)\">nom</text></g><g id=\"drup_elem_18\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"148.3693084716797\" y=\"42.04545593261719\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"akk\" transform=\"matrix(1,0,0,1,16,-5)\">akk</text></g><g id=\"drup_elem_19\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"237.2329559326172\" x2=\"173.2329559326172\" y1=\"198.77272033691406\" y2=\"168.0454559326172\" stroke=\"#000000\" data-n1=\"drup_elem_2_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_3_endpoint_1\"></line><text x=\"205.2329559326172\" y=\"183.40908813476562\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_20\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"237.2329559326172\" x2=\"306.2329559326172\" y1=\"198.77272033691406\" y2=\"170.0454559326172\" stroke=\"#000000\" data-n1=\"drup_elem_2_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_4_endpoint_1\"></line><text x=\"271.7329635620117\" y=\"184.40908813476562\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_21\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"306.2329559326172\" x2=\"237.2329559326172\" y1=\"145.0454559326172\" y2=\"110.04545593261719\" stroke=\"#000000\" data-n1=\"drup_elem_4_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_5_endpoint_1\"></line><text x=\"271.7329635620117\" y=\"127.54545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_22\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"306.2329559326172\" x2=\"382.3693084716797\" y1=\"145.0454559326172\" y2=\"112.04545593261719\" stroke=\"#000000\" data-n1=\"drup_elem_4_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_13_endpoint_1\"></line><text x=\"344.3011474609375\" y=\"128.5454559326172\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_23\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"173.2329559326172\" x2=\"237.2329559326172\" y1=\"144.0454559326172\" y2=\"110.04545593261719\" stroke=\"#000000\" data-n1=\"drup_elem_3_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_5_endpoint_1\"></line><text x=\"205.2329559326172\" y=\"127.04545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_24\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"173.2329559326172\" x2=\"118.23295593261719\" y1=\"144.0454559326172\" y2=\"108.04545593261719\" stroke=\"#000000\" data-n1=\"drup_elem_3_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_6_endpoint_1\"></line><text x=\"145.7329559326172\" y=\"126.04545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_25\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"118.23295593261719\" x2=\"50.73295593261719\" y1=\"76.04545593261719\" y2=\"52.04545593261719\" stroke=\"#000000\" data-n1=\"drup_elem_6_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_7_endpoint_1\"></line><text x=\"84.48295593261719\" y=\"64.04545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_26\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"118.23295593261719\" x2=\"162.7329559326172\" y1=\"76.04545593261719\" y2=\"53.04545593261719\" stroke=\"#000000\" data-n1=\"drup_elem_6_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_8_endpoint_1\"></line><text x=\"140.4829559326172\" y=\"64.54545593261719\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g></svg>" ] }, { "cell_type": "raw", "metadata": { "hide_egal": true, "is_egal": true }, "source": [ "<svg height=\"250\" width=\"100%\"><desc>Created with Snap</desc><defs><filter id=\"Sjchfdlra50c\" filterUnits=\"userSpaceOnUse\"><feGaussianBlur in=\"SourceAlpha\" stdDeviation=\"3\"></feGaussianBlur><feOffset dx=\"0\" dy=\"2\" result=\"offsetblur\"></feOffset><feFlood flood-color=\"#000000\"></feFlood><feComposite in2=\"offsetblur\" operator=\"in\"></feComposite><feComponentTransfer><feFuncA type=\"linear\" slope=\"1\"></feFuncA></feComponentTransfer><feMerge><feMergeNode></feMergeNode><feMergeNode in=\"SourceGraphic\"></feMergeNode></feMerge></filter><marker viewBox=\"0 0 10 10\" markerWidth=\"10\" markerHeight=\"10\" orient=\"auto\" refX=\"9\" refY=\"3\" id=\"arrowEndMarker\"><polygon points=\"0,0,0,6,9,3,0,0\" fill=\"#323232\" id=\"arrow\" style=\"\"></polygon></marker><marker viewBox=\"0 0 10 10\" markerWidth=\"10\" markerHeight=\"10\" orient=\"auto\" refX=\"0\" refY=\"3\" id=\"arrowStartMarker\"><polygon points=\"0,3,9,0,9,6,0,3\" fill=\"#323232\" id=\"startArrow\" style=\"\"></polygon></marker></defs><g id=\"drup_elem_1\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"168.3693084716797\" y=\"188.31817626953125\" width=\"87\" height=\"31\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"211.8693084716797\" cy=\"188.31817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"211.8693084716797\" cy=\"219.31817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"168.3693084716797\" cy=\"203.81817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"255.3693084716797\" cy=\"203.81817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"168.3693084716797\" cy=\"188.31817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"168.3693084716797\" cy=\"219.31817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"255.3693084716797\" cy=\"188.31817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"255.3693084716797\" cy=\"219.31817626953125\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_1_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"211.86931610107422\" y=\"203.81817626953125\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_2\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"76.36930847167969\" y=\"117.04545211791992\" width=\"103\" height=\"39\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"127.86930847167969\" cy=\"117.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"127.86930847167969\" cy=\"156.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"76.36930847167969\" cy=\"136.54545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"179.3693084716797\" cy=\"136.54545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"76.36930847167969\" cy=\"117.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"76.36930847167969\" cy=\"156.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"179.3693084716797\" cy=\"117.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"179.3693084716797\" cy=\"156.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_2_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"127.86930847167969\" y=\"136.5454559326172\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_3\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"260.3693084716797\" y=\"118.04545211791992\" width=\"108\" height=\"34\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\" transform=\"matrix(1,0,0,1.147,0,-18.3608)\"></rect><circle cx=\"314.3693084716797\" cy=\"118.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\" transform=\"matrix(1,0,0,1,0,-1)\"></circle><circle cx=\"314.3693084716797\" cy=\"152.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\" transform=\"matrix(1,0,0,1,0,4.0003)\"></circle><circle cx=\"260.3693084716797\" cy=\"135.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\" transform=\"matrix(1,0,0,1,0,1.5002)\"></circle><circle cx=\"368.3693084716797\" cy=\"135.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\" transform=\"matrix(1,0,0,1,0,1.5002)\"></circle><circle cx=\"260.3693084716797\" cy=\"118.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\" transform=\"matrix(1,0,0,1,0,-1)\"></circle><circle cx=\"260.3693084716797\" cy=\"152.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\" transform=\"matrix(1,0,0,1,0,4.0003)\"></circle><circle cx=\"368.3693084716797\" cy=\"118.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\" transform=\"matrix(1,0,0,1,0,-1)\"></circle><circle cx=\"368.3693084716797\" cy=\"152.04545211791992\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_3_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\" transform=\"matrix(1,0,0,1,0,4.0003)\"></circle><text x=\"314.36932373046875\" y=\"135.0454559326172\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\" transform=\"matrix(1,0,0,1,0,1.498)\">|</text></g><g id=\"drup_elem_4\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"32.36930847167969\" y=\"43.227264404296875\" width=\"82\" height=\"33\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\"></rect><circle cx=\"73.36930847167969\" cy=\"43.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\"></circle><circle cx=\"73.36930847167969\" cy=\"76.22726440429688\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\"></circle><circle cx=\"32.36930847167969\" cy=\"59.727264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\"></circle><circle cx=\"114.36930847167969\" cy=\"59.727264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\"></circle><circle cx=\"32.36930847167969\" cy=\"43.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\"></circle><circle cx=\"32.36930847167969\" cy=\"76.22726440429688\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\"></circle><circle cx=\"114.36930847167969\" cy=\"43.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\"></circle><circle cx=\"114.36930847167969\" cy=\"76.22726440429688\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_4_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\"></circle><text x=\"73.36930847167969\" y=\"59.727264404296875\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_5\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><rect x=\"161.3693084716797\" y=\"49.227264404296875\" width=\"85\" height=\"24\" fill=\"#ffffff\" stroke=\"#000000\" vector-effect=\"non-scaling-stroke\" style=\"stroke-width: 1;\" class=\"core alignable sub\" transform=\"matrix(1,0,0,1.375,-1,-24.4602)\"></rect><circle cx=\"203.8693084716797\" cy=\"49.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_0\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint up sub\" transform=\"matrix(1,0,0,1,-1,-6)\"></circle><circle cx=\"203.8693084716797\" cy=\"73.22726440429688\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_1\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint down sub\" transform=\"matrix(1,0,0,1,-1,3)\"></circle><circle cx=\"161.3693084716797\" cy=\"61.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_2\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left sub\" transform=\"matrix(1,0,0,1,-1,-1.5)\"></circle><circle cx=\"246.3693084716797\" cy=\"61.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_3\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right sub\" transform=\"matrix(1,0,0,1,-1,-1.5)\"></circle><circle cx=\"161.3693084716797\" cy=\"49.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_4\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-up sub\" transform=\"matrix(1,0,0,1,-1,-6)\"></circle><circle cx=\"161.3693084716797\" cy=\"73.22726440429688\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_5\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint left-down sub\" transform=\"matrix(1,0,0,1,-1,3)\"></circle><circle cx=\"246.3693084716797\" cy=\"49.227264404296875\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_6\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-up sub\" transform=\"matrix(1,0,0,1,-1,-6)\"></circle><circle cx=\"246.3693084716797\" cy=\"73.22726440429688\" r=\"5\" stroke=\"#000000\" fill=\"#ffffff\" id=\"drup_elem_5_endpoint_7\" style=\"stroke-width: 1; opacity: 0;\" class=\"endPoint right-down sub\" transform=\"matrix(1,0,0,1,-1,3)\"></circle><text x=\"203.86931610107422\" y=\"61.22726249694824\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\" transform=\"matrix(1,0,0,1,-1,-1.5032)\">|</text></g><g id=\"drup_elem_6\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"265.3693084716797\" y=\"120.22726440429688\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"fem\" transform=\"matrix(1,0,0,1,53,16)\">fem</text></g><g id=\"drup_elem_7\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"88.36930847167969\" y=\"142.22726440429688\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"maskneut\" transform=\"matrix(1,0,0,1,39,-5)\">maskneut</text></g><g id=\"drup_elem_8\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem egal-select\"><text x=\"40.36930847167969\" y=\"65.22726440429688\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"mask\" transform=\"matrix(1,0,0,1,31,-5)\">mask</text></g><g id=\"drup_elem_9\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"170.3693084716797\" y=\"71.22726440429688\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"neut\" transform=\"matrix(1,0,0,1,35,-11)\">neut</text></g><g id=\"drup_elem_10\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><text x=\"186.3693084716797\" y=\"212.22726440429688\" style=\"text-anchor: middle; alignment-baseline: central; font-size: 20px;\" class=\"core alignable sub egal-label\" data-src=\"bot\" transform=\"matrix(1,0,0,1,24,-8)\">bot</text></g><g id=\"drup_elem_11\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"211.8693084716797\" x2=\"127.86930847167969\" y1=\"188.31817626953125\" y2=\"156.04545211791992\" stroke=\"#000000\" data-n1=\"drup_elem_1_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_2_endpoint_1\"></line><text x=\"169.8693084716797\" y=\"172.18181610107422\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_12\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"127.86930847167969\" x2=\"73.36930847167969\" y1=\"117.04545211791992\" y2=\"76.22726440429688\" stroke=\"#000000\" data-n1=\"drup_elem_2_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_4_endpoint_1\"></line><text x=\"100.61930847167969\" y=\"96.63636016845703\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_13\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"127.86930847167969\" x2=\"202.8693084716797\" y1=\"117.04545211791992\" y2=\"76.22726440429688\" stroke=\"#000000\" data-n1=\"drup_elem_2_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_5_endpoint_1\"></line><text x=\"165.3693084716797\" y=\"96.63636016845703\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g><g id=\"drup_elem_14\" first-frame=\"1\" last-frame=\"1\" class=\"drupElem\"><line x1=\"211.8693084716797\" x2=\"314.3693084716797\" y1=\"188.31817626953125\" y2=\"156.04575211791993\" stroke=\"#000000\" data-n1=\"drup_elem_1_endpoint_0\" style=\"marker-end: url(&quot;#arrowEndMarker&quot;);\" class=\"connector egal-line core\" data-n2=\"drup_elem_3_endpoint_1\"></line><text x=\"263.1193161010742\" y=\"172.1819610595703\" style=\"font-size: 20px; text-anchor: middle; alignment-baseline: central; opacity: 0;\" class=\"egal-label sub\">|</text></g></svg>" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "case_hierarchy = {\n", " \"nongen\": [\"nomakk\", \"dat\"],\n", " \"gendat\": [\"gen\", \"dat\"],\n", " \"nomakk\": [\"nom\", \"akk\"],\n", " \"nom\": [],\n", " \"gen\": [],\n", " \"dat\": [],\n", " \"akk\": []\n", "}\n", "gen_hierarchy = {\n", " \"maskneut\": [\"mask\", \"neut\"],\n", " \"mask\": [],\n", " \"fem\": [],\n", " \"neut\": []\n", "}" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "redundant_grammar = \"\"\"\n", "S -> NP[*KAS*=nom] VP\n", "\n", "NP[*KAS*=?y] -> DET[*GEN*=?x,*KAS*=?y] NOM[*GEN*=?x,*KAS*=?y]\n", "NOM[*GEN*=?x,*KAS*=?y] -> N[*GEN*=?x,*KAS*=?y] NP[*KAS*=gen]\n", "NOM[*GEN*=?x,*KAS*=?y] -> N[*GEN*=?x,*KAS*=?y]\n", "\n", "DET[*GEN*=mask,*KAS*=nom] -> \"der\"\n", "DET[*GEN*=maskneut,*KAS*=gen] -> \"des\"\n", "DET[*GEN*=maskneut,*KAS*=dat] -> \"dem\"\n", "DET[*GEN*=mask,*KAS*=akk] -> \"den\"\n", "\n", "DET[*GEN*=fem,*KAS*=nomakk] -> \"die\"\n", "DET[*GEN*=fem,*KAS*=gendat] -> \"der\"\n", "\n", "DET[*GEN*=neut,*KAS*=nomakk] -> \"das\"\n", "\n", "N[*GEN*=mask,*KAS*=nongen] -> \"Mann\"\n", "N[*GEN*=mask,*KAS*=gen] -> \"Mannes\"\n", "N[*GEN*=fem] -> \"Frau\"\n", "N[*GEN*=neut,*KAS*=nongen] -> \"Buch\"\n", "N[*GEN*=neut,*KAS*=gen] -> \"Buches\"\n", "\n", "VP -> V NP[*KAS*=dat] NP[*KAS*=akk]\n", "V -> \"gibt\" | \"schenkt\"\n", "\"\"\"" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [], "source": [ "CASE = HierarchicalFeature(\"KAS\", case_hierarchy)\n", "GEN = HierarchicalFeature(\"GEN\", gen_hierarchy)\n", "compiled_grammar = nltk.grammar.FeatureGrammar.fromstring(\n", " redundant_grammar, features=(CASE, GEN, TYPE)\n", ")\n", "parser = nltk.FeatureEarleyChartParser(compiled_grammar)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "pos_sentences = [\n", " \"der Mann gibt der Frau das Buch\",\n", " \"die Frau des Mannes gibt dem Mann der Frau das Buch des Buches\"\n", "]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Testen Sie mit Ihren eigenen Negativbeispielen!" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true }, "outputs": [], "source": [ "neg_sentences = [\n", " \"des Mannes gibt der Frau das Buch\",\n", " \"Mann gibt der Frau das Buch\",\n", " \"der Mann gibt der Frau Buch\",\n", " \"der Frau gibt dem Buch den Mann\",\n", " \"das Buch der Mann gibt der Frau das Buch\"\n", "]" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import sys\n", "\n", "def test_grammar(parser, sentences): \n", " for i, sent in enumerate(sentences, 1):\n", " print(\"Satz {}: {}\".format(i, sent))\n", " sys.stdout.flush()\n", " results = parser.parse(sent.split())\n", " analyzed = False\n", " for tree in results:\n", " display(tree)\n", " analyzed = True\n", " if not analyzed:\n", " print(\"Keine Analyse möglich\", file=sys.stderr)\n", " sys.stderr.flush()" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Satz 1: der Mann gibt der Frau das Buch\n" ] }, { "data": { "image/png": "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", "text/plain": [ "Tree(S[], [Tree(NP[*KAS*='nom'], [Tree(DET[*GEN*='mask', *KAS*='nom'], ['der']), Tree(NOM[*GEN*='mask', *KAS*='nongen'], [Tree(N[*GEN*='mask', *KAS*='nongen'], ['Mann'])])]), Tree(VP[], [Tree(V[], ['gibt']), Tree(NP[*KAS*='gendat'], [Tree(DET[*GEN*='fem', *KAS*='gendat'], ['der']), Tree(NOM[*GEN*='fem', *KAS*=?y], [Tree(N[*GEN*='fem'], ['Frau'])])]), Tree(NP[*KAS*='nomakk'], [Tree(DET[*GEN*='neut', *KAS*='nomakk'], ['das']), Tree(NOM[*GEN*='neut', *KAS*='nongen'], [Tree(N[*GEN*='neut', *KAS*='nongen'], ['Buch'])])])])])" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Satz 2: die Frau des Mannes gibt dem Mann der Frau das Buch des Buches\n" ] }, { "data": { "image/png": "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", "text/plain": [ "Tree(S[], [Tree(NP[*KAS*='nomakk'], [Tree(DET[*GEN*='fem', *KAS*='nomakk'], ['die']), Tree(NOM[*GEN*='fem', *KAS*=?y], [Tree(N[*GEN*='fem'], ['Frau']), Tree(NP[*KAS*='gen'], [Tree(DET[*GEN*='maskneut', *KAS*='gen'], ['des']), Tree(NOM[*GEN*='mask', *KAS*='gen'], [Tree(N[*GEN*='mask', *KAS*='gen'], ['Mannes'])])])])]), Tree(VP[], [Tree(V[], ['gibt']), Tree(NP[*KAS*='dat'], [Tree(DET[*GEN*='maskneut', *KAS*='dat'], ['dem']), Tree(NOM[*GEN*='mask', *KAS*='nongen'], [Tree(N[*GEN*='mask', *KAS*='nongen'], ['Mann']), Tree(NP[*KAS*='gendat'], [Tree(DET[*GEN*='fem', *KAS*='gendat'], ['der']), Tree(NOM[*GEN*='fem', *KAS*=?y], [Tree(N[*GEN*='fem'], ['Frau'])])])])]), Tree(NP[*KAS*='nomakk'], [Tree(DET[*GEN*='neut', *KAS*='nomakk'], ['das']), Tree(NOM[*GEN*='neut', *KAS*='nongen'], [Tree(N[*GEN*='neut', *KAS*='nongen'], ['Buch']), Tree(NP[*KAS*='gen'], [Tree(DET[*GEN*='maskneut', *KAS*='gen'], ['des']), Tree(NOM[*GEN*='neut', *KAS*='gen'], [Tree(N[*GEN*='neut', *KAS*='gen'], ['Buches'])])])])])])])" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "test_grammar(parser, pos_sentences)" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Satz 1: des Mannes gibt der Frau das Buch\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Keine Analyse möglich\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Satz 2: Mann gibt der Frau das Buch\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Keine Analyse möglich\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Satz 3: der Mann gibt der Frau Buch\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Keine Analyse möglich\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Satz 4: der Frau gibt dem Buch den Mann\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Keine Analyse möglich\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Satz 5: das Buch der Mann gibt der Frau das Buch\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Keine Analyse möglich\n" ] } ], "source": [ "test_grammar(parser, neg_sentences)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
tensorflow/docs-l10n
site/ja/guide/tf_numpy.ipynb
1
39158
{ "cells": [ { "cell_type": "markdown", "metadata": { "id": "ZjN_IJ8mhJ-4" }, "source": [ "##### Copyright 2020 The TensorFlow Authors." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "form", "id": "sY3Ffd83hK3b" }, "outputs": [], "source": [ "#@title Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "id": "03Pw58e6mTHI" }, "source": [ "# TensorFlow の NumPy API" ] }, { "cell_type": "markdown", "metadata": { "id": "7WpGysDJmZsg" }, "source": [ "<table class=\"tfo-notebook-buttons\" align=\"left\">\n", " <td> <a target=\"_blank\" href=\"https://www.tensorflow.org/guide/tf_numpy\"><img src=\"https://www.tensorflow.org/images/tf_logo_32px.png\">TensorFlow.org で表示</a> </td>\n", " <td> <a target=\"_blank\" href=\"https://colab.research.google.com/github/tensorflow/docs-l10n/blob/master/site/ja/guide/tf_numpy.ipynb\"><img src=\"https://www.tensorflow.org/images/colab_logo_32px.png\">Google Colab で実行</a> </td>\n", " <td> <a target=\"_blank\" href=\"https://github.com/tensorflow/docs-l10n/blob/master/site/ja/guide/tf_numpy.ipynb\"><img src=\"https://www.tensorflow.org/images/GitHub-Mark-32px.png\">GitHub でソースを表示</a> </td>\n", " <td> <a href=\"https://storage.googleapis.com/tensorflow_docs/docs-l10n/site/ja/guide/tf_numpy.ipynb\"><img src=\"https://www.tensorflow.org/images/download_logo_32px.png\">ノートブックをダウンロード</a> </td>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": { "id": "s2enCDi_FvCR" }, "source": [ "## 概要\n", "\n", "TensorFlow では、`tf.experimental.numpy`を利用して[NumPy API](https://numpy.org/doc/1.16) のサブセットを実装します。これにより、TensorFlow により高速化された NumPy コードを実行し、TensorFlow のすべて API にもアクセスできます。" ] }, { "cell_type": "markdown", "metadata": { "id": "ob1HNwUmYR5b" }, "source": [ "## セットアップ\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "AJR558zjAZQu" }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import tensorflow as tf\n", "import tensorflow.experimental.numpy as tnp\n", "import timeit\n", "\n", "print(\"Using TensorFlow version %s\" % tf.__version__)" ] }, { "cell_type": "markdown", "metadata": { "id": "M6tacoy0DU6e" }, "source": [ "### NumPy 動作の有効化\n", "\n", "`tnp` を NumPy として使用するには、TensorFlow の NumPy の動作を有効にしてください。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "TfCyofpFDQxm" }, "outputs": [], "source": [ "tnp.experimental_enable_numpy_behavior()" ] }, { "cell_type": "markdown", "metadata": { "id": "et9D5wq0D1H2" }, "source": [ "この呼び出しによって、TensorFlow での型昇格が可能になり、リテラルからテンソルに変換される場合に、型推論も Numpy の標準により厳格に従うように変更されます。\n", "\n", "注意: この呼び出しは、`tf.experimental.numpy` モジュールだけでなく、TensorFlow 全体の動作を変更します。" ] }, { "cell_type": "markdown", "metadata": { "id": "yh2BwqUzH3C3" }, "source": [ "## TensorFlow NumPy ND 配列\n", "\n", "**ND 配列**と呼ばれる `tf.experimental.numpy.ndarray` は、特定のデバイスに配置されたある `dtype` の多次元の密な配列を表します。`tf.Tensor` のエイリアスです。`ndarray.T`、`ndarray.reshape`、`ndarray.ravel` などの便利なメソッドについては、ND 配列クラスをご覧ください。\n", "\n", "まず、ND 配列オブジェクトを作成してから、さまざまなメソッドを呼び出します。 " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "-BHJjxigJ2H1" }, "outputs": [], "source": [ "# Create an ND array and check out different attributes.\n", "ones = tnp.ones([5, 3], dtype=tnp.float32)\n", "print(\"Created ND array with shape = %s, rank = %s, \"\n", " \"dtype = %s on device = %s\\n\" % (\n", " ones.shape, ones.ndim, ones.dtype, ones.device))\n", "\n", "# `ndarray` is just an alias to `tf.Tensor`.\n", "print(\"Is `ones` an instance of tf.Tensor: %s\\n\" % isinstance(ones, tf.Tensor))\n", "\n", "# Try commonly used member functions.\n", "print(\"ndarray.T has shape %s\" % str(ones.T.shape))\n", "print(\"narray.reshape(-1) has shape %s\" % ones.reshape(-1).shape)" ] }, { "cell_type": "markdown", "metadata": { "id": "Mub8-dvJMUr4" }, "source": [ "### 型昇格\n", "\n", "TensorFlow NumPy API には、リテラルを ND 配列に変換するためと ND 配列入力で型昇格を実行するための明確に定義されたセマンティクスがあります。詳細については、[`np.result_type`](https://numpy.org/doc/1.16/reference/generated/numpy.result_type.html) をご覧ください。" ] }, { "cell_type": "markdown", "metadata": { "id": "vcRznNaMj27J" }, "source": [ "TensorFlow API は `tf.Tensor` 入力を変更せずそのままにし、それに対して型昇格を実行しませんが、TensorFlow NumPy API は NumPy 型昇格のルールに従って、すべての入力を昇格します。次の例では、型昇格を行います。まず、さまざまな型の ND 配列入力で加算を実行し、出力の型を確認します。これらの型昇格は、TensorFlow API では行えません。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "uHmBi4KZI2t1" }, "outputs": [], "source": [ "print(\"Type promotion for operations\")\n", "values = [tnp.asarray(1, dtype=d) for d in\n", " (tnp.int32, tnp.int64, tnp.float32, tnp.float64)]\n", "for i, v1 in enumerate(values):\n", " for v2 in values[i + 1:]:\n", " print(\"%s + %s => %s\" % \n", " (v1.dtype.name, v2.dtype.name, (v1 + v2).dtype.name))" ] }, { "cell_type": "markdown", "metadata": { "id": "CrpIoOc7oqox" }, "source": [ "最後に、`ndarray.asarray` を使ってリテラルをND 配列に変換し、結果の型を確認します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "1m1cp8_VooNk" }, "outputs": [], "source": [ "print(\"Type inference during array creation\")\n", "print(\"tnp.asarray(1).dtype == tnp.%s\" % tnp.asarray(1).dtype.name)\n", "print(\"tnp.asarray(1.).dtype == tnp.%s\\n\" % tnp.asarray(1.).dtype.name)" ] }, { "cell_type": "markdown", "metadata": { "id": "kd-_iccXoRL8" }, "source": [ "リテラルを ND 配列に変換する際、NumPy は `tnp.int64` や `tnp.float64` といった幅広い型を優先します。一方、`tf.convert_to_tensor` は、`tf.int32` と `tf.float32` の型を優先して定数を `tf.Tensor` に変換します。TensorFlow NumPy API は、整数に関しては NumPy の動作に従っています。浮動小数点数については、`experimental_enable_numpy_behavior` の `prefer_float32` 引数によって、`tf.float64` よりも `tf.float32` を優先するかどうかを制御することができます(デフォルトは `False` です)。以下に例を示します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "4gKasnH0j84C" }, "outputs": [], "source": [ "tnp.experimental_enable_numpy_behavior(prefer_float32=True)\n", "print(\"When prefer_float32 is True:\")\n", "print(\"tnp.asarray(1.).dtype == tnp.%s\" % tnp.asarray(1.).dtype.name)\n", "print(\"tnp.add(1., 2.).dtype == tnp.%s\" % tnp.add(1., 2.).dtype.name)\n", "\n", "tnp.experimental_enable_numpy_behavior(prefer_float32=False)\n", "print(\"When prefer_float32 is False:\")\n", "print(\"tnp.asarray(1.).dtype == tnp.%s\" % tnp.asarray(1.).dtype.name)\n", "print(\"tnp.add(1., 2.).dtype == tnp.%s\" % tnp.add(1., 2.).dtype.name)" ] }, { "cell_type": "markdown", "metadata": { "id": "MwCCDxSZOfA1" }, "source": [ "### ブロードキャスティング\n", "\n", "TensorFlow と同様に、NumPy は「ブロードキャスト」値の豊富なセマンティクスを定義します。詳細については、[NumPy ブロードキャストガイド](https://numpy.org/doc/stable/user/basics.broadcasting.html)を確認し、これを [TensorFlow ブロードキャストセマンティクス](https://www.tensorflow.org/guide/tensor#broadcasting)と比較してください。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "qlyOShxIO0s2" }, "outputs": [], "source": [ "x = tnp.ones([2, 3])\n", "y = tnp.ones([3])\n", "z = tnp.ones([1, 2, 1])\n", "print(\"Broadcasting shapes %s, %s and %s gives shape %s\" % (\n", " x.shape, y.shape, z.shape, (x + y + z).shape))" ] }, { "cell_type": "markdown", "metadata": { "id": "LEVr4ctRPrqR" }, "source": [ "### インデックス\n", "\n", "NumPy は、非常に洗練されたインデックス作成ルールを定義しています。[NumPy インデックスガイド](https://numpy.org/doc/stable/reference/arrays.indexing.html)を参照してください。以下では、インデックスとして ND 配列が使用されていることに注意してください。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "lRsrtnd3YyMj" }, "outputs": [], "source": [ "x = tnp.arange(24).reshape(2, 3, 4)\n", "\n", "print(\"Basic indexing\")\n", "print(x[1, tnp.newaxis, 1:3, ...], \"\\n\")\n", "\n", "print(\"Boolean indexing\")\n", "print(x[:, (True, False, True)], \"\\n\")\n", "\n", "print(\"Advanced indexing\")\n", "print(x[1, (0, 0, 1), tnp.asarray([0, 1, 1])])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "yRAaiGhlaNw7" }, "outputs": [], "source": [ "# Mutation is currently not supported\n", "try:\n", " tnp.arange(6)[1] = -1\n", "except TypeError:\n", " print(\"Currently, TensorFlow NumPy does not support mutation.\")" ] }, { "cell_type": "markdown", "metadata": { "id": "5XfJ602j-GVD" }, "source": [ "### サンプルモデル\n", "\n", "次に、モデルを作成して推論を実行する方法を見てみます。この簡単なモデルは、relu レイヤーとそれに続く線形射影を適用します。後のセクションでは、TensorFlow の`GradientTape`を使用してこのモデルの勾配を計算する方法を示します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "kR_KCh4kYEhm" }, "outputs": [], "source": [ "class Model(object):\n", " \"\"\"Model with a dense and a linear layer.\"\"\"\n", "\n", " def __init__(self):\n", " self.weights = None\n", "\n", " def predict(self, inputs):\n", " if self.weights is None:\n", " size = inputs.shape[1]\n", " # Note that type `tnp.float32` is used for performance.\n", " stddev = tnp.sqrt(size).astype(tnp.float32)\n", " w1 = tnp.random.randn(size, 64).astype(tnp.float32) / stddev\n", " bias = tnp.random.randn(64).astype(tnp.float32)\n", " w2 = tnp.random.randn(64, 2).astype(tnp.float32) / 8\n", " self.weights = (w1, bias, w2)\n", " else:\n", " w1, bias, w2 = self.weights\n", " y = tnp.matmul(inputs, w1) + bias\n", " y = tnp.maximum(y, 0) # Relu\n", " return tnp.matmul(y, w2) # Linear projection\n", "\n", "model = Model()\n", "# Create input data and compute predictions.\n", "print(model.predict(tnp.ones([2, 32], dtype=tnp.float32)))" ] }, { "cell_type": "markdown", "metadata": { "id": "kSR7Ou5YcS38" }, "source": [ "## TensorFlow NumPy および NumPy\n", "\n", "TensorFlow NumPy は、完全な NumPy 仕様のサブセットを実装します。シンボルは、今後追加される予定ですが、近い将来にサポートされなくなる体系的な機能があります。これらには、NumPy C API サポート、Swig 統合、Fortran ストレージ優先順位、ビュー、`stride_tricks`、およびいくつかの`dtype`(`np.recarray`や<code> np.object</code>)が含まれます。詳細については、 <a>TensorFlow NumPy API ドキュメント</a>をご覧ください。\n" ] }, { "cell_type": "markdown", "metadata": { "id": "Jb1KXak2YlNN" }, "source": [ "### NumPy 相互運用性\n", "\n", "TensorFlow ND 配列は、NumPy 関数と相互運用できます。これらのオブジェクトは、`__array__`インターフェースを実装します。NumPy はこのインターフェースを使用して、関数の引数を処理する前に`np.ndarray`値に変換します。\n", "\n", "同様に、TensorFlow NumPy 関数は、`np.ndarray` などのさまざまなタイプの入力を受け入れることができます。これらの入力は、<code>ndarray.asarray</code> を呼び出すことにより、ND 配列に変換されます。\n", "\n", "ND 配列を`np.ndarray`との間で変換すると、実際のデータコピーがトリガーされる場合があります。詳細については、[バッファコピー](#Buffer-copies)のセクションを参照してください。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "cMOCgzQmeXRU" }, "outputs": [], "source": [ "# ND array passed into NumPy function.\n", "np_sum = np.sum(tnp.ones([2, 3]))\n", "print(\"sum = %s. Class: %s\" % (float(np_sum), np_sum.__class__))\n", "\n", "# `np.ndarray` passed into TensorFlow NumPy function.\n", "tnp_sum = tnp.sum(np.ones([2, 3]))\n", "print(\"sum = %s. Class: %s\" % (float(tnp_sum), tnp_sum.__class__))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "ZaLPjzxft780" }, "outputs": [], "source": [ "# It is easy to plot ND arrays, given the __array__ interface.\n", "labels = 15 + 2 * tnp.random.randn(1, 1000)\n", "_ = plt.hist(labels)" ] }, { "cell_type": "markdown", "metadata": { "id": "kF-Xyw3XWKqJ" }, "source": [ "### バッファコピー\n", "\n", "TensorFlow NumPy を NumPy コードと混在させると、データコピーがトリガーされる場合があります。これは、TensorFlow NumPy のメモリアライメントに関する要件が NumPy の要件よりも厳しいためです。\n", "\n", "`np.ndarray`が TensorFlow Numpy に渡されると、アライメント要件を確認し、必要に応じてコピーがトリガーされます。ND 配列 CPU バッファを NumPy に渡す場合、通常、バッファはアライメント要件を満たし、NumPy はコピーを作成する必要はありません。\n", "\n", "ND 配列は、ローカル CPU メモリ以外のデバイスに配置されたバッファを参照できます。このような場合、NumPy 関数を呼び出すと、必要に応じてネットワークまたはデバイス全体でコピーが作成されます。\n", "\n", "このため、NumPy API 呼び出しとの混合は通常、注意して行い、ユーザーはデータのコピーのオーバーヘッドに注意する必要があります。TensorFlow NumPy 呼び出しを TensorFlow 呼び出しとインターリーブすることは一般的に安全であり、データのコピーを避けられます。 詳細については、[TensorFlow の相互運用性](#tensorflow-interoperability)のセクションをご覧ください。" ] }, { "cell_type": "markdown", "metadata": { "id": "RwljbqkBc7Ro" }, "source": [ "### 演算子の優先順位\n", "\n", "TensorFlow NumPy は、NumPy よりも優先順位の高い`__array_priority__`を定義します。つまり、ND 配列と`np.ndarray`の両方を含む演算子の場合、前者が優先されます。`np.ndarray`入力は ND 配列に変換され、演算子の TensorFlow NumPy 実装が呼び出されます。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "Cbw8a3G_WUO7" }, "outputs": [], "source": [ "x = tnp.ones([2]) + np.ones([2])\n", "print(\"x = %s\\nclass = %s\" % (x, x.__class__))" ] }, { "cell_type": "markdown", "metadata": { "id": "DNEab_Ctky83" }, "source": [ "## TF NumPy と TensorFlow\n", "\n", "TensorFlow NumPy は TensorFlow の上に構築されているため、TensorFlow とシームレスに相互運用できます。" ] }, { "cell_type": "markdown", "metadata": { "id": "fCcfgrlOnAhQ" }, "source": [ "### `tf.Tensor` と ND 配列\n", "\n", "ND 配列は `tf.Tensor` のエイリアスであるため、実際のデータのコピーを呼び出さずに混在させることが可能です。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "BkHVauKwnky_" }, "outputs": [], "source": [ "x = tf.constant([1, 2])\n", "print(x)\n", "\n", "# `asarray` and `convert_to_tensor` here are no-ops.\n", "tnp_x = tnp.asarray(x)\n", "print(tnp_x)\n", "print(tf.convert_to_tensor(tnp_x))\n", "\n", "# Note that tf.Tensor.numpy() will continue to return `np.ndarray`.\n", "print(x.numpy(), x.numpy().__class__)" ] }, { "cell_type": "markdown", "metadata": { "id": "_151HQVBooxG" }, "source": [ "### TensorFlow 相互運用性\n", "\n", "ND 配列は `tf.Tensor` のエイリアスにすぎないため、TensorFlow API に渡すことができます。前述のように、このような相互運用では、アクセラレータやリモートデバイスに配置されたデータであっても、データのコピーは行われません。\n", "\n", "逆に言えば、`tf.Tensor` オブジェクトを、データのコピーを実行せずに、`tf.experimental.numpy` API に渡すことができます。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "-QvxNhrFoz09" }, "outputs": [], "source": [ "# ND array passed into TensorFlow function.\n", "tf_sum = tf.reduce_sum(tnp.ones([2, 3], tnp.float32))\n", "print(\"Output = %s\" % tf_sum)\n", "\n", "# `tf.Tensor` passed into TensorFlow NumPy function.\n", "tnp_sum = tnp.sum(tf.ones([2, 3]))\n", "print(\"Output = %s\" % tnp_sum)" ] }, { "cell_type": "markdown", "metadata": { "id": "1b4HeAkhprF_" }, "source": [ "### 勾配とヤコビアン: tf.GradientTape\n", "\n", "TensorFlow の GradientTape は、TensorFlow と TensorFlow NumPy コードを介してバックプロパゲーションに使用できます。\n", "\n", "[サンプルモデル](#example-model)セクションで作成されたモデルを使用して、勾配とヤコビアンを計算します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "T47C9KS8pbsP" }, "outputs": [], "source": [ "def create_batch(batch_size=32):\n", " \"\"\"Creates a batch of input and labels.\"\"\"\n", " return (tnp.random.randn(batch_size, 32).astype(tnp.float32),\n", " tnp.random.randn(batch_size, 2).astype(tnp.float32))\n", "\n", "def compute_gradients(model, inputs, labels):\n", " \"\"\"Computes gradients of squared loss between model prediction and labels.\"\"\"\n", " with tf.GradientTape() as tape:\n", " assert model.weights is not None\n", " # Note that `model.weights` need to be explicitly watched since they\n", " # are not tf.Variables.\n", " tape.watch(model.weights)\n", " # Compute prediction and loss\n", " prediction = model.predict(inputs)\n", " loss = tnp.sum(tnp.square(prediction - labels))\n", " # This call computes the gradient through the computation above.\n", " return tape.gradient(loss, model.weights)\n", "\n", "inputs, labels = create_batch()\n", "gradients = compute_gradients(model, inputs, labels)\n", "\n", "# Inspect the shapes of returned gradients to verify they match the\n", "# parameter shapes.\n", "print(\"Parameter shapes:\", [w.shape for w in model.weights])\n", "print(\"Gradient shapes:\", [g.shape for g in gradients])\n", "# Verify that gradients are of type ND array.\n", "assert isinstance(gradients[0], tnp.ndarray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "TujVPDFwrdqp" }, "outputs": [], "source": [ "# Computes a batch of jacobians. Each row is the jacobian of an element in the\n", "# batch of outputs w.r.t. the corresponding input batch element.\n", "def prediction_batch_jacobian(inputs):\n", " with tf.GradientTape() as tape:\n", " tape.watch(inputs)\n", " prediction = model.predict(inputs)\n", " return prediction, tape.batch_jacobian(prediction, inputs)\n", "\n", "inp_batch = tnp.ones([16, 32], tnp.float32)\n", "output, batch_jacobian = prediction_batch_jacobian(inp_batch)\n", "# Note how the batch jacobian shape relates to the input and output shapes.\n", "print(\"Output shape: %s, input shape: %s\" % (output.shape, inp_batch.shape))\n", "print(\"Batch jacobian shape:\", batch_jacobian.shape)" ] }, { "cell_type": "markdown", "metadata": { "id": "MYq9wxfc1Dv_" }, "source": [ "### トレースコンパイル: tf.function\n", "\n", "Tensorflow の `tf.function` は、コードを「トレースコンパイル」し、これらのトレースを最適化してパフォーマンスを大幅に向上させます。[グラフと関数の概要](./intro_to_graphs.ipynb)を参照してください。\n", "\n", "また、`tf.function` を使用して、TensorFlow NumPy コードを最適化することもできます。以下は、スピードアップを示す簡単な例です。`tf.function` コードの本文には、TensorFlow NumPy API への呼び出しが含まれていることに注意してください。\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "05SrUulm1OlL" }, "outputs": [], "source": [ "inputs, labels = create_batch(512)\n", "print(\"Eager performance\")\n", "compute_gradients(model, inputs, labels)\n", "print(timeit.timeit(lambda: compute_gradients(model, inputs, labels),\n", " number=10) * 100, \"ms\")\n", "\n", "print(\"\\ntf.function compiled performance\")\n", "compiled_compute_gradients = tf.function(compute_gradients)\n", "compiled_compute_gradients(model, inputs, labels) # warmup\n", "print(timeit.timeit(lambda: compiled_compute_gradients(model, inputs, labels),\n", " number=10) * 100, \"ms\")" ] }, { "cell_type": "markdown", "metadata": { "id": "5w8YxR6ELmo1" }, "source": [ "### ベクトル化:tf.vectorized_map\n", "\n", "TensorFlow には、並列ループのベクトル化のサポートが組み込まれているため、10 倍から 100 倍のスピードアップが可能です。これらのスピードアップは、`tf.vectorized_map` API を介して実行でき、TensorFlow NumPy にも適用されます。\n", "\n", "w.r.t. (対応する入力バッチ要素)バッチで各出力の勾配を計算すると便利な場合があります。このような計算は、以下に示すように `tf.vectorized_map` を使用して効率的に実行できます。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "PemSIrs5L-VJ" }, "outputs": [], "source": [ "@tf.function\n", "def vectorized_per_example_gradients(inputs, labels):\n", " def single_example_gradient(arg):\n", " inp, label = arg\n", " return compute_gradients(model,\n", " tnp.expand_dims(inp, 0),\n", " tnp.expand_dims(label, 0))\n", " # Note that a call to `tf.vectorized_map` semantically maps\n", " # `single_example_gradient` over each row of `inputs` and `labels`.\n", " # The interface is similar to `tf.map_fn`.\n", " # The underlying machinery vectorizes away this map loop which gives\n", " # nice speedups.\n", " return tf.vectorized_map(single_example_gradient, (inputs, labels))\n", "\n", "batch_size = 128\n", "inputs, labels = create_batch(batch_size)\n", "\n", "per_example_gradients = vectorized_per_example_gradients(inputs, labels)\n", "for w, p in zip(model.weights, per_example_gradients):\n", " print(\"Weight shape: %s, batch size: %s, per example gradient shape: %s \" % (\n", " w.shape, batch_size, p.shape))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "_QZ5BjJmRAlG" }, "outputs": [], "source": [ "# Benchmark the vectorized computation above and compare with\n", "# unvectorized sequential computation using `tf.map_fn`.\n", "@tf.function\n", "def unvectorized_per_example_gradients(inputs, labels):\n", " def single_example_gradient(arg):\n", " inp, label = arg\n", " return compute_gradients(model,\n", " tnp.expand_dims(inp, 0),\n", " tnp.expand_dims(label, 0))\n", "\n", " return tf.map_fn(single_example_gradient, (inputs, labels),\n", " fn_output_signature=(tf.float32, tf.float32, tf.float32))\n", "\n", "print(\"Running vectorized computation\")\n", "print(timeit.timeit(lambda: vectorized_per_example_gradients(inputs, labels),\n", " number=10) * 100, \"ms\")\n", "\n", "print(\"\\nRunning unvectorized computation\")\n", "per_example_gradients = unvectorized_per_example_gradients(inputs, labels)\n", "print(timeit.timeit(lambda: unvectorized_per_example_gradients(inputs, labels),\n", " number=10) * 100, \"ms\")" ] }, { "cell_type": "markdown", "metadata": { "id": "UOTh-nkzaJd9" }, "source": [ "### デバイスに配置する\n", "\n", "TensorFlow NumPy は、CPU、GPU、TPU、およびリモートデバイスに演算を配置できます。デバイスにおける配置には標準の TensorFlow メカニズムを使用します。以下の簡単な例は、すべてのデバイスを一覧表示してから、特定のデバイスに計算を配置する方法を示しています。\n", "\n", "ここでは取り上げませんが、TensorFlow には、デバイス間で計算を複製し、集合的な削減を実行するための API もあります。" ] }, { "cell_type": "markdown", "metadata": { "id": "-0gHrwYYaTCE" }, "source": [ "#### デバイスをリストする\n", "\n", "使用するデバイスを見つけるには、`tf.config.list_logical_devices` および`tf.config.list_physical_devices` を使用します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "NDEAd9m9aemS" }, "outputs": [], "source": [ "print(\"All logical devices:\", tf.config.list_logical_devices())\n", "print(\"All physical devices:\", tf.config.list_physical_devices())\n", "\n", "# Try to get the GPU device. If unavailable, fallback to CPU.\n", "try:\n", " device = tf.config.list_logical_devices(device_type=\"GPU\")[0]\n", "except IndexError:\n", " device = \"/device:CPU:0\"" ] }, { "cell_type": "markdown", "metadata": { "id": "fihgfF_tahVx" }, "source": [ "#### 演算の配置:**`tf.device`**\n", "\n", "デバイスに演算を配置するには、`tf.device` スコープでデバイスを呼び出します。\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "c7ELvLmnazfV" }, "outputs": [], "source": [ "print(\"Using device: %s\" % str(device))\n", "# Run operations in the `tf.device` scope.\n", "# If a GPU is available, these operations execute on the GPU and outputs are\n", "# placed on the GPU memory.\n", "with tf.device(device):\n", " prediction = model.predict(create_batch(5)[0])\n", "\n", "print(\"prediction is placed on %s\" % prediction.device)" ] }, { "cell_type": "markdown", "metadata": { "id": "e-LK6wsHbBiM" }, "source": [ "#### デバイス間での ND 配列のコピー: **`tnp.copy`**\n", "\n", "特定のデバイススコープで `tnp.copy` を呼び出すと、データがそのデバイスに既に存在しない限り、そのデバイスにデータがコピーされます。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "CCesyidaa-UT" }, "outputs": [], "source": [ "with tf.device(\"/device:CPU:0\"):\n", " prediction_cpu = tnp.copy(prediction)\n", "print(prediction.device)\n", "print(prediction_cpu.device)" ] }, { "cell_type": "markdown", "metadata": { "id": "AiYzRDOtKzAH" }, "source": [ "## パフォーマンスの比較\n", "\n", "TensorFlow NumPy は、CPU、GPU、TPU にディスパッチできる高度に最適化された TensorFlow カーネルを使用します。TensorFlow は、演算の融合など、多くのコンパイラ最適化も実行し、パフォーマンスとメモリを向上します。詳細については、[Grappler を使用した TensorFlow グラフの最適化](./graph_optimization.ipynb)をご覧ください。\n", "\n", "ただし、TensorFlow では、NumPy と比較してディスパッチ演算のオーバーヘッドが高くなります。小規模な演算(約 10 マイクロ秒未満)で構成されるワークロードの場合、これらのオーバーヘッドがランタイムを支配する可能性があり、NumPy はより優れたパフォーマンスを提供する可能性があります。その他の場合、一般的に TensorFlow を使用するとパフォーマンスが向上するはずです。\n", "\n", "以下のベンチマークを実行して、さまざまな入力サイズでの NumPy と TensorFlow Numpy のパフォーマンスを比較します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "code", "id": "RExwjI9_pJG0" }, "outputs": [], "source": [ "def benchmark(f, inputs, number=30, force_gpu_sync=False):\n", " \"\"\"Utility to benchmark `f` on each value in `inputs`.\"\"\"\n", " times = []\n", " for inp in inputs:\n", " def _g():\n", " if force_gpu_sync:\n", " one = tnp.asarray(1)\n", " f(inp)\n", " if force_gpu_sync:\n", " with tf.device(\"CPU:0\"):\n", " tnp.copy(one) # Force a sync for GPU case\n", "\n", " _g() # warmup\n", " t = timeit.timeit(_g, number=number)\n", " times.append(t * 1000. / number)\n", " return times\n", "\n", "\n", "def plot(np_times, tnp_times, compiled_tnp_times, has_gpu, tnp_times_gpu):\n", " \"\"\"Plot the different runtimes.\"\"\"\n", " plt.xlabel(\"size\")\n", " plt.ylabel(\"time (ms)\")\n", " plt.title(\"Sigmoid benchmark: TF NumPy vs NumPy\")\n", " plt.plot(sizes, np_times, label=\"NumPy\")\n", " plt.plot(sizes, tnp_times, label=\"TF NumPy (CPU)\")\n", " plt.plot(sizes, compiled_tnp_times, label=\"Compiled TF NumPy (CPU)\")\n", " if has_gpu:\n", " plt.plot(sizes, tnp_times_gpu, label=\"TF NumPy (GPU)\")\n", " plt.legend()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "p-fs_H1lkLfV" }, "outputs": [], "source": [ "# Define a simple implementation of `sigmoid`, and benchmark it using\n", "# NumPy and TensorFlow NumPy for different input sizes.\n", "\n", "def np_sigmoid(y):\n", " return 1. / (1. + np.exp(-y))\n", "\n", "def tnp_sigmoid(y):\n", " return 1. / (1. + tnp.exp(-y))\n", "\n", "@tf.function\n", "def compiled_tnp_sigmoid(y):\n", " return tnp_sigmoid(y)\n", "\n", "sizes = (2 ** 0, 2 ** 5, 2 ** 10, 2 ** 15, 2 ** 20)\n", "np_inputs = [np.random.randn(size).astype(np.float32) for size in sizes]\n", "np_times = benchmark(np_sigmoid, np_inputs)\n", "\n", "with tf.device(\"/device:CPU:0\"):\n", " tnp_inputs = [tnp.random.randn(size).astype(np.float32) for size in sizes]\n", " tnp_times = benchmark(tnp_sigmoid, tnp_inputs)\n", " compiled_tnp_times = benchmark(compiled_tnp_sigmoid, tnp_inputs)\n", "\n", "has_gpu = len(tf.config.list_logical_devices(\"GPU\"))\n", "if has_gpu:\n", " with tf.device(\"/device:GPU:0\"):\n", " tnp_inputs = [tnp.random.randn(size).astype(np.float32) for size in sizes]\n", " tnp_times_gpu = benchmark(compiled_tnp_sigmoid, tnp_inputs, 100, True)\n", "else:\n", " tnp_times_gpu = None\n", "plot(np_times, tnp_times, compiled_tnp_times, has_gpu, tnp_times_gpu)" ] }, { "cell_type": "markdown", "metadata": { "id": "ReK_9k5D8BZQ" }, "source": [ "## 参考資料\n", "\n", "- [TensorFlow NumPy: 分散型画像分類のチュートリアル](https://github.com/tensorflow/tensorflow/blob/master/tensorflow/python/ops/numpy_ops/g3doc/TensorFlow_Numpy_Distributed_Image_Classification.ipynb)\n", "- [TensorFlow NumPy: Keras と分散ストラテジー](https://github.com/tensorflow/tensorflow/blob/master/tensorflow/python/ops/numpy_ops/g3doc/TensorFlow_NumPy_Keras_and_Distribution_Strategy.ipynb)\n", "- [Trax と TensorFlow NumPy を使用したセンチメント分析](https://github.com/google/trax/blob/master/trax/tf_numpy_and_keras.ipynb)" ] } ], "metadata": { "accelerator": "GPU", "colab": { "collapsed_sections": [], "name": "tf_numpy.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
ekergy/jupyter_notebooks
curso/6-ML.ipynb
1
573892
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Machine Learning #\n", "\n", "**Lo que ha sido siempre la Estadística de toda la vida, que durante los 80 se llamó Inteligencia Artificial**\n", "\n", "* Una serie de técnicas de análisis y manipulación de datos que sirven para obtener patrones predecibles en datos reales.\n", "\n", "* ML Supervisado\n", "\n", " * Los datos vienen con atributos objetivo. Queremos modelar o simplificar los datos para entender la relación entre datos y atributos y conocer mejor el problema. \n", " \n", " * Algoritmos de regresión y clasificación.\n", " \n", "* ML No supervisado\n", "\n", " * Queremos encontrar estructura en nuestros datos.\n", " \n", " * Clustering, estimación de densidad..." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Scikit-learn ##\n", "\n", "* http://scikit-learn.org\n", "\n", "* Python cuenta con una serie de módulos llamados scikits.\n", "\n", "* Scikit-learn (sklearn) es el más conocido.\n", "\n", "* Es un toolkit bastante completo, rápido y una referencia en ML.\n", "\n", "* [Un buen tutorial del que he sacado parte de este ejemplo](https://github.com/jakevdp/sklearn_pycon2015)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Comprimir una imagen ###\n", "\n", "Tomemos por ejemplo esta imagen de ejemplo de un templo en China" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x7fc4b1f4aeb8>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXMAAAEACAYAAABBDJb9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc4e0129588>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "import numpy as np\n", "from sklearn.datasets import load_sample_image\n", "china = load_sample_image(\"china.jpg\")\n", "fig = plt.figure(1)\n", "ax = fig.add_subplot(1,1,1)\n", "ax.imshow(china)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Queremos comprimir esta imagen para reducir el tamaño que cuesta almacenarlo en memoria. Una de las estrategias de compresión es reducir la paleta de colore\n", "s.\n", "\n", "En cualquier imagen, la paleta de de colores es una combinación de 256 tonos de rojo, verde y azul; entonces el espacio de colores tiene 3 dimensiones y $256^3$ (unos 16.7 millones) colores posibles. El color (0,0,0) es el negro, mientras que el (255,255,255) es el blanco.\n", "\n", "Una estrategia para comprimir imagenes parte de la base que nuestro sentido de la vista no percibe todos los colores por igual ni la naturaleza usa todos los colores a la vez. Hay colores que nuesto cerebro no percibe bien (especialmente si somos hombres) y hay colores poco frecuentes, como tonos puros de azul o rojo.\n", "\n", "Entonces se puede reducir el número de colores posibles de $256^3$ a menos de 100 sin que nuestra percepción encuentre la imagen aberrante. En los albores de la computación se utilizaban paleatas para ahorrar memoria y poder representar gráficos de manera más eficiente. Era el caso de la SEGA Master System, una consola que apareció en el año 1986, y que disponía de esta paleta de 32 colores.\n", "\n", "![](fig/Screen_color_test_SEGAMasterSystem.png)\n", "\n", "El objetivo es obtener una paleta lo suficientemente buena como para que basten 32 colores. Es una práctica habitual en machine learning: obtener los casos más significativos (los colores de una paleta para una imagen) de entre todas las posibilidades (los 16 millones y pico de colores posibles)\n", "\n", "Primero vamos a explorar la imagen sólo como si fueran un montón de datos. Cualquier imagen es un array con 3 dimensiones, una para la dirección horizontal, otra para la dirección vertical y una tercera para los 3 colores. El primer paso es obviar las dimensiones espaciales y convertir la imagen en una *tira* de numeros" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(273280, 3)\n", "819840\n" ] } ], "source": [ "iso = china.reshape(-1,3)\n", "print(iso.shape)\n", "print(iso.nbytes)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Como se ha dicho anteriormente, hay colores más o menos posibles. Sabiendo que tenemos tres posibles canales, representaremos todos los píxeles en función de dónde están situados en el espacio de color. Para ello los proyectaremos en las combinaciones de dos canales rojo-verde, rojo-azul y verde-azul." ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEaCAYAAABEsMO+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkv8f09qTYXMWQuAAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc490dcb1d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(2)\n", "rg = fig.add_subplot(2,2,1)\n", "rb = fig.add_subplot(2,2,2)\n", "gb = fig.add_subplot(2,2,3)\n", "\n", "rg.plot(iso[::5,0], iso[::5,1], 'b.', markersize=1)\n", "rg.set_title('Red-Green channel', fontsize=10)\n", "rb.plot(iso[::5,0], iso[::5,2], 'b.', markersize=1)\n", "rb.set_title('Red-Blue channel', fontsize=10)\n", "gb.plot(iso[::5,1], iso[::5,2], 'b.', markersize=1)\n", "gb.set_title('Green-Blue channel', fontsize=10)\n", "\n", "fig.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Como se puede ver, la mayoría de píxeles siguen un patrón desde el negro al blanco, pasando por combinaciones que tienden al gris (iguales cantidades de rojo verde y azul). Los colores más poco frecuentes son los rojos puros y los verdes puros.\n", "\n", "Una paleta eficiente se conseguirá resumiendo todos estos píxeles en unos cuantos colores característicos, que se aproximan a los más frecuentes. El algoritmo que permite realizar esta tarea se llama [KMeans](https://es.wikipedia.org/wiki/K-means).\n", "\n", "Se trata de un algoritmo de clustering que en Scikit-Learn se usa como sigue. Primero se importa el modelo y luego se configuran el número de centroides deseados. Cada centroide será un color característico. Es también un algoritmo bastante pesado que requiere bastante esfuerzo de cálculo, así que pasaremos un `-1` al parámetro `n_jobs` para que use todos los colores disponibles.\n", "\n", "Al utilizar el método `fit_predict` el modelo calculará todos los centroides y dará para cada píxel el centroide más cercano (`labels`)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn.cluster import KMeans\n", "model = KMeans(32, n_jobs=-1)\n", "labels = model.fit_predict(iso)\n", "colors = model.cluster_centers_" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A continuación representaremos sobre la anterior figura los centroides como puntos en rojo. Como se aprecia perfectamente, hay mayor densidad de centroides donde hay colores más probables." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEaCAYAAABEsMO+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc4a372ea90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(3)\n", "rg = fig.add_subplot(2,2,1)\n", "rb = fig.add_subplot(2,2,2)\n", "gb = fig.add_subplot(2,2,3)\n", "\n", "rg.plot(iso[::5,0], iso[::5,1], 'b.', markersize=1)\n", "rg.set_title('Red-Green channel', fontsize=10)\n", "rb.plot(iso[::5,0], iso[::5,2], 'b.', markersize=1)\n", "rb.set_title('Red-Blue channel', fontsize=10)\n", "gb.plot(iso[::5,1], iso[::5,2], 'b.', markersize=1)\n", "gb.set_title('Green-Blue channel', fontsize=10)\n", "\n", "rg.plot(colors[:,0], colors[:,1], 'r.')\n", "rb.plot(colors[:,0], colors[:,2], 'r.')\n", "gb.plot(colors[:,1], colors[:,2], 'r.')\n", "\n", "fig.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finalmente podemos reconstruir la imagen utilizando los valores ajustados al modelo, para ello tenemos que pasar de la representación bidimensional que hemos utilizaro para el modelo a la tridimensional que requiere la imagen.*" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.image.AxesImage at 0x7fc4a35b7c88>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXMAAAEACAYAAABBDJb9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAXUWIBP63u64AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc4a80ec5c0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "new_image = colors[labels].reshape(china.shape).astype(np.uint8)\n", "fig = plt.figure(4)\n", "ax = fig.add_subplot(1,1,1)\n", "ax.imshow(new_image)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Distinguir entre el Iris Virginica y el Iris Versicolor ###\n", "\n", "Volvemos al Iris, la flor preferida del Machine Learning.\n" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>SepalLength</th>\n", " <th>SepalWidth</th>\n", " <th>PetalLength</th>\n", " <th>PetalWidth</th>\n", " <th>Name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>5.1</td>\n", " <td>3.5</td>\n", " <td>1.4</td>\n", " <td>0.2</td>\n", " <td>Iris-setosa</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4.9</td>\n", " <td>3.0</td>\n", " <td>1.4</td>\n", " <td>0.2</td>\n", " <td>Iris-setosa</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>4.7</td>\n", " <td>3.2</td>\n", " <td>1.3</td>\n", " <td>0.2</td>\n", " <td>Iris-setosa</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4.6</td>\n", " <td>3.1</td>\n", " <td>1.5</td>\n", " <td>0.2</td>\n", " <td>Iris-setosa</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5.0</td>\n", " <td>3.6</td>\n", " <td>1.4</td>\n", " <td>0.2</td>\n", " <td>Iris-setosa</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " SepalLength SepalWidth PetalLength PetalWidth Name\n", "0 5.1 3.5 1.4 0.2 Iris-setosa\n", "1 4.9 3.0 1.4 0.2 Iris-setosa\n", "2 4.7 3.2 1.3 0.2 Iris-setosa\n", "3 4.6 3.1 1.5 0.2 Iris-setosa\n", "4 5.0 3.6 1.4 0.2 Iris-setosa" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "iris = pd.read_csv('data/iris.csv')\n", "iris.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Un problema clásico de predicción es poder distinguir entre la Iris Virginica y la Iris Versicolor. Los datos tomados para cada flor son la longitud y la anchura del sépalo y el pétalo respectivamente. Distinguir la setosa de la virginica y versicolor es sencillo, puesto que la setosa tiene un sépalo claramente más corto y más ancho que las otras dos variedades." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x7fc490ea4550>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEPCAYAAABY9lNGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhJmZ5XKCMDOzXP8F7TtTjqUT010AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc490efd3c8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(5)\n", "ax = fig.add_subplot(1,1,1)\n", "for s, c in zip(iris.groupby('Name'), ['r', 'w', 'b']):\n", " s[1].plot.scatter(x='SepalWidth',\n", " y='SepalLength',\n", " c=c,\n", " s=50*s[1]['PetalLength'],\n", " ax=ax,\n", " label=s[0])\n", " \n", "plt.xlabel('Sepal width')\n", "plt.ylabel('Sepal length')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "En cambio, no parece que haya una manera obvia de distinguir la versicolor de la virginica por sus propiedades. Los pétalos y los sépalos tienen un aspecto parecido: cuando son largos son anchos y al contrario. Entonces no es trivial entrenar un modelo que prediga, dadas las características de una flor, su variedad.\n", "\n", "Lo que sucede es que estamos explorando sólo unas cuantas combinaciones dentro del espacio de posibles medidas. Si proyectamos las medidas como en el caso anterior nos limitamos a combinaciones entre dos de los cuatro parámetros. Esta limitación existe sólo en nuestros cerebros porque tenemos serias dificultades para visualizar espacios con más de tres dimensiones. En el caso de estos datos, el número de dimensiones asciende a 4.\n", "\n", "Pero hay algoritmos que permiten resolver este entuerto. La pregunta es la siguiente. ¿Hay algún subespacio de dos dimensiones (una proyección), combinación de las 4 dimensiones, que permita separar las características de la virginica de la setosa? La respuesta, si es afirmativa, se puede encontrar con la descomposición en componentes principales (Principal Component Analysis o PCA).\n", "\n", "Para Scikit-Learn, PCA es un algoritmo de descomposición. Le cargamos las medidas como una matriz de 4 filas y una columna por cada medida" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Components [[ 0.36158968 -0.08226889 0.85657211 0.35884393]\n", " [-0.65653988 -0.72971237 0.1757674 0.07470647]]\n", "Explained variance [ 0.92461621 0.05301557]\n" ] } ], "source": [ "from sklearn.decomposition import PCA\n", "data = np.vstack((iris.SepalLength.as_matrix(),\n", " iris.SepalWidth.as_matrix(),\n", " iris.PetalLength.as_matrix(),\n", " iris.PetalWidth.as_matrix())).T\n", "\n", "pca = PCA(n_components=2)\n", "X_r = pca.fit(data).transform(data)\n", "print('Components', pca.components_)\n", "print('Explained variance', pca.explained_variance_ratio_)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lo que obtenemos es que las dos medidas que separan bien la virginica de la versicolor son\n", "\n", "$$ m_1 = 0.36 s_l + -0.08 s_w + 0.86 p_l + 0.36 p_w $$\n", "$$ m_2 = -0.66 s_l + -0.73 s_w + 0.18 p_l + 0.07 p_w $$\n", "\n", "donde $s_l$ y $s_w$ son la longitud y la anchura del sépalo y $p_l$ y $p_w$ son la longitud y la anchura del pétalo respectivamente.\n", "\n", "Representando todas las mediciones utilizando estas dos nuevas variables obtenemos que sí es posible (aproximadamente) separar la virginica de la versicolor." ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x7fc4a35f1eb8>" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEhCAYAAAC6Hk0fAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc4a3675860>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(6)\n", "ax = fig.add_subplot(1,1,1)\n", "projected = pd.DataFrame(\n", " {'Axis1': X_r[:,0],\n", " 'Axis2': X_r[:,1],\n", " 'Name': iris.Name.as_matrix()\n", " }\n", ")\n", "\n", "for (group, data), c in zip(projected.groupby('Name'), 'rwb'):\n", " plt.scatter(data.Axis1, data.Axis2, c=c, label=group)\n", "\n", " \n", "ax.set_xlabel(r'$m_1$', fontsize=18)\n", "ax.set_ylabel(r'$m_2$', fontsize=18)\n", "plt.legend()\n", "plt.title('PCA of IRIS dataset')\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "En estas nuevas medidas derivadas, la combinación de $m_1$ y $m_2$ de la virginica es proporcionalmente mayor que la versicolor. En este nuevo subespacio la setosa es aún más fácil de distinguir, especialmente tomando la medida $m_1$.\n", "\n", "Podemos también volver a utilizar el algoritmo KMeans par clasificar automáticamente las tres variedades." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Accuracy 0.8866666666666667\n" ] }, { "data": { "text/plain": [ "<matplotlib.text.Text at 0x7fc4a3719588>" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXwAAAEKCAYAAAARnO4WAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fc4a36a14a8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "data = np.vstack((projected.Axis1.as_matrix(),\n", " projected.Axis2.as_matrix())).T\n", "\n", "model = KMeans(3, n_jobs=-1)\n", "\n", "labels = model.fit_predict(data)\n", "label_name_map = {\n", " 1: 'Iris-setosa',\n", " 2: 'Iris-versicolor',\n", " 0: 'Iris-virginica'\n", " } \n", "projected['Label'] = [label_name_map[l] for l in labels]\n", "\n", "fig = plt.figure(7)\n", "ax = fig.add_subplot(1,1,1)\n", "\n", "right = 0\n", "wrong = 0\n", "for i, (ax1, ax2, name, label) in projected.iterrows():\n", " if name != label:\n", " ax.scatter(ax1, ax2, color='r')\n", " wrong += 1\n", " elif name == label:\n", " ax.scatter(ax1, ax2, color='b')\n", " right += 1\n", " \n", "print('Accuracy', right/(wrong+right))\n", " \n", "plt.title('Clustering error')" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "En rojo se ven los errores de clasificación de las tres variedades que comete el KMeans, con un porcentaje de acierto de casi el 90%. No es desastroso, pero es claramente mejorable." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
Rauf-Kurbanov/au_dl_course
seminar_1/homework_task1.ipynb
1
7457
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import tensorflow as tf\n", "import numpy as np\n", "import math" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hint: Use dtype=tf.float64 if you want to have same precision as numpy for testing<br>\n", "Hint: You migth wanna use tf.InterativeSession for convenience" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1a: Create two random 0-d tensors x and y of any distribution. <br>\n", "Create a TensorFlow object that returns x + y if x > y, and x - y otherwise. <br>\n", "Hint: look up tf.cond() <br>\n", "I do the first problem for you <br>" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1a_np(x, y):\n", " return np.where(x > y, x + y, x - y)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X = tf.placeholder(tf.float64)\n", "Y = tf.placeholder(tf.float64)\n", "out = tf.cond(tf.greater(X, Y), lambda: tf.add(X, Y), lambda: tf.subtract(X, Y))" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Success\n" ] } ], "source": [ "with tf.Session() as sess:\n", " for xx, yy in np.random.uniform(size=(50, 2)):\n", " actual = sess.run(out, feed_dict={X:xx, Y:yy})\n", " expected = task_1a_np(xx, yy)\n", " if actual != expected:\n", " print('Fail')\n", " # something something\n", " else:\n", " print('Success')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1b: Create two 0-d tensors x and y randomly selected from the range [-1, 1).<br>\n", "Return x + y if x < y, x - y if x > y, 0 otherwise.<br>\n", "Hint: Look up tf.case().<br>" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1b_np(x, y):\n", " return np.select(condlist=[x < y, x > y],\n", " choicelist=[x + y, x - y],\n", " default=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1c: Create the tensor x of the value [[0, -2, -1], [0, 1, 2]] <br>\n", "and y as a tensor of zeros with the same shape as x. <br>\n", "Return a boolean tensor that yields Trues if x equals y element-wise. <br>\n", "Hint: Look up tf.equal(). <br>" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1c_np():\n", " x = np.array([[0, -2, -1], [0, 1, 2]])\n", " y = np.zeros_like(x)\n", " return x == y" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1d:<br>\n", "Get the indices of elements in x whose values are greater than 30.<br>\n", "Hint: Use tf.where().<br>\n", "Then extract elements whose values are greater than 30.<br>\n", "Hint: Use tf.gather().<br>" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1d_np(x):\n", " return x[x > 30].reshape(-1, 1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1e: Create a diagnoal 2-d tensor of size 6 x 6 with the diagonal values of 1,<br>\n", "2, ..., 6<br>\n", "Hint: Use tf.range() and tf.diag().<br>" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1e_np():\n", " return np.diag(np.arange(1, 7))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1f: Create a random 2-d tensor of size 10 x 10 from any distribution.<br>\n", "Calculate its determinant.<br>\n", "Hint: Look at tf.matrix_determinant().<br>" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1f_np(x):\n", " return np.linalg.det(x)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1g: Create tensor x with value [5, 2, 3, 5, 10, 6, 2, 3, 4, 2, 1, 1, 0, 9].<br>\n", "Return the unique elements in x<br>\n", "Hint: use tf.unique(). Keep in mind that tf.unique() returns a tuple.<br>" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1g_np():\n", " x = [5, 2, 3, 5, 10, 6, 2, 3, 4, 2, 1, 1, 0, 9]\n", " _, idx = np.unique(x, return_index=True)\n", " return np.take(x, sorted(idx))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1h: Create two tensors x and y of shape 300 from any normal distribution,<br>\n", "as long as they are from the same distribution.<br>\n", "Use tf.cond() to return:<br>\n", "- The mean squared error of (x - y) if the average of all elements in (x - y)<br>\n", " is negative, or<br>\n", "- The sum of absolute value of all elements in the tensor (x - y) otherwise.<br>\n", "Hint: see the Huber loss function in the lecture slides 3.<br>" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def task_1h_np(x, y):\n", " average = np.mean(x - y)\n", " mse = np.mean((x - y) ** 2)\n", " asum = np.sum(np.abs(x - y))\n", " return mse if average < 0 else asum" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
egentry/lamat-2016-solutions
day5/orbits.ipynb
1
128920
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/egentry/anaconda/lib/python3.4/site-packages/IPython/kernel/__init__.py:13: ShimWarning: The `IPython.kernel` package has been deprecated. You should import from ipykernel or jupyter_client instead.\n", " \"You should import from ipykernel or jupyter_client instead.\", ShimWarning)\n" ] } ], "source": [ "%matplotlib inline\n", "\n", "from matplotlib import pyplot as plt\n", "import numpy as np\n", "\n", "from scipy import integrate\n", "\n", "from astropy import constants as const\n", "from astropy import units" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Physics" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "M = const.M_earth.cgs.value\n", "G = const.G.cgs.value\n", "R = const.R_earth.cgs.value\n", "\n", "def force(r_vector):\n", " \"\"\"\n", " Calculates force per unit mass on a satellite in orbit around the earth.\n", " \n", " Assumes the earth is at the origin\n", " \n", " Parameters\n", " ----------\n", " r_vector : np.array (Nx2)\n", " position of satellite\n", " \n", " Returns\n", " -------\n", " f : np.array (Nx2)\n", " force per unit mass\n", " \"\"\"\n", " r_scalar = np.sqrt((r_vector**2).sum())\n", " f_magnitude = G * M / r_scalar**3\n", " f = -(G * M / r_scalar**3) * r_vector\n", " return f\n", "\n", "def dw_dt(w, t):\n", " x, y, v_x, v_y = w\n", " \n", " f = force(w[0:2])\n", " dw_dt = np.array([v_x, v_y, f[0], f[1]])\n", " \n", " return dw_dt\n", " \n", "\n", "def energy(x, y, v_x, v_y):\n", " kinetic = 0.5 * ( v_x**2 + v_y**2 )\n", " r = np.sqrt(x**2 + y**2)\n", " potential = - G * M / r\n", " \n", " total = kinetic + potential\n", " return total" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Initial Conditions" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "r_0 = 1.1 * R\n", "Omega_0 = np.sqrt(G * M / r_0**3)\n", "v_0 = r_0 * Omega_0\n", "\n", "P_0 = 2 * np.pi / Omega_0\n", "\n", "w_0 = [r_0, 0, 0, v_0]\n", "\n", "times = np.linspace(0, 10 * P_0, num=10000)\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Euler's Method" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x108b2cf28>" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYoAAAEQCAYAAACugzM1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108a440b8>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nZmZWtv8PkxXyrdQ9/k4AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108a44080>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "ws = np.empty((len(times), 4))\n", "\n", "\n", "ws[0] = w_0\n", "\n", "for i in range(len(times)-1):\n", " dt = times[i+1] - times[i]\n", " dw = dt * dw_dt(ws[i], times[i])\n", " ws[i+1] = ws[i] + dw\n", "ws = ws.T\n", " \n", "\n", "plt.plot(ws[0] / r_0, ws[1] / r_0)\n", "plt.axis(\"equal\")\n", "plt.scatter(0,0, marker=\"+\", s=200, color=\"r\")\n", "plt.xlabel(\"x / r_0\")\n", "plt.ylabel(\"y / r_0\")\n", "\n", "\n", "plt.figure()\n", "energies = energy(ws[0], ws[1], ws[2], ws[3])\n", "plt.plot(times / P_0, (energies / energies[0]) -1)\n", "plt.xlabel(\"orbits\")\n", "plt.ylabel(\"Fractional change in energy (dE / E_0)\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# `odeint`\n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x108aa9780>" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYcAAAEQCAYAAABbfbiFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nvZ8bJHoAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108b38a58>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAbMAAAEPCAYAAADI5SqJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nx1fWc5iOAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108b387f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "ws = integrate.odeint(dw_dt, w_0, times).T\n", "\n", "plt.plot(ws[0] / r_0, ws[1] / r_0)\n", "plt.axis(\"equal\")\n", "plt.scatter(0,0, marker=\"+\", s=200, color=\"r\")\n", "plt.xlabel(\"x / r_0\")\n", "plt.ylabel(\"y / r_0\")\n", "\n", "\n", "plt.figure()\n", "energies = energy(ws[0], ws[1], ws[2], ws[3])\n", "plt.plot(times / P_0, (energies / energies[0]) - 1)\n", "plt.xlabel(\"orbits\")\n", "plt.ylabel(\"Fractional change in energy (dE / E_0)\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Lidov-Kozai" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "M_1 = 1 * const.M_sun.cgs.value\n", "M_2 = .01 * const.M_sun.cgs.value\n", "M_3 = 1 * const.M_sun.cgs.value\n", "\n", "\n", "def force_3_body(w):\n", " r_1 = w[0:3]\n", " r_2 = w[6:9]\n", " r_3 = w[12:15]\n", " \n", " f_21 = G * M_2 * M_1 * (r_2 - r_1) / ((r_2 - r_1)**2).sum()**1.5\n", " \n", " f_31 = G * M_3 * M_1 * (r_3 - r_1) / ((r_3 - r_1)**2).sum()**1.5\n", " \n", " f_32 = G * M_3 * M_2 * (r_3 - r_2) / ((r_3 - r_2)**2).sum()**1.5\n", " \n", " f = np.empty(9)\n", " \n", " f[0:3] = ( f_21 + f_31) / M_1\n", " f[3:6] = (-f_21 + f_32) / M_2\n", " f[6:9] = (-f_31 - f_32) / M_3\n", " \n", " return f\n", "\n", "def dw_dt_3_body(w, t):\n", "\n", " force = force_3_body(w)\n", " \n", " dw_dt = np.empty_like(w)\n", " \n", " dw_dt[ 0: 3] = w[3:6]\n", " dw_dt[ 3: 6] = force[0:3]\n", " dw_dt[ 6: 9] = w[9:12]\n", " dw_dt[ 9:12] = force[3:6]\n", " dw_dt[12:15] = w[15:18]\n", " dw_dt[15:18] = force[6:9]\n", " \n", " return dw_dt\n", "\n", "\n", "\n", "\n", "times_3_body = np.linspace(0, 3000 * units.yr.to(units.s), num=1e5)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x_1 = 0\n", "y_1 = 0\n", "z_1 = 0\n", "v_x_1 = 0\n", "v_y_1 = 0\n", "v_z_1 = 0\n", "\n", "x_2 = 0\n", "y_2 = .178 * units.AU.to(units.cm)\n", "z_2 = .138 * units.AU.to(units.cm)\n", "v_x_2 = 0\n", "v_y_2 = -17.7 * units.AU.to(units.cm) / units.yr.to(units.s)\n", "v_z_2 = 0\n", "\n", "x_3 = 100 * units.AU.to(units.cm)\n", "y_3 = 0\n", "z_3 = 0\n", "v_x_3 = 0\n", "v_y_3 = 0.889 * units.AU.to(units.cm) / units.yr.to(units.s)\n", "v_z_3 = 0\n", "\n", "v_com_x = (M_1*v_x_1 + M_2*v_x_2 + M_3*v_x_3) / (M_1 + M_2 + M_3)\n", "v_com_y = (M_1*v_y_1 + M_2*v_y_2 + M_3*v_y_3) / (M_1 + M_2 + M_3)\n", "v_com_z = (M_1*v_z_1 + M_2*v_z_2 + M_3*v_z_3) / (M_1 + M_2 + M_3)\n", "\n", "com_x = (M_1*x_1 + M_2*x_2 + M_3*x_3) / (M_1 + M_2 + M_3)\n", "com_y = (M_1*y_1 + M_2*y_2 + M_3*y_3) / (M_1 + M_2 + M_3)\n", "com_z = (M_1*z_1 + M_2*z_2 + M_3*z_3) / (M_1 + M_2 + M_3)\n", "\n", "w_0 = np.array([x_1 - com_x, y_1 - com_y, z_1 - com_z, v_x_1 - v_com_x, v_y_1 - v_com_y, v_z_1 - v_com_z,\n", " x_2 - com_x, y_2 - com_y, z_2 - com_z, v_x_2 - v_com_x, v_y_2 - v_com_y, v_z_2 - v_com_z,\n", " x_3 - com_x, y_3 - com_y, z_3 - com_z, v_x_3 - v_com_x, v_y_3 - v_com_y, v_z_3 - v_com_z])\n", "\n", "ws = integrate.odeint(dw_dt_3_body, w_0, times_3_body).T" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def xy_plot(body):\n", " plt.plot(ws[0 + 6*(body-1)] / units.AU.to(units.cm),\n", " ws[1 + 6*(body-1)] / units.AU.to(units.cm))\n", "\n", " plt.xlabel(\"x [AU]\")\n", " plt.ylabel(\"y [AU]\")\n", " plt.axis(\"equal\")\n", " \n", "\n", "def yz_plot(body):\n", " plt.plot(ws[1 + 6*(body-1)] / units.AU.to(units.cm),\n", " ws[2 + 6*(body-1)] / units.AU.to(units.cm))\n", "\n", " plt.xlabel(\"x [AU]\")\n", " plt.ylabel(\"y [AU]\")\n", " plt.axis(\"equal\")\n", " \n", " \n", "def xz_plot(body):\n", " plt.plot(ws[1 + 6*(body-1)] / units.AU.to(units.cm),\n", " ws[2 + 6*(body-1)] / units.AU.to(units.cm))\n", "\n", " plt.xlabel(\"x [AU]\")\n", " plt.ylabel(\"y [AU]\")\n", " plt.axis(\"equal\")" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYMAAAEPCAYAAACgFqixAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngYiIoGQgIiIoGYiICPD/shTTg/7pMD4AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1089e50b8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "body = 1\n", "\n", "xy_plot(body)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYMAAAEPCAYAAACgFqixAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108ad5978>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "body = 2\n", "\n", "xy_plot(body)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYwAAAEKCAYAAAAB0GKPAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nDyroBBPxjoV2AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108a182b0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "body = 3\n", "\n", "xy_plot(body)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
WendyMawanli/liupengyuan.github.io
chapter2/homework/computer/4-12/201611680777(4.12).ipynb
27
2128
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "n=int(input('请输入整数个数'))\n", "i=0\n", "total=0\n", "for i in range(n):\n", " x=int(input())\n", " total=total+x\n", " i+=1\n", "print(total)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "i=1\n", "print('当输入0时表示最后一次输入')\n", "for i in range(100000):\n", " i=int(input())\n", " if i==0:\n", " break\n", "print(i)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def f(a,b):\n", " import math\n", " m=(a[0]*b[0]+a[1]*b[1])/(math.sqrt(a[0]**2+a[1]**2)*math.sqrt(b[0]**2+b[1]**2))\n", " return(m)\n", "x=float(input())\n", "y=float(input())\n", "a=(x,y)\n", "p=float(input())\n", "q=float(input())\n", "b=(p,q)\n", "al=list(a)\n", "bl=list(b)\n", "m=f(al,bl)\n", "print(m)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x=5\n", "y=5\n", "z=5\n", "i=0\n", "for x in range(5,90):\n", " for y in range(5,90):\n", " for z in range(5,90):\n", " if x+y+z==100:\n", " i+=1\n", " z+=1\n", " y+=1\n", " z=5\n", " x+=1\n", " y=5\n", "print(i)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
mne-tools/mne-tools.github.io
0.15/_downloads/plot_interpolate_bad_channels.ipynb
1
2308
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "execution_count": null, "cell_type": "code", "source": [ "%matplotlib inline" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "\n=============================================\nInterpolate bad channels for MEG/EEG channels\n=============================================\n\nThis example shows how to interpolate bad MEG/EEG channels\n\n - Using spherical splines as described in [1]_ for EEG data.\n - Using field interpolation for MEG data.\n\nThe bad channels will still be marked as bad. Only the data in those channels\nis removed.\n\nReferences\n----------\n.. [1] Perrin, F., Pernier, J., Bertrand, O. and Echallier, JF. (1989)\n Spherical splines for scalp potential and current density mapping.\n Electroencephalography and Clinical Neurophysiology, Feb; 72(2):184-7.\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Authors: Denis A. Engemann <[email protected]>\n# Mainak Jas <[email protected]>\n#\n# License: BSD (3-clause)\n\nimport mne\nfrom mne.datasets import sample\n\nprint(__doc__)\n\ndata_path = sample.data_path()\n\nfname = data_path + '/MEG/sample/sample_audvis-ave.fif'\nevoked = mne.read_evokeds(fname, condition='Left Auditory',\n baseline=(None, 0))\n\n# plot with bads\nevoked.plot(exclude=[])\n\n# compute interpolation (also works with Raw and Epochs objects)\nevoked.interpolate_bads(reset_bads=False)\n\n# plot interpolated (previous bads)\nevoked.plot(exclude=[])" ], "outputs": [], "metadata": { "collapsed": false } } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.14", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
bsd-3-clause
rickiepark/tfk-notebooks
hackers-guide-to-neural-networks/sigmoid_graph.ipynb
1
24690
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Using matplotlib backend: MacOSX\n", "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "source": [ "%pylab\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2016-09-11T20:35:20\n", "\n", "CPython 3.5.2\n", "IPython 5.0.0\n", "\n", "compiler : GCC 4.2.1 Compatible Apple LLVM 4.2 (clang-425.0.28)\n", "system : Darwin\n", "release : 15.6.0\n", "machine : x86_64\n", "processor : i386\n", "CPU cores : 8\n", "interpreter: 64bit\n" ] } ], "source": [ "%load_ext watermark\n", "%watermark" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x = np.arange(-10., 10., 0.1)\n", "y = 1 / (1 + np.exp(-x))" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYYAAAEOCAYAAACNY7BQAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSB0KBhERqeP/A0txnTFqjuuPAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10cea5eb8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.ylabel('Y')\n", "plt.ylim([0, 1.006])\n", "plt.xlabel('X')\n", "plt.plot(x, y)\n", "plt.axhline(0.5, color='red')\n", "plt.axvline(0, color='red')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dy = y * (1 - y)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYwAAAEKCAYAAAAB0GKPAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10cea5b38>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.ylabel('dy')\n", "plt.ylim([0, 0.27])\n", "plt.xlabel('X')\n", "plt.plot(x, dy)\n", "plt.axvline(0, color='red')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
folivetti/BIGDATA
Spark/Lab04.ipynb
2
13834
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "![CMCC](http://cmcc.ufabc.edu.br/images/logo_site.jpg)\n", "\n", "# **Lab 5b - k-Means para Quantização de Atributos**\n", "\n", "#### Os algoritmos de agrupamento de dados, além de serem utilizados em análise exploratória para extrair padrões de similaridade entre os objetos, pode ser utilizado para compactar o espaço de dados.\n", "\n", "#### Neste notebook vamos utilizar nossa base de dados de Sentiment Movie Reviews para os experimentos. Primeiro iremos utilizar a técnica word2vec que aprende uma transformação dos tokens de uma base em um vetor de atributos. Em seguida, utilizaremos o algoritmo k-Means para compactar a informação desses atributos e projetar cada objeto em um espaço de atributos de tamanho fixo.\n", "\n", "#### As células-exercícios iniciam com o comentário `# EXERCICIO` e os códigos a serem completados estão marcados pelos comentários `<COMPLETAR>`.\n", "\n", "#### ** Nesse notebook: **\n", "#### *Parte 1:* Word2Vec\n", "#### *Parte 2:* k-Means para quantizar os atributos\n", "#### *Parte 3:* Aplicando um k-NN" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **Parte 0: Preliminares**\n", "\n", "#### Para este notebook utilizaremos a base de dados Movie Reviews que será utilizada para o segundo projeto.\n", "\n", "#### A base de dados tem os campos separados por '\\t' e o seguinte formato:\n", " `\"id da frase\",\"id da sentença\",\"Frase\",\"Sentimento\"`\n", "\n", "#### Para esse laboratório utilizaremos apenas o campo \"Frase\"." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os\n", "import numpy as np\n", "\n", "def parseRDD(point):\n", " \"\"\" Parser for the current dataset. It receives a data point and return\n", " a sentence (third field).\n", " Args:\n", " point (str): input data point\n", " Returns:\n", " str: a string\n", " \"\"\" \n", " data = point.split('\\t')\n", " return (int(data[0]),data[2])\n", "\n", "def notempty(point):\n", " \"\"\" Returns whether the point string is not empty\n", " Args:\n", " point (str): input string\n", " Returns:\n", " bool: True if it is not empty\n", " \"\"\" \n", " return len(point[1])>0\n", "\n", "filename = os.path.join(\"Data\",\"MovieReviews2.tsv\")\n", "rawRDD = sc.textFile(filename,100)\n", "header = rawRDD.take(1)[0]\n", "\n", "dataRDD = (rawRDD\n", " #.sample(False, 0.1, seed=42)\n", " .filter(lambda x: x!=header)\n", " .map(parseRDD)\n", " .filter(notempty)\n", " #.sample( False, 0.1, 42 )\n", " )\n", "\n", "print ('Read {} lines'.format(dataRDD.count()))\n", "print ('Sample line: {}'.format(dataRDD.takeSample(False, 1)[0]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **Parte 1: Word2Vec**\n", "\n", "#### A técnica [word2vec][word2vec] aprende através de uma rede neural semântica uma representação vetorial de cada token em um corpus de tal forma que palavras semanticamente similares sejam similares na representação vetorial.\n", "\n", "#### O PySpark contém uma implementação dessa técnica, para aplicá-la basta passar um RDD em que cada objeto representa um documento e cada documento é representado por uma lista de tokens na ordem em que aparecem originalmente no corpus. Após o processo de treinamento, podemos transformar um token utilizando o método [`transform`](https://spark.apache.org/docs/latest/api/python/pyspark.mllib.html#module-pyspark.mllib.feature) para transformar cada token em uma representaçã vetorial.\n", "\n", "#### Nesse ponto, cada objeto de nossa base será representada por uma matriz de tamanho variável.\n", "\n", "[word2vec]: https://code.google.com/p/word2vec/" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **(1a) Gerando RDD de tokens**\n", "\n", "#### Utilize a função de tokenização `tokenize` do Lab4d para gerar uma RDD `wordsRDD` contendo listas de tokens da nossa base original." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# EXERCICIO\n", "import re\n", "\n", "split_regex = r'\\W+'\n", "\n", "stopfile = os.path.join(\"Data\",\"stopwords.txt\")\n", "stopwords = set(sc.textFile(stopfile).collect())\n", "\n", "def tokenize(string):\n", " \"\"\" An implementation of input string tokenization that excludes stopwords\n", " Args:\n", " string (str): input string\n", " Returns:\n", " list: a list of tokens without stopwords\n", " \"\"\"\n", " return <COMPLETAR>\n", "\n", "wordsRDD = dataRDD.map(lambda x: tokenize(x[1]))\n", "\n", "print (wordsRDD.take(1)[0])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# TEST Tokenize a String (1a)\n", "assert wordsRDD.take(1)[0]==[u'quiet', u'introspective', u'entertaining', u'independent', u'worth', u'seeking'], 'lista incorreta!'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **(1b) Aplicando transformação word2vec**\n", "\n", "#### Crie um modelo word2vec aplicando o método `fit` na RDD criada no exercício anterior.\n", "\n", "#### Para aplicar esse método deve ser fazer um pipeline de métodos, primeiro executando `Word2Vec()`, em seguida aplicando o método `setVectorSize()` com o tamanho que queremos para nosso vetor (utilize tamanho 5), seguido de `setSeed()` para a semente aleatória, em caso de experimentos controlados (utilizaremos 42) e, finalmente, `fit()` com nossa `wordsRDD` como parâmetro." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# EXERCICIO\n", "from pyspark.mllib.feature import Word2Vec\n", "\n", "model = Word2Vec().<COMPLETAR>\n", "\n", "print (model.transform(u'entertaining'))\n", "print (list(model.findSynonyms(u'entertaining', 2)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dist = np.abs(model.transform(u'entertaining')-np.array([0.0136831374839,0.00371457682922,-0.135785803199,0.047585401684,0.0414853096008])).mean()\n", "assert dist<1e-6, 'valores incorretos'\n", "assert list(model.findSynonyms(u'entertaining', 1))[0][0] == 'god', 'valores incorretos'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **(1c) Gerando uma RDD de matrizes**\n", "\n", "#### Como primeiro passo, precisamos gerar um dicionário em que a chave são as palavras e o valor é o vetor representativo dessa palavra.\n", "\n", "#### Para isso vamos primeiro gerar uma lista `uniqueWords` contendo as palavras únicas do RDD words, removendo aquelas que aparecem menos do que 5 vezes [$^1$](#1). Em seguida, criaremos um dicionário `w2v` que a chave é um token e o valor é um `np.array` do vetor transformado daquele token[$^2$](#2).\n", "\n", "#### Finalmente, vamos criar uma RDD chamada `vectorsRDD` em que cada registro é representado por uma matriz onde cada linha representa uma palavra transformada.\n", "\n", "##### 1\n", "Na versão 1.3 do PySpark o modelo Word2Vec utiliza apenas os tokens que aparecem mais do que 5 vezes no corpus, na versão 1.4 isso é parametrizado.\n", "\n", "##### 2\n", "Na versão 1.4 do PySpark isso pode ser feito utilizando o método `getVectors()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# EXERCICIO\n", "uniqueWords = (wordsRDD\n", " .<COMPLETAR>\n", " .<COMPLETAR>\n", " .<COMPLETAR>\n", " .<COMPLETAR>\n", " .collect()\n", " )\n", "\n", "print ('{} tokens únicos'.format(len(uniqueWords)))\n", "\n", "w2v = {}\n", "for w in uniqueWords:\n", " w2v[w] = <COMPLETAR>\n", "w2vb = sc.broadcast(w2v) # acesse como w2vb.value[w] \n", "print ('Vetor entertaining: {}'.format( w2v[u'entertaining']))\n", "\n", "vectorsRDD = (wordsRDD\n", " .<COMPLETAR>\n", " )\n", "recs = vectorsRDD.take(2)\n", "firstRec, secondRec = recs[0], recs[1]\n", "print (firstRec.shape, secondRec.shape)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# TEST Tokenizing the small datasets (1c)\n", "assert len(uniqueWords) == 3388, 'valor incorreto'\n", "assert np.mean(np.abs(w2v[u'entertaining']-[0.0136831374839,0.00371457682922,-0.135785803199,0.047585401684,0.0414853096008]))<1e-6,'valor incorreto'\n", "assert secondRec.shape == (10,5)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### **Parte 2: k-Means para quantizar os atributos**\n", "\n", "#### Nesse momento é fácil perceber que não podemos aplicar nossas técnicas de aprendizado supervisionado nessa base de dados:\n", "\n", " * #### A regressão logística requer um vetor de tamanho fixo representando cada objeto\n", " * #### O k-NN necessita uma forma clara de comparação entre dois objetos, que métrica de similaridade devemos aplicar?\n", " \n", "#### Para resolver essa situação, vamos executar uma nova transformação em nossa RDD. Primeiro vamos aproveitar o fato de que dois tokens com significado similar são mapeados em vetores similares, para agrupá-los em um atributo único.\n", "\n", "#### Ao aplicarmos o k-Means nesse conjunto de vetores, podemos criar $k$ pontos representativos e, para cada documento, gerar um histograma de contagem de tokens nos clusters gerados." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### **(2a) Agrupando os vetores e criando centros representativos**\n", "\n", "#### Como primeiro passo vamos gerar um RDD com os valores do dicionário `w2v`. Em seguida, aplicaremos o algoritmo `k-Means` com $k = 200$ e $seed = 42$." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# EXERCICIO\n", "from pyspark.mllib.clustering import KMeans\n", "\n", "vectors2RDD = sc.parallelize(np.array(list(w2v.values())),1)\n", "print ('Sample vector: {}'.format(vectors2RDD.take(1)))\n", "\n", "modelK = KMeans.<COMPLETAR>\n", "\n", "clustersRDD = vectors2RDD.<COMPLETAR>\n", "print ('10 first clusters allocation: {}'.format(clustersRDD.take(10)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# TEST Amazon record with the most tokens (1d)\n", "assert clustersRDD.take(10)==[142, 83, 42, 0, 87, 52, 190, 17, 56, 0], 'valor incorreto'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### **(2b) Transformando matriz de dados em vetores quantizados**\n", "\n", "#### O próximo passo consiste em transformar nosso RDD de frases em um RDD de pares (id, vetor quantizado). Para isso vamos criar uma função quantizador que receberá como parâmetros o objeto, o modelo de k-means, o valor de k e o dicionário word2vec.\n", "\n", "#### Para cada ponto, vamos separar o id e aplicar a função `tokenize` na string. Em seguida, transformamos a lista de tokens em uma matriz word2vec. Finalmente, aplicamos cada vetor dessa matriz no modelo de k-Means, gerando um vetor de tamanho $k$ em que cada posição $i$ indica quantos tokens pertencem ao cluster $i$." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# EXERCICIO\n", "def quantizador(point, model, k, w2v):\n", " key = <COMPLETAR>\n", " words = <COMPLETAR>\n", " matrix = np.array( <COMPLETAR> )\n", " features = np.zeros(k)\n", " for v in matrix:\n", " c = <COMPLETAR>\n", " features[c] += 1\n", " return (key, features)\n", " \n", "quantRDD = dataRDD.map(lambda x: quantizador(x, modelK, 500, w2v))\n", "\n", "print quantRDD.take(1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# TEST Implement a TF function (2a)\n", "assert quantRDD.take(1)[0][1].sum() == 5, 'valores incorretos'" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
vadim-ivlev/STUDY
handson-data-science-python/DataScience-Python3/.ipynb_checkpoints/histograms.ipynb-checkpoint.ipynb
1
9324
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "#### New to Plotly?\n", "Plotly's Python library is free and open source! [Get started](https://plot.ly/python/getting-started/) by downloading the client and [reading the primer](https://plot.ly/python/getting-started/).\n", "<br>You can set up Plotly to work in [online](https://plot.ly/python/getting-started/#initialization-for-online-plotting) or [offline](https://plot.ly/python/getting-started/#initialization-for-offline-plotting) mode, or in [jupyter notebooks](https://plot.ly/python/getting-started/#start-plotting-online).\n", "<br>We also have a quick-reference [cheatsheet](https://images.plot.ly/plotly-documentation/images/python_cheat_sheet.pdf) (new!) to help you get started!\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Version Check\n", "Note: Histograms are available in version <b>1.9.12+</b><br>\n", "Run `pip install plotly --upgrade` to update your Plotly version" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "'2.2.2'" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly\n", "plotly.__version__" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Basic Histogram ###" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe id=\"igraph\" scrolling=\"no\" style=\"border:none;\" seamless=\"seamless\" src=\"https://plot.ly/~kevintest/1162.embed\" height=\"525px\" width=\"100%\"></iframe>" ], "text/plain": [ "<plotly.tools.PlotlyDisplay object>" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly.plotly as py\n", "import plotly.graph_objs as go\n", "\n", "import numpy as np\n", "x = np.random.randn(500)\n", "\n", "data = [\n", " go.Histogram(\n", " x=x\n", " )\n", "]\n", "py.iplot(data)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Normalized Histogram" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe id=\"igraph\" scrolling=\"no\" style=\"border:none;\" seamless=\"seamless\" src=\"https://plot.ly/~kevintest/1164.embed\" height=\"525px\" width=\"100%\"></iframe>" ], "text/plain": [ "<plotly.tools.PlotlyDisplay object>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly.plotly as py\n", "import plotly.graph_objs as go\n", "\n", "import numpy as np\n", "x = np.random.randn(500)\n", "\n", "data = [\n", " go.Histogram(\n", " x=x,\n", " histnorm='probability'\n", " )\n", "]\n", "py.iplot(data)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Horizontal Histogram ###" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe id=\"igraph\" scrolling=\"no\" style=\"border:none;\" seamless=\"seamless\" src=\"https://plot.ly/~kevintest/1166.embed\" height=\"525px\" width=\"100%\"></iframe>" ], "text/plain": [ "<plotly.tools.PlotlyDisplay object>" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly.plotly as py\n", "import plotly.graph_objs as go\n", "\n", "import numpy as np\n", "y = np.random.randn(500)\n", "\n", "data = [\n", " go.Histogram(\n", " y=y\n", " )\n", "]\n", "py.iplot(data)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Overlaid Histgram ###" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe id=\"igraph\" scrolling=\"no\" style=\"border:none;\" seamless=\"seamless\" src=\"https://plot.ly/~kevintest/1168.embed\" height=\"525px\" width=\"100%\"></iframe>" ], "text/plain": [ "<plotly.tools.PlotlyDisplay object>" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly.plotly as py\n", "import plotly.graph_objs as go\n", "\n", "import numpy as np\n", "x0 = np.random.randn(500)\n", "x1 = np.random.randn(500)+1\n", "\n", "trace1 = go.Histogram(\n", " x=x0,\n", " opacity=0.75\n", ")\n", "trace2 = go.Histogram(\n", " x=x1,\n", " opacity=0.75\n", ")\n", "data = [trace1, trace2]\n", "layout = go.Layout(\n", " barmode='overlay'\n", ")\n", "fig = go.Figure(data=data, layout=layout)\n", "py.iplot(fig)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Stacked Histograms ### " ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe id=\"igraph\" scrolling=\"no\" style=\"border:none;\" seamless=\"seamless\" src=\"https://plot.ly/~kevintest/1170.embed\" height=\"525px\" width=\"100%\"></iframe>" ], "text/plain": [ "<plotly.tools.PlotlyDisplay object>" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly.plotly as py\n", "import plotly.graph_objs as go\n", "\n", "import numpy as np\n", "x0 = np.random.randn(500)\n", "x1 = np.random.randn(500)+1\n", "\n", "trace1 = go.Histogram(\n", " x=x0\n", ")\n", "trace2 = go.Histogram(\n", " x=x1\n", ")\n", "data = [trace1, trace2]\n", "layout = go.Layout(\n", " barmode='stack'\n", ")\n", "fig = go.Figure(data=data, layout=layout)\n", "py.iplot(fig)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Colored and Styled Histograms ###" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<iframe id=\"igraph\" scrolling=\"no\" style=\"border:none;\" seamless=\"seamless\" src=\"https://plot.ly/~kevintest/1172.embed\" height=\"525px\" width=\"100%\"></iframe>" ], "text/plain": [ "<plotly.tools.PlotlyDisplay object>" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import plotly.plotly as py\n", "import plotly.graph_objs as go\n", "\n", "import numpy as np\n", "x0 = np.random.randn(500)\n", "x1 = np.random.randn(500)+1\n", "\n", "trace1 = go.Histogram(\n", " x=x0,\n", " histnorm='count',\n", " name='control',\n", " autobinx=False,\n", " xbins=dict(\n", " start=-3.2,\n", " end=2.8,\n", " size=0.2\n", " ),\n", " marker=dict(\n", " color='fuchsia',\n", " line=dict(\n", " color='grey',\n", " width=0\n", " )\n", " ),\n", " opacity=0.75\n", ")\n", "trace2 = go.Histogram(\n", " x=x1,\n", " name='experimental',\n", " autobinx=False,\n", " xbins=dict(\n", " start=-1.8,\n", " end=4.2,\n", " size=0.2\n", " ),\n", " marker=dict(\n", " color='rgb(255, 217, 102)'\n", " ),\n", " opacity=0.75\n", ")\n", "data = [trace1, trace2]\n", "layout = go.Layout(\n", " title='Sampled Results',\n", " xaxis=dict(\n", " title='Value'\n", " ),\n", " yaxis=dict(\n", " title='Count'\n", " ),\n", " barmode='overlay',\n", " bargap=0.25,\n", " bargroupgap=0.3\n", ")\n", "fig = go.Figure(data=data, layout=layout)\n", "py.iplot(fig)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Reference\n", "See https://plot.ly/python/reference/#histogram for more information and chart attribute options!" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
saashimi/code_guild
interactive-coding-challenges/sorting_searching/merge_sort/merge_sort_solution.ipynb
2
5278
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<small><i>This notebook was prepared by [Donne Martin](http://donnemartin.com). Source and license info is on [GitHub](https://github.com/donnemartin/interactive-coding-challenges).</i></small>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Solution Notebook" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Problem: Implement merge sort.\n", "\n", "* [Constraints](#Constraints)\n", "* [Test Cases](#Test-Cases)\n", "* [Algorithm](#Algorithm)\n", "* [Code](#Code)\n", "* [Unit Test](#Unit-Test)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Constraints\n", "\n", "* Is a naiive solution sufficient?\n", " * Yes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Test Cases\n", "\n", "* Empty input -> []\n", "* One element -> [element]\n", "* Two or more elements\n", "* Left and right subarrays of different lengths" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Algorithm\n", "\n", "Wikipedia's animation:\n", "![alt text](http://upload.wikimedia.org/wikipedia/commons/c/cc/Merge-sort-example-300px.gif)\n", "\n", "* Recursively split array into left and right halves\n", "* Merge split arrays\n", " * Using two pointers, one for each half starting at index 0\n", " * Add the smaller element to the result array\n", " * Inrement pointer where smaller element exists\n", " * Copy remaining elements to the result array\n", " * Return result array\n", "\n", "Complexity:\n", "* Time: O(n log(n))\n", "* Space: O(n), stable" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Code" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from __future__ import division\n", "\n", "\n", "def merge(left, right):\n", " l = 0\n", " r = 0\n", " result = []\n", " while l < len(left) and r < len(right):\n", " if left[l] < right[r]:\n", " result.append(left[l])\n", " l += 1\n", " else:\n", " result.append(right[r])\n", " r += 1\n", " \n", " # Copy remaining elements\n", " while l < len(left):\n", " result.append(left[l])\n", " l += 1\n", " while r < len(right):\n", " result.append(right[r])\n", " r += 1\n", " return result\n", "\n", "\n", "def merge_sort(data):\n", " if len(data) < 2:\n", " return data\n", " mid = len(data) // 2\n", " left = data[0:mid]\n", " right = data[mid:len(data)]\n", " left = merge_sort(left)\n", " right = merge_sort(right)\n", " return merge(left, right)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Unit Test\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Overwriting test_merge_sort.py\n" ] } ], "source": [ "%%writefile test_merge_sort.py\n", "from nose.tools import assert_equal\n", "\n", "\n", "class TestMergeSort(object):\n", " def test_merge_sort(self):\n", " print('Empty input')\n", " data = []\n", " sorted_data = merge_sort(data)\n", " assert_equal(sorted_data, [])\n", "\n", " print('One element')\n", " data = [5]\n", " sorted_data = merge_sort(data)\n", " assert_equal(sorted_data, [5])\n", "\n", " print('Two or more elements')\n", " data = [5, 1, 7, 2, 6, -3, 5, 7, -1]\n", " sorted_data = merge_sort(data)\n", " assert_equal(sorted_data, sorted(data))\n", "\n", " print('Success: test_merge_sort')\n", "\n", "\n", "def main():\n", " test = TestMergeSort()\n", " test.test_merge_sort()\n", "\n", "\n", "if __name__ == '__main__':\n", " main()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Empty input\n", "One element\n", "Two or more elements\n", "Success: test_merge_sort\n" ] } ], "source": [ "%run -i test_merge_sort.py" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
CarlosGrohmann/scripts_papers
garopaba_als_sfm_tls/v2019/garopaba_als_sfm_tls_jupyter_MonteCarlo.ipynb
1
39702
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "##############################################################################\n", "# Script used in the paper:\n", "# Dune migration and volume change from airborne LiDAR, terrestrial LiDAR \n", "# and Structure from Motion--Multi View Stereo\n", "# by\n", "# Carlos H. Grohmann et al - 2019/2020\n", "# guano (at) usp (dot) br\n", "# Institute of Energy and Environment - University of Sao Paulo\n", "#\n", "# Please check the GitHub repo for the final reference to the paper\n", "##############################################################################" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Monte Carlo analysis of random points\n", "\n", "To compare the elevation of elevation datasets, a few key points must be considered beforehand, such as spatial resolution, presence of voids and number of samples used in the analysis. A direct comparison of the raster datasets on a pixel-by-pixel basis, is not the best approach, since differences in pixel size and presence of voids could affect correlation analysis, and the large number of samples would impact descriptive statistics, goodness-of-fit and error measurements. \n", "\n", "A random sample of elevation values can overcome these issues, but raises the question of how many data points are needed to properly represent the original dataset. To answer this question, a Monte Carlo approach was devised in the following form:\n", "\n", "- the model was run 50 times;\n", "- the number of random points analyzed was n=50, 100, 250, 500, 1000, 2500, 5000 and 10000;\n", "- in each run, *n* random points were created and elevation was extracted from SRTM;\n", "- after 50 runs, correlation was calculated between the first and the 49 subsequent sets of *n* random points;\n", "- a Four Parameter Logistic Regression (4PL) was calculated for the mean value of correlation of each set of *n* random points.\n", "\n", "In order to ensure reproducibility of the analysis, the random seed used to generate points was set to the sequential number of each model run (0,1,2,3,...,49) multiplied by a constant. Results of this approach have shown that for the TLS aurvey area, 1000 random points can be used to describe the elevation of the dataset." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "# 1 - Extract elevation for sets of randon points [50,100,250,500,1000,2000,5000,10000] and then\n", "# calculate stats for each. \n", "\n", "# MonteCarlo-like analysis:\n", "# 0 - for a series of n random points [50,100,250,500,1000,2000,5000,10000]:\n", "# 1 - get X sets of n random points (rand_n_01, rand_n_02, rand_n_03,...) - sorted\n", "# 2 - calculate correlation between first set and all others\n", "# 3 - put data in a table and plot the results\n", "# 4 - make plot off all values (X = n_points, Y = correlation)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "# import python libraries\n", "import sys, os, itertools\n", "import numpy as np\n", "import scipy.stats as ss\n", "import matplotlib.pyplot as plt\n", "import matplotlib as mpl\n", "import pandas as pd\n", "import seaborn as sns\n", "import subprocess\n", "from IPython.display import Image # can use this to display GRASS maps\n", "# stats\n", "from statsmodels.graphics.gofplots import qqplot\n", "from scipy.stats import linregress\n", "from scipy.optimize import leastsq" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "# helper func: delete all *random maps\n", "def clean_rand():\n", " grass.run_command('g.remove', type='vector', pattern='*random*', flags='f')" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "# helper func: round to nearest 5\n", "def round5(x):\n", " rounded = int(round(x/5.0)*5.0)\n", " return rounded" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "# aux func: sample DEMs elevations\n", "# requires dbsae connection in GRASS to be SQLITE\n", "def sample_dems_mc(dem, n_points, mc_run, ow_vector, ow_what, vmask):\n", " ''' create random points for selected tile and sample the elevation values \n", " simplified version of sample_dems tailored for MonteCarlo-like analysis\n", " dem = raster map (elevation)\n", " n_points = number of random points\n", " mc_run = number of times a set of random pints will be created \n", " ow_vector = should vector maps be overwritten?\n", " ow_what = re-run v.what.rast ? \n", " vmask = vector mask to restrict points\n", "\n", " note: to keep random points really randon and yet ensure reproducibility,\n", " random seed is set to the value of mc_run * 42'''\n", " \n", " grass.run_command('g.region', raster=dem, flags='a')\n", " \n", " # random points \n", " vector_name = dem.split('_')[0] +'_random_' + str(n_points) + '_' + str(mc_run).zfill(2)\n", " grass.run_command('v.random', output=vector_name, npoints=n_points, restrict=vmask, seed=mc_run*42, quiet=True, overwrite=ow_vector) \n", " rand_col = 'rand_' + str(n_points) + '_' + str(mc_run)\n", " grass.run_command('v.db.addtable', map=vector_name, columns=rand_col+' double precision', quiet=True, overwrite=ow_vector)\n", " \n", " # sample raster map - force if overwrite vector is true\n", " if ow_vector or ow_what:\n", " grass.run_command('v.what.rast', map=vector_name, raster=dem, column=rand_col, quiet=True)\n", " \n", " # export as ascii and read into python\n", " xyz = grass.read_command('v.out.ascii', input=vector_name, type='point', format='point', columns=rand_col, overwrite=True)\n", " elev_list = [float(attr.split('|')[3]) if attr.split('|')[3] != '' else None for attr in xyz.split('\\n')[:-1]]\n", " elev = np.asarray(elev_list, dtype=np.float64)\n", " \n", " return elev" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "# aux func: fits a 4PL curve to mean of correlation values\n", "# plots and funcs from http://people.duke.edu/~ccc14/pcfb/analysis.html\n", "def logistic4(x, A, B, C, D):\n", " ''' 4PL logistic equation ''' \n", " return ((A-D)/(1.0+((x/C)**B))) + D\n", "\n", "def residuals(p, y, x):\n", " ''' Deviations of data from fitted 4PL curve ''' \n", " A,B,C,D = p\n", " err = y-logistic4(x, A, B, C, D)\n", " return err\n", "\n", "def peval(x, p):\n", " ''' Evaluated value at x with current parameters ''' \n", " A,B,C,D = p\n", " return logistic4(x, A, B, C, D)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "# matplotlib figures appear inline in the notebook rather than in a new window.\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "# create GRASS GIS runtime environment\n", "# with this, you can run GRASS without startig a shell/gui session\n", "gisbase = subprocess.check_output([\"grass76\", \"--config\", \"path\"]).strip()\n", "os.environ['GISBASE'] = gisbase\n", "sys.path.append(os.path.join(gisbase, \"etc\", \"python\"))\n", "\n", "# GRASS GIS imports\n", "import grass.script as grass\n", "import grass.script.setup as gsetup\n", "import grass.script.array as garray\n", "import grass.script.vector as gvect" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [], "source": [ "# set GRASS GIS session data\n", "# I use two systems, so this makes things a bit easier\n", "if sys.platform == \"linux\" or sys.platform == \"linux2\":\n", " rcfile = gsetup.init(gisbase, \"/mnt/sda/grassdata/\", \"utm\", \"garopaba_22J\")\n", "elif sys.platform == \"darwin\":\n", " rcfile = gsetup.init(gisbase, \"/Volumes/MacintoshHD2/grassdata/\", \"utm\", \"garopaba_22J\")\n", "# elif platform == \"win32\":\n", " # Windows...\n", " \n", "# grass.message('Current GRASS GIS 7 environment:')\n", "# print grass.gisenv()" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [], "source": [ "# overwrite for GRASS modules\n", "ow = True" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "# Data dir \n", "# use this to set different paths for different systems\n", "if sys.platform == \"linux\" or sys.platform == \"linux2\":\n", " dataDir = '/mnt/sda/Dropbox/USP/projetosPesquisa/LiDAR_terrestre_SfM/_areas_estudo/garopaba/monteCarlo/'\n", "elif sys.platform == \"darwin\":\n", " dataDir = '/Volumes/MacintoshHD2/Dropbox/USP/projetosPesquisa/LiDAR_terrestre_SfM/_areas_estudo/garopaba/monteCarlo/'\n", "# dataDir = '_path_to_your_files_'\n", "os.chdir(dataDir)\n", "# os.getcwd()" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "# names for the files\n", "method='bilinear'\n", "step = 0.4\n", "dem_tls_10cm = 'tls_rinxyz_mean_10cm_' + method + '_step_' + str(step)\n", "dem_sfm_10cm = 'sfm_rinxyz_mean_10cm_' + method + '_step_' + str(step)\n", "diff_sfm_tls_10cm = 'diff_10cm_sfm_tls'\n", "mask_tls_sfm = 'mask_tls_sfm'" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [], "source": [ "n_random = 50 # n runs \n", "npoints_list = [50,100,250,500,1000,2500,5000,10000]\n", "dem_list = [dem_tls_10cm,dem_sfm_10cm]" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [ "# run monte carlo sampling and save data as csv\n", "for dem,points in itertools.product(dem_list, npoints_list):\n", " df = pd.DataFrame()\n", " file_out = dem + '_rand_MC_' + str(points)\n", " for run in range(n_random):\n", " col_name = 'rand_' + str(points) + '_' + str(run).zfill(2)\n", " elev = sample_dems_mc(dem, points, mc_run=run, ow_vector=True, ow_what=True, vmask=mask_tls_sfm)\n", " df[col_name] = np.sort(elev)\n", " df.to_csv(path_or_buf=file_out+'.csv', na_rep='NaN')" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x104278ad0>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# reads data from csv files and calculates correlation\n", "dem = dem_tls_10cm\n", "avg_corr = []\n", "df_corr = pd.DataFrame()\n", "for points in npoints_list:\n", " csv_file = dem + '_rand_MC_' + str(points) + '.csv'\n", " #\n", " df = pd.read_csv(csv_file, index_col=0)\n", " # correlation of first column[0] with all the others [1:]. \n", " # No need to define column by name\n", " corr = df.corr().iloc[0,1:]\n", " avg_corr.append(corr.mean())\n", " #\n", " # plot correlation values for this set of random points\n", " x_ax = np.empty(n_random -1)\n", " x_ax.fill(points)\n", " plt.plot(x_ax, corr, 'o')\n", " plt.title(dem)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Text(0.5,1,u'tls_rinxyz_mean_10cm_bilinear_step_0.4')" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1134d8910>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# curve fitting for mean of correlation values\n", "# Initial guess for curve fitting parameters\n", "p0 = [1, 1, 1, 1]\n", "# observations\n", "x = npoints_list\n", "y_meas = avg_corr\n", "# least-squares fitting\n", "plsq = leastsq(residuals, p0, args=(y_meas, x)) \n", "equation = 'y = ((A-D)/(1.0+((x/C)**B))) + D' \n", "A = plsq[0][0]\n", "B = plsq[0][1]\n", "C = plsq[0][2]\n", "D = plsq[0][3]\n", "\n", "# sequence of values for curve plotting\n", "xx=np.arange(0,10500,25)\n", "# plot fitted curve\n", "plt.plot(xx, peval(xx,plsq[0]), color='0.5', lw=0.9)\n", "plt.plot(x, y_meas, 'o', color='0.5', ms=5)\n", "plt.title(dem)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [], "source": [ "clean_rand()" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [], "source": [ "# end GRASS GIS session\n", "os.remove(rcfile)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.16" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
openp2pdesign/Makers-Inquiry---Analysis
Q003.ipynb
1
60991
{ "metadata": { "name": "", "signature": "sha256:3e707aae2994ecc9936ce827c21ff4309280b2430103c041980d17bbdbaa5f7d" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Q003 - Qual \u00e9 la tua et\u00e1?" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# -*- coding: UTF-8 -*-\n", "\n", "# Render our plots inline\n", "%matplotlib inline \n", "\n", "import pandas as pd\n", "import matplotlib\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import seaborn\n", "import shutil\n", "\n", "pd.set_option('display.mpl_style', 'default') # Make the graphs a bit prettier, overridden by seaborn\n", "pd.set_option('display.max_columns', None) # Display all the columns\n", "plt.rcParams['font.family'] = 'sans-serif' # Sans Serif fonts for all the graphs\n", "\n", "# Reference for color palettes: http://web.stanford.edu/~mwaskom/software/seaborn/tutorial/color_palettes.html\n", "\n", "# Change the font\n", "matplotlib.rcParams.update({'font.family': 'Source Sans Pro'})" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "# Load csv file first\n", "data = pd.read_csv(\"data/results-makers-40.csv\", encoding=\"utf-8\")" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "# Check data\n", "#data[0:4] # Equals to data.head()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "%%capture output\n", "\n", "# Save the output as a variable that can be saved to a file\n", "#\u00a0Get the distribution of ages\n", "age = data[\"Q003\"].value_counts()\n", "print \"Data:\"\n", "print age\n", "print \"\"\n", "print \"Data %:\"\n", "print data[\"Q003\"].value_counts(normalize=True) * 100\n", "print \"\"\n", "print \"Data: statistics:\"\n", "print data[\"Q003\"].describe()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "# Save+show the output to a text file\n", "%save Q003-Et\u00e1Maker.py str(output)\n", "shutil.move(\"Q003-Et\u00e1Maker.py\", \"text/Q003-Et\u00e1Maker.txt\")" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "The following commands were written to file `Q003-Et\u00e1Maker.py`:\n", "Data:\n", "36 14\n", "31 8\n", "29 8\n", "33 8\n", "40 7\n", "41 7\n", "25 6\n", "35 5\n", "38 5\n", "26 5\n", "45 4\n", "44 4\n", "43 4\n", "28 4\n", "27 4\n", "37 3\n", "30 3\n", "32 3\n", "21 3\n", "39 3\n", "42 3\n", "49 3\n", "34 2\n", "46 2\n", "47 2\n", "52 2\n", "24 2\n", "58 1\n", "48 1\n", "53 1\n", "55 1\n", "56 1\n", "23 1\n", "22 1\n", "60 1\n", "dtype: int64\n", "\n", "Data %:\n", "36 10.447761\n", "31 5.970149\n", "29 5.970149\n", "33 5.970149\n", "40 5.223881\n", "41 5.223881\n", "25 4.477612\n", "35 3.731343\n", "38 3.731343\n", "26 3.731343\n", "45 2.985075\n", "44 2.985075\n", "43 2.985075\n", "28 2.985075\n", "27 2.985075\n", "37 2.238806\n", "30 2.238806\n", "32 2.238806\n", "21 2.238806\n", "39 2.238806\n", "42 2.238806\n", "49 2.238806\n", "34 1.492537\n", "46 1.492537\n", "47 1.492537\n", "52 1.492537\n", "24 1.492537\n", "58 0.746269\n", "48 0.746269\n", "53 0.746269\n", "55 0.746269\n", "56 0.746269\n", "23 0.746269\n", "22 0.746269\n", "60 0.746269\n", "dtype: float64\n", "\n", "Data: statistics:\n", "count 132.000000\n", "mean 35.901515\n", "std 8.243304\n", "min 21.000000\n", "25% 29.000000\n", "50% 36.000000\n", "75% 41.000000\n", "max 60.000000\n", "Name: Q003, dtype: float64\n", "\n" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "# Plot the data 01\n", "plt.figure(figsize=(8,6))\n", "plt.xlabel(u'Et\u00e1', fontsize=16)\n", "plt.ylabel('Persone', fontsize=16)\n", "plt.title(u\"Qual \u00e9 la tua et\u00e1?\", fontsize=18, y=1.02)\n", "my_colors = seaborn.color_palette(\"husl\", len(age)) # Set color palette\n", "age.plot(kind=\"bar\",color=my_colors)\n", "plt.savefig(u\"svg/Q003-Et\u00e1Maker01.svg\")\n", "plt.savefig(u\"png/Q003-Et\u00e1Maker01.png\")\n", "plt.savefig(u\"pdf/Q003-Et\u00e1Maker01.pdf\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe4AAAGfCAYAAACZaTEyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nALAQghsAAAv5/1js4yrHHWliAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10a29d650>" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "# Plot the data 02\n", "\n", "# Reorder value_counts by index (age) natural order\n", "age1 = age.sort_index()\n", "\n", "plt.figure(figsize=(8,6))\n", "plt.title(u'Qual \u00e9 la tua et\u00e1?', fontsize=18, y=1.02)\n", "plt.xlabel(u'Et\u00e1', fontsize=16)\n", "plt.ylabel('Persone', fontsize=16)\n", "\n", "#\u00a0Plot the data\n", "my_colors = seaborn.color_palette(\"husl\", len(age1)) # Set color palette\n", "age1.plot(kind='bar',color=my_colors)\n", "plt.savefig(u\"svg/Q003-Et\u00e1Maker02.svg\")\n", "plt.savefig(u\"png/Q003-Et\u00e1Maker02.png\")\n", "plt.savefig(u\"pdf/Q003-Et\u00e1Maker02.pdf\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe4AAAGfCAYAAACZaTEyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nZ9XTAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x109fb2ad0>" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "#\u00a0Check histogram\n", "plt.figure(figsize=(8,6))\n", "plt.title(u'Qual \u00e9 la tua et\u00e1?', fontsize=18, y=1.02)\n", "plt.xlabel(u'Et\u00e1', fontsize=16)\n", "plt.ylabel('Persone', fontsize=16)\n", "data[\"Q003\"].hist(bins=60)\n", "plt.savefig(u\"svg/Q003-Et\u00e1Maker03.svg\")\n", "plt.savefig(u\"png/Q003-Et\u00e1Maker03.png\")\n", "plt.savefig(u\"pdf/Q003-Et\u00e1Maker03.pdf\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfMAAAGXCAYAAACnarkHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x10a0549d0>" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 } ], "metadata": {} } ] }
gpl-3.0
santanche/java2learn
notebooks/pt/c02oo-java/s02lab/s02acoisa/s01resposta/acoisa-01-basico.ipynb
1
8667
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# A Coisa\n", "\n", "Leia os slides abaixo para entender o contexto da aplicação proposta, que envolve a apresentação de um ser virtual chamado `A Coisa`:\n", "\n", "[![A Coisa](lab-a-coisa.png)](lab-a-coisa.pdf)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# A Coisa\n", "\n", "## Tarefa\n", "\n", "Escreva uma classe `Alongado` que represente A Coisa da espécie Alongado e outra classe `Entremeado` que represente A Coisa da espécie Entremeado. Cada instância (objeto) de ambas as classes representará um único indivíduo, com as seguintes características (para ambas):\n", "* `idade` - número inteiro que inicia com 1 e representa a idade da Coisa;\n", "* `vivo` - indica se a coisa está viva ou morta.\n", "\n", "### Métodos\n", "* `mostrar` - mostra no console um caractere que representa A Coisa; este caractere será diferente conforme a idade e se A Coisa está viva ou não;\n", "* `crescer` - aumenta a idade da coisa e a mostra no console;\n", "* `morrer` - a coisa se torna morta - mostra A Coisa no console.\n", "\n", "### Distribuição de Classes\n", "\n", "Cada classe deve ficar em uma célula diferente, por isso foram alocadas duas células abaixo." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "com.twosigma.beaker.javash.bkre58407f1.Alongado" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "public class Alongado {\n", " int idade;\n", " boolean vivo;\n", " \n", " public Alongado(int idade, boolean vivo) {\n", " this.idade = idade;\n", " this.vivo = vivo;\n", " }\n", "\n", " public void mostrar() {\n", " String saida = \"\";\n", " if (!vivo)\n", " saida = \"#\";\n", " else\n", " switch (idade) {\n", " case 1: saida = \".\"; break;\n", " case 2: saida = \",\"; break; \n", " case 3: saida = \"-\"; break; \n", " default: if (idade >= 4)\n", " saida = \"~\";\n", " break; \n", " }\n", " System.out.println(saida);\n", " }\n", "\n", " public void crescer() {\n", " idade++;\n", " mostrar();\n", " }\n", " \n", " public void morrer() {\n", " vivo = false;\n", " mostrar();\n", " }\n", "}" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "com.twosigma.beaker.javash.bkre58407f1.Entremeado" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "public class Entremeado {\n", " int idade;\n", " boolean vivo;\n", " \n", " public Entremeado(int idade, boolean vivo) {\n", " this.idade = idade;\n", " this.vivo = vivo;\n", " }\n", "\n", " public void mostrar() {\n", " String saida = \"\";\n", " if (!vivo)\n", " saida = \"#\";\n", " else\n", " switch (idade) {\n", " case 1: saida = \".\"; break;\n", " case 2: saida = \"+\"; break; \n", " case 3: saida = \"@\"; break; \n", " default: if (idade >= 4)\n", " saida = \"*\";\n", " break; \n", " }\n", " System.out.println(saida);\n", " }\n", "\n", " public void crescer() {\n", " idade++;\n", " mostrar();\n", " }\n", " \n", " public void morrer() {\n", " vivo = false;\n", " mostrar();\n", " }\n", "}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Tarefa\n", "\n", "Crie quatro objetos instâncias das classes criadas (duas de cada). Cada objeto tem uma idade diferente e está em um estado (morto ou vivo) diferente.\n", "\n", "Mostre as quatro Coisas no console, depois realize sobre elas diferentes operações de crescimento e morte." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ ",\n", "#\n", "@\n", ".\n", "-\n", "#\n", "*\n", "+\n", "#\n", "@\n", "*\n", "*\n" ] }, { "data": { "text/plain": [ "null" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "Alongado a1 = new Alongado(2, true),\n", " a2 = new Alongado(1, false);\n", "Entremeado e1 = new Entremeado(3, true),\n", " e2 = new Entremeado(1, true);\n", "\n", "a1.mostrar();\n", "a2.mostrar();\n", "e1.mostrar();\n", "e2.mostrar();\n", "\n", "a1.crescer();\n", "a2.crescer();\n", "e1.crescer();\n", "e2.crescer();\n", "\n", "e1.morrer();\n", "e2.crescer();\n", "e2.crescer();\n", "e2.crescer();" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Tarefa\n", "\n", "Acrescente na classe `Alongado` um método capaz de clonar o respectivo objeto, ou seja, o método cria uma nova instância (objeto) idêntico com todos os atributos iguais ao original.\n", "\n", "Crie um exemplo que realize demonstre a clonagem. Para verificar que a clonagem foi feita corretamente, acione um método que altere um dos atributos do clone -- por exemplo, `cresce()` -- e mostre os dois objetos novamente no console. Se você fez corretamente, o original não deve ser afetado." ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "com.twosigma.beaker.javash.bkre58407f1.Alongado" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "public class Alongado {\n", " int idade;\n", " boolean vivo;\n", " \n", " public Alongado(int idade, boolean vivo) {\n", " this.idade = idade;\n", " this.vivo = vivo;\n", " }\n", "\n", " public void mostrar() {\n", " String saida = \"\";\n", " if (!vivo)\n", " saida = \"#\";\n", " else\n", " switch (idade) {\n", " case 1: saida = \".\"; break;\n", " case 2: saida = \",\"; break; \n", " case 3: saida = \"-\"; break; \n", " default: if (idade >= 4)\n", " saida = \"~\";\n", " break; \n", " }\n", " System.out.println(saida);\n", " }\n", "\n", " public void crescer() {\n", " idade++;\n", " mostrar();\n", " }\n", " \n", " public void morrer() {\n", " vivo = false;\n", " mostrar();\n", " }\n", "\n", " public Alongado clonar() {\n", " return new Alongado(idade, vivo);\n", " }\n", "}" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ ",\n", "-\n", ",\n", "#\n", "-\n" ] }, { "data": { "text/plain": [ "null" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "Alongado a1 = new Alongado(2, true);\n", "a1.mostrar();\n", "Alongado a2 = a1.clonar();\n", "a1.crescer();\n", "a2.mostrar();\n", "a2.morrer();\n", "a1.mostrar();" ] } ], "metadata": { "kernelspec": { "display_name": "Java", "language": "java", "name": "java" }, "language_info": { "codemirror_mode": "text/x-java", "file_extension": ".java", "mimetype": "", "name": "Java", "nbconverter_exporter": "", "version": "11.0.6" } }, "nbformat": 4, "nbformat_minor": 4 }
gpl-2.0
mysticPrince/hcpf
algos/plot_graphs.ipynb
1
106796
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x_5 = np.loadtxt('../results/movielens/hcpf_ndcg_5.txt')\n", "x_10 = np.loadtxt('../results/movielens/hcpf_ndcg_10.txt')\n", "x_20 = np.loadtxt('../results/movielens/hcpf_ndcg_20.txt')\n", "x_50 = np.loadtxt('../results/movielens/hcpf_ndcg_50.txt')\n", "x_100 = np.loadtxt('../results/movielens/hcpf_ndcg_100.txt')" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtoAAAF3CAYAAACbhOyeAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fe71d3fe1d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(figsize=(12,6))\n", "plt.xlabel(\"Iterations/1000\")\n", "plt.ylabel(\"NCDG\")\n", "plt.plot(x_5,'black',label=\"K=5\")\n", "plt.plot(x_10,'green',label=\"K=10\")\n", "plt.plot(x_20,'red',label=\"K=20\")\n", "plt.plot(x_50,'blue',label=\"K=50\")\n", "plt.plot(x_100,'orange',label=\"K=100\")\n", "plt.legend(loc=\"lower right\")\n", "plt.grid()\n", "plt.savefig('x_matrix.png')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x_5 = np.loadtxt('../results/movielens/hcpf_mae_5.txt')\n", "x_10 = np.loadtxt('../results/movielens/hcpf_mae_10.txt')\n", "x_20 = np.loadtxt('../results/movielens/hcpf_mae_20.txt')\n", "# x_50 = np.loadtxt('../results/movielens/hcpf_mae_50.txt')\n", "# x_100 = np.loadtxt('../results/movielens/hcpf_mae_100.txt')" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtoAAAF3CAYAAACbhOyeAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fe71d1c9650>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(figsize=(12,6))\n", "plt.xlabel(\"Iterations/1000\")\n", "plt.ylabel(\"MAE\")\n", "plt.plot(x_5,'black',label=\"K=5\")\n", "plt.plot(x_10,'green',label=\"K=10\")\n", "plt.plot(x_20,'red',label=\"K=20\")\n", "# plt.plot(x_50,'blue',label=\"K=50\")\n", "# plt.plot(x_100,'orange',label=\"K=100\")\n", "plt.legend(loc=\"lower right\")\n", "plt.grid()\n", "plt.savefig('x_matrix.png')\n", "plt.show()" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda env:bml]", "language": "python", "name": "conda-env-bml-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
albahnsen/ML_RiskManagement
exercises/05-creditscoring_cross_validation.ipynb
1
10178
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 05\n", "\n", "## Data preparation and model evaluation exercise with credit scoring\n", "\n", "Banks play a crucial role in market economies. They decide who can get finance and on what terms and can make or break investment decisions. For markets and society to function, individuals and companies need access to credit. \n", "\n", "Credit scoring algorithms, which make a guess at the probability of default, are the method banks use to determine whether or not a loan should be granted. This competition requires participants to improve on the state of the art in credit scoring, by predicting the probability that somebody will experience financial distress in the next two years. [Dataset](https://www.kaggle.com/c/GiveMeSomeCredit)\n", "\n", "Attribute Information:\n", "\n", "|Variable Name\t|\tDescription\t|\tType|\n", "|----|----|----|\n", "|SeriousDlqin2yrs\t|\tPerson experienced 90 days past due delinquency or worse \t|\tY/N|\n", "|RevolvingUtilizationOfUnsecuredLines\t|\tTotal balance on credit divided by the sum of credit limits\t|\tpercentage|\n", "|age\t|\tAge of borrower in years\t|\tinteger|\n", "|NumberOfTime30-59DaysPastDueNotWorse\t|\tNumber of times borrower has been 30-59 days past due |\tinteger|\n", "|DebtRatio\t|\tMonthly debt payments\t|\tpercentage|\n", "|MonthlyIncome\t|\tMonthly income\t|\treal|\n", "|NumberOfOpenCreditLinesAndLoans\t|\tNumber of Open loans |\tinteger|\n", "|NumberOfTimes90DaysLate\t|\tNumber of times borrower has been 90 days or more past due.\t|\tinteger|\n", "|NumberRealEstateLoansOrLines\t|\tNumber of mortgage and real estate loans\t|\tinteger|\n", "|NumberOfTime60-89DaysPastDueNotWorse\t|\tNumber of times borrower has been 60-89 days past due |integer|\n", "|NumberOfDependents\t|\tNumber of dependents in family\t|\tinteger|\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Read the data into Pandas" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Unnamed: 0</th>\n", " <th>SeriousDlqin2yrs</th>\n", " <th>RevolvingUtilizationOfUnsecuredLines</th>\n", " <th>age</th>\n", " <th>NumberOfTime30-59DaysPastDueNotWorse</th>\n", " <th>DebtRatio</th>\n", " <th>MonthlyIncome</th>\n", " <th>NumberOfOpenCreditLinesAndLoans</th>\n", " <th>NumberOfTimes90DaysLate</th>\n", " <th>NumberRealEstateLoansOrLines</th>\n", " <th>NumberOfTime60-89DaysPastDueNotWorse</th>\n", " <th>NumberOfDependents</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0.766127</td>\n", " <td>45.0</td>\n", " <td>2.0</td>\n", " <td>0.802982</td>\n", " <td>9120.0</td>\n", " <td>13.0</td>\n", " <td>0.0</td>\n", " <td>6.0</td>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0.957151</td>\n", " <td>40.0</td>\n", " <td>0.0</td>\n", " <td>0.121876</td>\n", " <td>2600.0</td>\n", " <td>4.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>0.658180</td>\n", " <td>38.0</td>\n", " <td>1.0</td>\n", " <td>0.085113</td>\n", " <td>3042.0</td>\n", " <td>2.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>0.233810</td>\n", " <td>30.0</td>\n", " <td>0.0</td>\n", " <td>0.036050</td>\n", " <td>3300.0</td>\n", " <td>5.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4</td>\n", " <td>0</td>\n", " <td>0.907239</td>\n", " <td>49.0</td>\n", " <td>1.0</td>\n", " <td>0.024926</td>\n", " <td>63588.0</td>\n", " <td>7.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Unnamed: 0 SeriousDlqin2yrs RevolvingUtilizationOfUnsecuredLines age \\\n", "0 0 1 0.766127 45.0 \n", "1 1 0 0.957151 40.0 \n", "2 2 0 0.658180 38.0 \n", "3 3 0 0.233810 30.0 \n", "4 4 0 0.907239 49.0 \n", "\n", " NumberOfTime30-59DaysPastDueNotWorse DebtRatio MonthlyIncome \\\n", "0 2.0 0.802982 9120.0 \n", "1 0.0 0.121876 2600.0 \n", "2 1.0 0.085113 3042.0 \n", "3 0.0 0.036050 3300.0 \n", "4 1.0 0.024926 63588.0 \n", "\n", " NumberOfOpenCreditLinesAndLoans NumberOfTimes90DaysLate \\\n", "0 13.0 0.0 \n", "1 4.0 0.0 \n", "2 2.0 1.0 \n", "3 5.0 0.0 \n", "4 7.0 0.0 \n", "\n", " NumberRealEstateLoansOrLines NumberOfTime60-89DaysPastDueNotWorse \\\n", "0 6.0 0.0 \n", "1 0.0 0.0 \n", "2 0.0 0.0 \n", "3 0.0 0.0 \n", "4 1.0 0.0 \n", "\n", " NumberOfDependents \n", "0 2.0 \n", "1 1.0 \n", "2 0.0 \n", "3 0.0 \n", "4 0.0 " ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "pd.set_option('display.max_columns', 500)\n", "import zipfile\n", "with zipfile.ZipFile('../datasets/KaggleCredit2.csv.zip', 'r') as z:\n", " f = z.open('KaggleCredit2.csv')\n", " data = pd.io.parsers.read_table(f, sep=',')\n", "\n", "data.head()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "y = data['SeriousDlqin2yrs']\n", "X = data.drop('SeriousDlqin2yrs', axis=1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 5.1\n", "\n", "Input the missing values of the Age and Number of Dependents " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 5.2\n", "\n", "From the set of features\n", "\n", "Select the features that maximize the **F1Score** the model using K-Fold cross-validation" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 5.3\n", "\n", "Now which is the best set of features selected by AUC" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
mattssilva/UW-Machine-Learning-Specialization
Week 2/.ipynb_checkpoints/Predicting house prices-checkpoint.ipynb
1
72731
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Fire up graphlab create" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import graphlab\n", "import numpy as np" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Load some house sales data" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "[INFO] graphlab.cython.cy_server: GraphLab Create v2.1 started. Logging: C:\\Users\\Matheus\\AppData\\Local\\Temp\\graphlab_server_1506298119.log.0\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "This non-commercial license of GraphLab Create for academic use is assigned to [email protected] and will expire on September 01, 2018.\n" ] } ], "source": [ "sales = graphlab.SFrame('home_data.gl/')" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\"><table frame=\"box\" rules=\"cols\">\n", " <tr>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">id</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">date</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">price</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">bedrooms</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">bathrooms</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">sqft_living</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">sqft_lot</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">floors</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">waterfront</th>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7129300520</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2014-10-13 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">221900</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1180</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5650</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">6414100192</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2014-12-09 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">538000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2.25</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2570</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7242</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5631500400</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2015-02-25 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">180000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">770</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">10000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2487200875</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2014-12-09 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">604000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">4</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1960</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1954400510</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2015-02-18 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">510000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1680</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">8080</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7237550310</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2014-05-12 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1225000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">4</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">4.5</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5420</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">101930</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1321400060</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2014-06-27 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">257500</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2.25</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1715</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">6819</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2008000270</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2015-01-15 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">291850</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1.5</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1060</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">9711</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2414600126</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2015-04-15 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">229500</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1780</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7470</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3793500160</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2015-03-12 00:00:00+00:00</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">323000</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2.5</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1890</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">6560</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " </tr>\n", "</table>\n", "<table frame=\"box\" rules=\"cols\">\n", " <tr>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">view</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">condition</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">grade</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">sqft_above</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">sqft_basement</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">yr_built</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">yr_renovated</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">zipcode</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">lat</th>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1180</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1955</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98178</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.51123398</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2170</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">400</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1951</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1991</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98125</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.72102274</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">6</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">770</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1933</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98028</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.73792661</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1050</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">910</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1965</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98136</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.52082</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">8</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1680</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1987</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98074</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.61681228</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">11</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3890</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1530</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2001</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98053</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.65611835</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1715</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1995</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98003</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.30972002</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1060</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1963</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98198</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.40949984</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1050</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">730</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1960</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98146</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.51229381</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">3</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1890</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2003</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">98038</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">47.36840673</td>\n", " </tr>\n", "</table>\n", "<table frame=\"box\" rules=\"cols\">\n", " <tr>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">long</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">sqft_living15</th>\n", " <th style=\"padding-left: 1em; padding-right: 1em; text-align: center\">sqft_lot15</th>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.25677536</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1340.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5650.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.3188624</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1690.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7639.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.23319601</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2720.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">8062.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.39318505</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1360.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">5000.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.04490059</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1800.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7503.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.00528655</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">4760.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">101930.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.32704857</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2238.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">6819.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.31457273</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1650.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">9711.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.33659507</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">1780.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">8113.0</td>\n", " </tr>\n", " <tr>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">-122.0308176</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">2390.0</td>\n", " <td style=\"padding-left: 1em; padding-right: 1em; text-align: center; vertical-align: top\">7570.0</td>\n", " </tr>\n", "</table>\n", "[21613 rows x 21 columns]<br/>Note: Only the head of the SFrame is printed.<br/>You can use print_rows(num_rows=m, num_columns=n) to print more rows and columns.\n", "</div>" ], "text/plain": [ "Columns:\n", "\tid\tstr\n", "\tdate\tdatetime\n", "\tprice\tint\n", "\tbedrooms\tstr\n", "\tbathrooms\tstr\n", "\tsqft_living\tint\n", "\tsqft_lot\tint\n", "\tfloors\tstr\n", "\twaterfront\tint\n", "\tview\tint\n", "\tcondition\tint\n", "\tgrade\tint\n", "\tsqft_above\tint\n", "\tsqft_basement\tint\n", "\tyr_built\tint\n", "\tyr_renovated\tint\n", "\tzipcode\tstr\n", "\tlat\tfloat\n", "\tlong\tfloat\n", "\tsqft_living15\tfloat\n", "\tsqft_lot15\tfloat\n", "\n", "Rows: 21613\n", "\n", "Data:\n", "+------------+---------------------------+---------+----------+-----------+-------------+\n", "| id | date | price | bedrooms | bathrooms | sqft_living |\n", "+------------+---------------------------+---------+----------+-----------+-------------+\n", "| 7129300520 | 2014-10-13 00:00:00+00:00 | 221900 | 3 | 1 | 1180 |\n", "| 6414100192 | 2014-12-09 00:00:00+00:00 | 538000 | 3 | 2.25 | 2570 |\n", "| 5631500400 | 2015-02-25 00:00:00+00:00 | 180000 | 2 | 1 | 770 |\n", "| 2487200875 | 2014-12-09 00:00:00+00:00 | 604000 | 4 | 3 | 1960 |\n", "| 1954400510 | 2015-02-18 00:00:00+00:00 | 510000 | 3 | 2 | 1680 |\n", "| 7237550310 | 2014-05-12 00:00:00+00:00 | 1225000 | 4 | 4.5 | 5420 |\n", "| 1321400060 | 2014-06-27 00:00:00+00:00 | 257500 | 3 | 2.25 | 1715 |\n", "| 2008000270 | 2015-01-15 00:00:00+00:00 | 291850 | 3 | 1.5 | 1060 |\n", "| 2414600126 | 2015-04-15 00:00:00+00:00 | 229500 | 3 | 1 | 1780 |\n", "| 3793500160 | 2015-03-12 00:00:00+00:00 | 323000 | 3 | 2.5 | 1890 |\n", "+------------+---------------------------+---------+----------+-----------+-------------+\n", "+----------+--------+------------+------+-----------+-------+------------+---------------+\n", "| sqft_lot | floors | waterfront | view | condition | grade | sqft_above | sqft_basement |\n", "+----------+--------+------------+------+-----------+-------+------------+---------------+\n", "| 5650 | 1 | 0 | 0 | 3 | 7 | 1180 | 0 |\n", "| 7242 | 2 | 0 | 0 | 3 | 7 | 2170 | 400 |\n", "| 10000 | 1 | 0 | 0 | 3 | 6 | 770 | 0 |\n", "| 5000 | 1 | 0 | 0 | 5 | 7 | 1050 | 910 |\n", "| 8080 | 1 | 0 | 0 | 3 | 8 | 1680 | 0 |\n", "| 101930 | 1 | 0 | 0 | 3 | 11 | 3890 | 1530 |\n", "| 6819 | 2 | 0 | 0 | 3 | 7 | 1715 | 0 |\n", "| 9711 | 1 | 0 | 0 | 3 | 7 | 1060 | 0 |\n", "| 7470 | 1 | 0 | 0 | 3 | 7 | 1050 | 730 |\n", "| 6560 | 2 | 0 | 0 | 3 | 7 | 1890 | 0 |\n", "+----------+--------+------------+------+-----------+-------+------------+---------------+\n", "+----------+--------------+---------+-------------+---------------+---------------+-----+\n", "| yr_built | yr_renovated | zipcode | lat | long | sqft_living15 | ... |\n", "+----------+--------------+---------+-------------+---------------+---------------+-----+\n", "| 1955 | 0 | 98178 | 47.51123398 | -122.25677536 | 1340.0 | ... |\n", "| 1951 | 1991 | 98125 | 47.72102274 | -122.3188624 | 1690.0 | ... |\n", "| 1933 | 0 | 98028 | 47.73792661 | -122.23319601 | 2720.0 | ... |\n", "| 1965 | 0 | 98136 | 47.52082 | -122.39318505 | 1360.0 | ... |\n", "| 1987 | 0 | 98074 | 47.61681228 | -122.04490059 | 1800.0 | ... |\n", "| 2001 | 0 | 98053 | 47.65611835 | -122.00528655 | 4760.0 | ... |\n", "| 1995 | 0 | 98003 | 47.30972002 | -122.32704857 | 2238.0 | ... |\n", "| 1963 | 0 | 98198 | 47.40949984 | -122.31457273 | 1650.0 | ... |\n", "| 1960 | 0 | 98146 | 47.51229381 | -122.33659507 | 1780.0 | ... |\n", "| 2003 | 0 | 98038 | 47.36840673 | -122.0308176 | 2390.0 | ... |\n", "+----------+--------------+---------+-------------+---------------+---------------+-----+\n", "[21613 rows x 21 columns]\n", "Note: Only the head of the SFrame is printed.\n", "You can use print_rows(num_rows=m, num_columns=n) to print more rows and columns." ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sales" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exploring the data for housing sales" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "application/javascript": [ "$(\"head\").append($(\"<link/>\").attr({\n", " rel: \"stylesheet\",\n", " type: \"text/css\",\n", " href: \"//cdnjs.cloudflare.com/ajax/libs/font-awesome/4.1.0/css/font-awesome.min.css\"\n", "}));\n", "$(\"head\").append($(\"<link/>\").attr({\n", " rel: \"stylesheet\",\n", " type: \"text/css\",\n", " href: \"https://static.turi.com/products/graphlab-create/2.1/canvas/css/canvas.css\"\n", "}));\n", "\n", " (function(){\n", "\n", " var e = null;\n", " if (typeof element == 'undefined') {\n", " var scripts = document.getElementsByTagName('script');\n", " var thisScriptTag = scripts[scripts.length-1];\n", " var parentDiv = thisScriptTag.parentNode;\n", " e = document.createElement('div');\n", " parentDiv.appendChild(e);\n", " } else {\n", " e = element[0];\n", " }\n", "\n", " if (typeof requirejs !== 'undefined') {\n", " // disable load timeout; ipython_app.js is large and can take a while to load.\n", " requirejs.config({waitSeconds: 0});\n", " }\n", "\n", " require(['https://static.turi.com/products/graphlab-create/2.1/canvas/js/ipython_app.js'], function(IPythonApp){\n", " var app = new IPythonApp();\n", " app.attachView('sframe','Plots', {\"selected_variable\": {\"name\": [\"sales\"], \"descriptives\": {\"rows\": 21613, \"columns\": 21}, \"view_component\": \"Plots\", \"view_file\": \"sframe\", \"view_params\": {\"y\": \"price\", \"x\": \"sqft_living\", \"columns\": [\"id\", \"date\", \"price\", \"bedrooms\", \"bathrooms\", \"sqft_living\", \"sqft_lot\", \"floors\", \"waterfront\", \"view\", \"condition\", \"grade\", \"sqft_above\", \"sqft_basement\", \"yr_built\", \"yr_renovated\", \"zipcode\", \"lat\", \"long\", \"sqft_living15\", \"sqft_lot15\"], \"view\": \"Scatter Plot\"}, \"view_components\": [\"Summary\", \"Table\", \"Bar Chart\", \"BoxWhisker Plot\", \"Line Chart\", \"Scatter Plot\", \"Heat Map\", \"Plots\"], \"type\": \"SFrame\", \"columns\": [{\"dtype\": \"str\", \"name\": \"id\"}, {\"dtype\": \"datetime\", \"name\": \"date\"}, {\"dtype\": \"int\", \"name\": \"price\"}, {\"dtype\": \"str\", \"name\": \"bedrooms\"}, {\"dtype\": \"str\", \"name\": \"bathrooms\"}, {\"dtype\": \"int\", \"name\": \"sqft_living\"}, {\"dtype\": \"int\", \"name\": \"sqft_lot\"}, {\"dtype\": \"str\", \"name\": \"floors\"}, {\"dtype\": \"int\", \"name\": \"waterfront\"}, {\"dtype\": \"int\", \"name\": \"view\"}, {\"dtype\": \"int\", \"name\": \"condition\"}, {\"dtype\": \"int\", \"name\": \"grade\"}, {\"dtype\": \"int\", \"name\": \"sqft_above\"}, {\"dtype\": \"int\", \"name\": \"sqft_basement\"}, {\"dtype\": \"int\", \"name\": \"yr_built\"}, {\"dtype\": \"int\", \"name\": \"yr_renovated\"}, {\"dtype\": \"str\", \"name\": \"zipcode\"}, {\"dtype\": \"float\", \"name\": \"lat\"}, {\"dtype\": \"float\", \"name\": \"long\"}, {\"dtype\": \"float\", \"name\": \"sqft_living15\"}, {\"dtype\": \"float\", \"name\": \"sqft_lot15\"}], \"column_identifiers\": [\"bathrooms\", \"sqft_living15\", \"sqft_above\", \"grade\", \"yr_built\", \"price\", \"bedrooms\", \"zipcode\", \"long\", \"id\", \"sqft_lot15\", \"sqft_living\", \"floors\", \"sqft_lot\", \"date\", \"waterfront\", \"sqft_basement\", \"yr_renovated\", \"lat\", \"condition\", \"view\"]}, \"complete\": 1, \"ipython\": true, \"progress\": 1.0, \"data\": [[1460, 395000], [1360, 540000], [1820, 504500], [2800, 529000], [920, 206000], [1540, 384000], [1840, 583000], [1646, 305000], [1350, 613000], [860, 122000], [840, 445000], [1740, 342000], [3110, 749950], [2330, 473975], [2010, 299500], [3460, 1750000], [2430, 570000], [2430, 402000], [3870, 850000], [1760, 470000], [3400, 715000], [2010, 324900], [680, 365000], [2210, 625000], [1190, 440000], [1400, 225000], [2620, 635000], [2550, 1400000], [1030, 525000], [1390, 208800], [1850, 330000], [1020, 680000], [1730, 243400], [2500, 705000], [1240, 375000], [2650, 580000], [3440, 1324050], [3140, 869950], [2250, 550000], [1710, 282900], [2450, 712198], [1460, 497000], [1830, 682000], [3010, 538000], [1640, 716100], [960, 299000], [810, 237500], [930, 235000], [3010, 1240000], [1390, 302059], [1700, 245000], [2040, 261000], [2980, 900000], [2100, 457000], [1060, 641200], [3650, 696000], [3190, 687500], [1930, 495000], [2910, 585083], [2110, 325000], [1120, 227950], [1410, 410000], [1230, 387000], [1720, 255950], [1620, 334950], [3190, 544800], [2056, 303000], [1350, 237500], [1870, 425000], [1480, 243000], [2470, 597000], [1750, 225000], [1790, 430000], [1950, 370000], [1660, 241000], [1330, 527700], [3220, 558000], [1140, 310000], [2170, 340000], [1200, 369500], [2090, 375000], [2050, 528000], [3400, 951000], [2100, 555000], [4660, 1280000], [1600, 280000], [1340, 438400], [1250, 255000], [1610, 215000], [1300, 205000], [900, 395000], [2700, 744500], [2360, 355500], [1800, 875000], [2340, 330000], [2710, 615000], [2420, 975000], [2280, 577500], [2910, 595000], [1420, 224097], [2040, 272000], [2390, 358000], [1340, 290000], [2170, 831000], [1680, 213400], [2660, 603500], [1140, 329950], [3280, 1875000], [1110, 505000], [1080, 147400], [1340, 621000], [2070, 262000], [3210, 750000], [2590, 781000], [3230, 480000], [1860, 315000], [2830, 1086000], [4040, 860000], [3200, 337000], [2120, 370000], [1110, 320000], [1450, 391500], [1510, 489000], [4720, 745000], [4340, 1280000], [1630, 468000], [2370, 715000], [3530, 760000], [2390, 250000], [3570, 725000], [1060, 212000], [1330, 405000], [2340, 535000], [3020, 320000], [1450, 499000], [1100, 552700], [910, 455000], [2650, 850000], [1940, 665000], [2720, 1020000], [700, 340000], [2689, 368000], [1730, 676000], [3010, 998160], [2450, 559950], [1180, 273000], [1840, 720000], [1320, 330000], [2260, 258000], [910, 244000], [1210, 173250], [900, 252500], [2990, 1110000], [5180, 2250000], [1810, 300000], [2220, 650000], [2650, 665000], [1630, 230000], [1560, 449950], [2900, 770000], [2100, 399000], [2620, 300000], [2880, 733000], [2490, 500000], [1270, 335000], [1540, 450000], [900, 140000], [1300, 505500], [2290, 650000], [1460, 258500], [710, 289000], [2380, 470000], [1260, 290000], [2600, 482000], [1410, 288000], [2780, 717000], [1970, 399950], [1270, 594000], [3410, 2600000], [1500, 614950], [3260, 715000], [2270, 766000], [4250, 665000], [2140, 349950], [3010, 837700], [1510, 195000], [1770, 538000], [1450, 405000], [3320, 1000000], [1450, 275000], [3100, 475000], [1950, 700000], [2560, 650000], [840, 308500], [2140, 589999], [2660, 310000], [700, 208000], [1730, 563000], [1070, 225000], [1720, 295700], [3300, 1350000], [2170, 745000], [1730, 340000], [1290, 492000], [1560, 273500], [2040, 300000], [1140, 903000], [1620, 299000], [1240, 150000], [1010, 350000], [1660, 305000], [2340, 645000], [1770, 712500], [1510, 230000], [1290, 441000], [2120, 534000], [860, 445000], [2580, 650000], [3100, 735000], [2290, 343000], [2760, 686000], [2190, 520000], [1260, 329950], [2750, 950000], [1790, 410000], [1620, 842000], [1480, 216650], [1270, 365000], [3090, 1220000], [2210, 299000], [2550, 675000], [2160, 625500], [2420, 282000], [3130, 920000], [2560, 780000], [2380, 1160000], [2450, 399950], [2670, 642000], [3830, 800000], [1580, 190000], [1430, 225000], [5400, 1210000], [4030, 1312000], [1613, 279500], [1909, 630500], [2190, 491500], [1440, 328000], [2880, 614000], [1390, 458000], [1560, 481000], [5190, 925000], [1580, 428000], [2090, 275000], [1170, 336750], [1020, 245000], [770, 257000], [4240, 1160000], [1360, 399950], [1370, 593500], [1480, 369300], [1730, 465000], [1320, 526000], [1700, 500000], [830, 295000], [1200, 385000], [1870, 285000], [2370, 300523], [2830, 710000], [1470, 418900], [790, 118125], [4110, 1450000], [1220, 319000], [930, 355000], [1380, 560000], [2210, 330000], [3070, 900000], [2290, 450000], [2160, 753000], [4060, 1175000], [1660, 515000], [1060, 325000], [1830, 431000], [1140, 249900], [1110, 235000], [2280, 395000], [3830, 989000], [1910, 585000], [1320, 361000], [2880, 382000], [1980, 455000], [1460, 299880], [3160, 368000], [1350, 299999], [4170, 1200000], [2300, 243500], [2180, 488000], [2970, 599000], [920, 280300], [960, 374500], [1910, 630100], [1480, 663000], [1800, 409316], [2380, 650000], [1480, 459000], [2400, 575000], [1690, 540000], [1820, 299500], [2305, 705000], [3420, 1438888], [1500, 332500], [2100, 567000], [1660, 201000], [1540, 525000], [2180, 480500], [1990, 293000], [1890, 330000], [2490, 595500], [1790, 272000], [1180, 190000], [2580, 865000], [2470, 1325000], [5320, 1062500], [840, 210000], [1510, 240000], [2810, 535000], [1250, 370000], [1640, 190000], [1070, 240000], [1000, 186375], [2370, 790000], [1870, 680000], [1820, 234000], [2350, 400000], [3820, 920000], [2180, 350000], [1180, 425000], [1600, 625000], [3250, 875000], [1330, 175000], [2280, 419950], [2560, 485000], [1820, 287000], [2490, 1145000], [890, 315000], [2760, 600000], [2090, 860000], [1060, 140000], [2950, 482000], [1670, 589950], [1740, 173000], [1820, 349950], [1240, 220000], [1210, 450000], [1810, 495000], [640, 165000], [1270, 447000], [2550, 369000], [1680, 219200], [1640, 247000], [2330, 826000], [1530, 575000], [1280, 215000], [880, 405000], [3640, 630000], [1140, 218000], [2470, 609000], [1530, 458950], [1500, 262500], [1550, 330000], [1410, 760000], [2540, 355000], [1730, 265000], [2260, 467500], [4020, 1950000], [1780, 342000], [2120, 650000], [2360, 957500], [3720, 899000], [2450, 359950], [1910, 230000], [2210, 315000], [6160, 1275000], [1110, 130000], [1490, 242150], [1850, 270000], [2590, 530000], [1780, 705000], [1120, 750000], [2420, 570000], [1920, 900000], [1550, 345000], [1380, 540000], [2230, 333700], [950, 290000], [1460, 591000], [2220, 850000], [4860, 1680000], [1740, 382000], [2430, 524000], [2480, 716000], [2240, 590000], [2140, 236500], [2100, 550000], [3030, 580000], [1740, 435000], [2640, 360000], [3400, 745000], [3350, 790500], [1330, 535000], [950, 230000], [2150, 300000], [2510, 880000], [1570, 325000], [2650, 350000], [1590, 399950], [2500, 350000], [1720, 667000], [2300, 350000], [1530, 474950], [3670, 883000], [2550, 492000], [2588, 306000], [1840, 625000], [2890, 855000], [1630, 448000], [1763, 305000], [1650, 268000], [2820, 465000], [1440, 397000], [1000, 390000], [1490, 300000], [2570, 634000], [2680, 620000], [4270, 770000], [1050, 205000], [1270, 369950], [1150, 552000], [1340, 332000], [1440, 330490], [2680, 600000], [3510, 915000], [920, 356000], [2130, 220000], [4830, 1679000], [2570, 626000], [1470, 339900], [1020, 335000], [2180, 830000], [2720, 368000], [2490, 1081000], [1130, 126500], [2600, 749950], [2840, 425000], [2650, 542525], [1930, 245000], [1420, 335000], [1020, 280000], [1660, 220000], [2390, 980000], [2210, 685000], [1720, 246000], [720, 295000], [1710, 561000], [1710, 389900], [2900, 785000], [1940, 685000], [3750, 1310000], [1880, 405000], [3640, 780000], [1256, 316750], [1350, 410000], [2320, 541500], [1560, 410000], [2160, 475000], [1940, 322000], [1430, 520000], [2900, 799950], [2620, 750000], [1870, 285000], [2540, 525000], [1440, 332500], [1070, 194000], [3230, 605000], [1810, 272000], [3310, 644000], [2260, 551000], [4860, 1067000], [1200, 219000], [3280, 730000], [1500, 329500], [1510, 340768], [1010, 395000], [1680, 480000], [1900, 427500], [2920, 1650000], [1920, 480000], [2530, 680000], [3090, 850000], [2240, 475000], [1000, 350000], [2190, 320000], [1920, 210000], [1550, 550000], [1490, 510000], [2100, 1000000], [1910, 835000], [2040, 240000], [1410, 279800], [1280, 185000], [2370, 351999], [1670, 349170], [3150, 600000], [920, 210000], [1500, 700000], [1640, 299999], [1840, 327000], [1340, 452000], [1340, 263000], [1540, 370000], [1270, 226000], [1580, 495000], [2560, 565000], [2010, 559000], [2260, 604000], [2000, 465000], [1770, 585000], [2620, 427000], [1070, 500000], [2980, 949000], [2500, 662000], [2020, 397000], [1320, 464000], [860, 390000], [1520, 270000], [2360, 615000], [2780, 1140000], [2800, 920000], [2360, 589000], [1360, 249000], [2770, 390000], [2340, 360000], [1630, 385000], [2320, 318888], [1120, 546000], [2320, 310000], [2070, 577000], [1460, 350000], [2280, 557000], [1330, 372000], [3550, 2100000], [1510, 313950], [1330, 479000], [1520, 333000], [3370, 713900], [3130, 745000], [3470, 730000], [700, 282150], [1310, 310000], [2260, 675000], [2130, 355000], [990, 245000], [1430, 270000], [2800, 255000], [3210, 696500], [2080, 638700], [2260, 512500], [2506, 365070], [1740, 219000], [1970, 575000], [1270, 440000], [720, 150000], [1130, 485000], [730, 316000], [2640, 489950], [1330, 263500], [1220, 300000], [1810, 560000], [1610, 215000], [1700, 545000], [1384, 180000], [2060, 457000], [2820, 677000], [1800, 606400], [1940, 362500], [3820, 611000], [1430, 305000], [860, 160000], [1440, 427000], [1320, 499000], [2060, 685000], [1460, 580000], [1160, 315500], [1160, 490000], [2010, 350000], [1870, 592500], [1710, 445000], [3570, 935000], [1860, 345000], [1240, 333000], [1553, 240000], [2280, 560000], [2420, 399950], [4160, 1150000], [1900, 285000], [3060, 399000], [2620, 815000], [2290, 630000], [1880, 538000], [910, 190000], [2410, 600000], [620, 385000], [1070, 210000], [1680, 591000], [1489, 255900], [1310, 373000], [1200, 260000], [1000, 180000], [1930, 295000], [3560, 1500000], [3870, 1399950], [1560, 901000], [1930, 375000], [1840, 585000], [890, 460000], [2190, 289950], [820, 363000], [1790, 865000], [1280, 495000], [1970, 306500], [2720, 860000], [2770, 355000], [1940, 380000], [3100, 967000], [1770, 593567], [3380, 328000], [1470, 300000], [1300, 415000], [1810, 520000], [1720, 341000], [960, 201000], [2490, 749500], [2220, 875000], [1540, 273000], [2340, 544000], [2390, 790000], [1910, 650000], [1820, 422800], [1060, 228000], [1840, 300000], [2340, 645000], [2290, 481000], [1050, 630000], [3690, 655000], [2820, 735000], [2780, 830000], [2290, 450000], [2130, 320000], [2010, 316000], [920, 555500], [4690, 932808], [1140, 269900], [1890, 726000], [1860, 560000], [1910, 260000], [1800, 382000], [1220, 161500], [1650, 382000], [2920, 1015000], [2240, 418200], [2050, 299000], [980, 657500], [1700, 435000], [1770, 360000], [2800, 676000], [1790, 725000], [1420, 290000], [2160, 305000], [1230, 195000], [1840, 395000], [1870, 456000], [3180, 660000], [2280, 540000], [2550, 415000], [2070, 578000], [3850, 1060000], [1130, 426000], [1140, 310000], [3930, 1799000], [1220, 387500], [1560, 295000], [1820, 309000], [2520, 500000], [2390, 396500], [1590, 434975], [2290, 565000], [2280, 312000], [1440, 327200], [2260, 380000], [1300, 312000], [1700, 600000], [1210, 342500], [930, 100000], [1910, 250000], [2820, 450000], [2140, 680000], [1390, 185000], [2414, 292000], [670, 240000], [2330, 840000], [860, 82000], [2490, 645000], [3010, 839950], [1450, 340000], [1200, 215000], [2750, 604700], [3660, 475000], [2620, 408000], [1100, 179950], [2060, 610000], [1220, 223000], [1420, 205000], [3020, 1900000], [960, 225000], [1540, 450000], [4960, 1425000], [3130, 685530], [1990, 776000], [2340, 242000], [1780, 310000], [740, 350000], [2740, 625000], [1270, 380500], [1700, 384000], [2420, 1010000], [3070, 1715000], [720, 335000], [2760, 369950], [2420, 692500], [4440, 1037000], [3000, 379000], [1060, 235000], [1660, 650000], [2180, 657000], [1980, 606000], [1940, 450000], [1420, 579950], [1710, 431000], [1510, 402000], [1380, 329900], [1480, 455000], [2790, 535000], [2110, 638000], [1720, 206000], [4150, 1175000], [2240, 675000], [1120, 243950], [2560, 667000], [1530, 654000], [1020, 265000], [930, 390000], [2500, 565000], [3148, 550000], [1890, 495000], [2080, 460000], [1830, 323000], [2180, 580000], [2070, 880000], [2238, 330000], [1960, 355950], [1850, 445000], [1740, 350000], [2000, 755000], [1820, 425000], [3610, 830005], [1470, 419000], [3040, 760000], [4225, 1005000], [2130, 732600], [1370, 335500], [2210, 435000], [1500, 489000], [2530, 331950], [1610, 450000], [870, 230000], [1850, 830000], [1550, 1075000], [3320, 667000], [2540, 489950], [1610, 499000], [1890, 800000], [1140, 424000], [1540, 270000], [1760, 194000], [1510, 399950], [3070, 420000], [1870, 933000], [384, 265000], [1300, 375000], [880, 454000], [4270, 1100000], [1100, 505000], [2130, 534950], [3030, 557865], [1550, 320000], [2880, 499950], [2890, 570000], [1680, 282000], [1210, 324950], [1670, 270000], [2110, 380000], [2460, 240000], [3281, 471835], [950, 390000], [3240, 635700], [2100, 477000], [1470, 385000], [2410, 767500], [1640, 349000], [1320, 279000], [3060, 775000], [1870, 455000], [3900, 1619999], [2820, 934000], [3480, 1850000], [2430, 321000], [1020, 485000], [1260, 461000], [1170, 235000], [3210, 565000], [1580, 405100], [1810, 252500], [1370, 252000], [760, 167500], [2860, 1200000], [2560, 350000], [5310, 2300000], [1300, 315500], [1650, 327500], [1820, 875000], [3580, 700000], [3560, 486000], [3070, 575000], [1620, 428750], [1800, 160000], [1520, 345000], [1520, 450000], [1370, 264950], [1510, 800000], [1520, 230000], [1380, 462000], [4475, 2574000], [3630, 500000], [1494, 650000], [1660, 410000], [2440, 566000], [1670, 554000], [4210, 1905000], [1700, 545000], [1900, 340000], [1490, 429000], [2630, 710000], [2720, 456000], [1590, 314950], [2900, 670000], [2490, 1705000], [2520, 642860], [2610, 605000], [1820, 453000], [2030, 365000], [1790, 401500], [1880, 509950], [1120, 360000], [2430, 515000], [2720, 580000], [2810, 725000], [2093, 300000], [2330, 605000], [1640, 635200], [1600, 329000], [1780, 266000], [1340, 330000], [1570, 495000], [1800, 225000], [900, 496000], [1840, 550000], [3200, 1270000], [4210, 1365000], [2320, 425000], [1984, 300000], [3390, 895000], [1540, 742000], [1110, 190500], [2240, 389950], [1090, 340000], [1690, 519990], [3720, 1275000], [3760, 740000], [1950, 287600], [2300, 420000], [1840, 599000], [1870, 306000], [3410, 815000], [1380, 473000], [2020, 475000], [2200, 885000], [970, 172380], [3040, 540000], [1150, 225000], [1380, 380000], [1400, 435000], [690, 340000], [2170, 315000], [2220, 1101000], [3402, 498000], [1290, 494000], [1640, 245700], [2210, 445000], [1930, 733000], [1280, 252500], [2630, 750000], [1520, 530000], [830, 235000], [1590, 609500], [1200, 478000], [3530, 1550000], [1430, 270000], [910, 605000], [1710, 290000], [3190, 664950], [1680, 399000], [1370, 296000], [2770, 550000], [1080, 325000], [1310, 223000], [2380, 490000], [1300, 237000], [1400, 276500], [3110, 700000], [1560, 292000], [1580, 350000], [1560, 385000], [1450, 465000], [1230, 433500], [2460, 495000], [2160, 756000], [1920, 432000], [2000, 561000], [1830, 403500], [1510, 410000], [1990, 745000], [1550, 330000], [1470, 458000], [5780, 2890000], [1290, 214946], [2390, 360000], [1240, 297950], [2290, 450000], [1320, 650000], [1710, 353750], [1140, 549000], [1040, 225000], [1230, 575000], [1830, 470000], [1680, 258000], [1720, 336750], [2080, 601000], [5610, 1583000], [1850, 295000], [1480, 200000], [2380, 655500], [2690, 635000], [3200, 825000], [2760, 602500], [2500, 315000], [1760, 750000], [2820, 321027], [1880, 280000], [1980, 317950], [1280, 216000], [1300, 263000], [2130, 435000], [2490, 390000], [1571, 366750], [840, 199950], [880, 326100], [2090, 865000], [2660, 580000], [2300, 287000], [1830, 347500], [1690, 478000], [2590, 1500000], [840, 135000], [2780, 645000], [3380, 865000], [2110, 285000], [2190, 563500], [1590, 199950], [1260, 230000], [1600, 260000], [910, 465000]], \"columns\": [{\"dtype\": \"str\", \"name\": \"id\"}, {\"dtype\": \"datetime\", \"name\": \"date\"}, {\"dtype\": \"int\", \"name\": \"price\"}, {\"dtype\": \"str\", \"name\": \"bedrooms\"}, {\"dtype\": \"str\", \"name\": \"bathrooms\"}, {\"dtype\": \"int\", \"name\": \"sqft_living\"}, {\"dtype\": \"int\", \"name\": \"sqft_lot\"}, {\"dtype\": \"str\", \"name\": \"floors\"}, {\"dtype\": \"int\", \"name\": \"waterfront\"}, {\"dtype\": \"int\", \"name\": \"view\"}, {\"dtype\": \"int\", \"name\": \"condition\"}, {\"dtype\": \"int\", \"name\": \"grade\"}, {\"dtype\": \"int\", \"name\": \"sqft_above\"}, {\"dtype\": \"int\", \"name\": \"sqft_basement\"}, {\"dtype\": \"int\", \"name\": \"yr_built\"}, {\"dtype\": \"int\", \"name\": \"yr_renovated\"}, {\"dtype\": \"str\", \"name\": \"zipcode\"}, {\"dtype\": \"float\", \"name\": \"lat\"}, {\"dtype\": \"float\", \"name\": \"long\"}, {\"dtype\": \"float\", \"name\": \"sqft_living15\"}, {\"dtype\": \"float\", \"name\": \"sqft_lot15\"}]}, e);\n", " });\n", " })();\n", " " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "graphlab.canvas.set_target(\"ipynb\")\n", "sales.show(view=\"Scatter Plot\",x='sqft_living',y='price')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Creating a simple regression model of sqft_living to price" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "train_data,test_data = sales.random_split(.8,seed=0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Build the regression model" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "PROGRESS: Creating a validation set from 5 percent of training data. This may take a while.\n", " You can set ``validation_set=None`` to disable validation tracking.\n", "\n" ] }, { "data": { "text/html": [ "<pre>Linear regression:</pre>" ], "text/plain": [ "Linear regression:" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>--------------------------------------------------------</pre>" ], "text/plain": [ "--------------------------------------------------------" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>Number of examples : 16502</pre>" ], "text/plain": [ "Number of examples : 16502" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>Number of features : 1</pre>" ], "text/plain": [ "Number of features : 1" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>Number of unpacked features : 1</pre>" ], "text/plain": [ "Number of unpacked features : 1" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>Number of coefficients : 2</pre>" ], "text/plain": [ "Number of coefficients : 2" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>Starting Newton Method</pre>" ], "text/plain": [ "Starting Newton Method" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>--------------------------------------------------------</pre>" ], "text/plain": [ "--------------------------------------------------------" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>+-----------+----------+--------------+--------------------+----------------------+---------------+-----------------+</pre>" ], "text/plain": [ "+-----------+----------+--------------+--------------------+----------------------+---------------+-----------------+" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>| Iteration | Passes | Elapsed Time | Training-max_error | Validation-max_error | Training-rmse | Validation-rmse |</pre>" ], "text/plain": [ "| Iteration | Passes | Elapsed Time | Training-max_error | Validation-max_error | Training-rmse | Validation-rmse |" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>+-----------+----------+--------------+--------------------+----------------------+---------------+-----------------+</pre>" ], "text/plain": [ "+-----------+----------+--------------+--------------------+----------------------+---------------+-----------------+" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>| 1 | 2 | 1.022825 | 4353807.975556 | 2272374.113520 | 261766.829871 | 284095.366091 |</pre>" ], "text/plain": [ "| 1 | 2 | 1.022825 | 4353807.975556 | 2272374.113520 | 261766.829871 | 284095.366091 |" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>+-----------+----------+--------------+--------------------+----------------------+---------------+-----------------+</pre>" ], "text/plain": [ "+-----------+----------+--------------+--------------------+----------------------+---------------+-----------------+" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre>SUCCESS: Optimal solution found.</pre>" ], "text/plain": [ "SUCCESS: Optimal solution found." ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<pre></pre>" ], "text/plain": [] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sqft_model = graphlab.linear_regression.create(train_data, target='price',features=[\"sqft_living\"])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Evaluate the simple model" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "543054.042563\n" ] } ], "source": [ "print (test_data['price'].mean())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{'max_error': 4147104.6089961585, 'rmse': 255198.9260303043}\n" ] } ], "source": [ "print (sqft_model.evaluate(test_data))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Showing predictions" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.plot(test_data['sqft_living'],test_data['price'],'.',\n", " test_data['sqft_living'],sqft_model.predict(test_data),'-')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sqft_model.get('coefficients')" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# Explore other features in the data" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "myfeatures = ['bedrooms', 'bathrooms', 'sqft_living', 'sqft_lot', 'floors', 'zipcode']" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sales[myfeatures].show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sales.show(view='BoxWhisker Plot', x='zipcode',y='price')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Build a regression model with more features" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "my_features_model = graphlab.linear_regression.create(train_data, target='price', features=myfeatures)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(myfeatures)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(sqft_model.evaluate(test_data))\n", "print(my_features_model.evaluate(test_data))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Apply learned models to predict prices of 3 houses" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "house1 = sales[sales['id']=='5309101200']" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "house1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"house-5309101200.jpg\">" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(house1['price'])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(sqft_model.predict(house1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(my_features_model.predict(house1))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Prediction for a second, fancier house" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "house2 = sales[sales['id']=='1925069082']" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "house2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"house-1925069082.jpg\">" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "print(house2['price'])\n", "print(sqft_model.predict(house2))\n", "print(my_features_model.predict(house2))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Assignment Task\n", "* Select the houses inside the zipcode that cointains the highest average house sale price" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "highest_price=sales[sales['zipcode']=='98039']\n", "highest_price.head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "np.average(highest_price['price'])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sqft_filter = sales[(sales['sqft_living']>2000) & (sales['sqft_living']<4000)]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "float(sqft_filter.num_rows()) / float(sales.num_rows())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "advanced_features = [\n", "'bedrooms', 'bathrooms', 'sqft_living', 'sqft_lot', 'floors', 'zipcode',\n", "'condition', # condition of house \n", "'grade', # measure of quality of construction \n", "'waterfront', # waterfront property \n", "'view', # type of view \n", "'sqft_above', # square feet above ground \n", "'sqft_basement', # square feet in basement \n", "'yr_built', # the year built \n", "'yr_renovated', # the year renovated \n", "'lat', 'long', # the lat-long of the parcel \n", "'sqft_living15', # average sq.ft. of 15 nearest neighbors \n", "'sqft_lot15', # average lot size of 15 nearest neighbors \n", "]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(sqft_model.evaluate(test_data))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sqft_model=graphlab.linear_regression.create(train_data,target='price',features=myfeatures)\n", "advanced_model=graphlab.linear_regression.create(train_data, target='price', features=advanced_features)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(sqft_model.evaluate(test_data))\n", "print(advanced_model.evaluate(test_data))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.plot(test_data['sqft_living'],test_data['price'],'.',\n", " test_data['sqft_living'],sqft_model.predict(test_data),'-')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "plt.plot(test_data['sqft_living'],test_data['price'],'.',\n", " test_data['sqft_living'],advanced_model.predict(test_data),'-')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Finished Week 2" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
griffinfoster/fundamentals_of_interferometry
2_Mathematical_Groundwork/2_y_exercises.ipynb
1
35805
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "***\n", "\n", "* [Outline](../0_Introduction/0_introduction.ipynb)\n", "* [Glossary](../0_Introduction/1_glossary.ipynb)\n", "* [2. Mathematical Groundwork](2_0_introduction.ipynb)\n", " * Previous: [2.x Further reading and references](2_x_further_reading_and_references.ipynb)\n", " * Next: [3. Positional Astronomy](3_0_introduction.ipynb)\n", "\n", "***" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Import standard modules:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "from IPython.display import HTML \n", "#HTML('../style/course.css') #apply general CSS\n", "HTML('../style/code_toggle.html')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Import section specific modules:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "pass" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## 2.y. Exercises<a id='math:sec:exercises'></a><!--\\label{math:sec:exercises}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "We provide a small set of exercises suitable for an interferometry course." ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### 2.y.1. Fourier transforms and convolution: Fourier transform of the triangle function<a id='math:sec:exercises_fourier_triangle'></a><!--\\label{math:sec:exercises_fourier_triangle}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Consider the triangle function given below." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "def plotviewgraph(fig, ax, xmin = 0, xmax = 1., ymin = 0., ymax = 1.):\n", " \"\"\"\n", " Prepare a viewvgraph for plotting a function\n", " \n", " Parameters:\n", " fig: Matplotlib figure\n", " ax: Matplotlib subplot\n", " xmin (float): Minimum of range\n", " xmax (float): Maximum of range\n", " ymin (float): Minimum of function\n", " ymax (float): Maximum of function\n", "\n", " return: axis and vertical and horizontal tick length\n", " \"\"\"\n", " \n", " # Axis ranges\n", " ax.axis([xmin-0.1*(xmax-xmin), xmax+0.1*(xmax-xmin), -0.2*(ymax-ymin), ymax])\n", " ax.axis('off')\n", "\n", " # get width and height of axes object to compute, see https://3diagramsperpage.wordpress.com/2014/05/25/arrowheads-for-axis-in-matplotlib/\n", "\n", " # matching arrowhead length and width\n", " dps = fig.dpi_scale_trans.inverted()\n", " bbox = ax.get_window_extent().transformed(dps)\n", " width, height = bbox.width, bbox.height\n", " \n", " # manual arrowhead width and length\n", " hw = 1./15.*(ymax-ymin) \n", " hl = 1./30.*(xmax-xmin)\n", " lw = 1. # axis line width\n", " ohg = 0.3 # arrow overhang\n", " \n", " # compute matching arrowhead length and width\n", " yhw = hw/(ymax-ymin)*(xmax-xmin)* height/width \n", " yhl = hl/(xmax-xmin)*(ymax-ymin)* width/height\n", "\n", " # Draw arrows\n", " ax.arrow(xmin-0.1*(xmax-xmin),0, 1.2*(xmax-xmin),0, fc='k', ec='k', lw = lw, \n", " head_width=hw, head_length=hl, overhang = ohg, \n", " length_includes_head= True, clip_on = False)\n", " ax.arrow(0,ymin-0.1*(ymax-ymin), 0., 1.4*(ymax-ymin), fc='k', ec='k', lw = lw, \n", " head_width=yhw, head_length=yhl, overhang = ohg, \n", " length_includes_head= True, clip_on = False)\n", " \n", " # Draw ticks for A, -A, and B\n", " twv = 0.01*height # vertical tick width\n", " twh = twv*(xmax-xmin)/(ymax-ymin)/ width*height\n", " \n", " return twv, twh\n", "\n", "def plottriangle():\n", " \n", " A = 1.\n", " B = 1.\n", " \n", " # Start the plot, create a figure instance and a subplot\n", " fig = plt.figure(figsize=(20,5))\n", " ax = fig.add_subplot(111)\n", " \n", " twv, twh = plotviewgraph(fig, ax, xmin = -A, xmax = A, ymin = 0., ymax = B)\n", " \n", " ticx = [[-A,'-A'],[A,'A']]\n", " \n", " for tupel in ticx:\n", " ax.plot([tupel[0],tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0], 0.-twh, tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", " \n", " ticy = [[B,'B']]\n", " for tupel in ticy:\n", " ax.plot([-twh, twh], [tupel[0], tupel[0]], 'k-')\n", " ax.text(0.+twv, tupel[0], tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'bottom', color = 'black')\n", "\n", " \n", " # Plot the function\n", " ax.plot([-A,0.,A],[0., B, 0.], 'r-', lw = 2)\n", "\n", " # Annotate axes\n", " ax.text(0.-twh, 1.2*(B), r'$f(x)$', fontsize = 24, horizontalalignment = 'right', verticalalignment = 'bottom', color = 'black')\n", " ax.text(1.2*B, 0., r'$x$', fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", "\n", " \n", " # Show amplitude\n", "# plt.annotate(s='', xy=(mu+2*sigma,0.), xytext=(mu+2*sigma,a), \\\n", "# arrowprops=dict(color = 'magenta', arrowstyle='<->'))\n", "# ax.text(mu+2*sigma+sigma/10., a/2, '$a$', fontsize = 12, horizontalalignment = 'left', \\\n", "# verticalalignment = 'center', color = 'magenta')\n", "\n", " \n", "plottriangle()\n", "# <a id='math:fig:triangle'></a><!--\\label{math:fig:triangle}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "**Figure 2.y.1:** Triangle function with width $2A$ and amplitude $B$.<a id='math:fig:triangle'></a><!--\\label{math:fig:triangle}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<b>Assignments:</b>\n", "<ol type=\"A\">\n", " <li>What can you tell about the complex part of the Fourier transform of $f$ using the symmetry of the function?</li>\n", " <li>Write down the function $f$ in two ways, once as a piece-wise defined function, once as a convolution of the rectangle function with itself.</li>\n", " <li>Calculate the Fourier transform, making use of expressing f as a convolution of a boxcar function with itself and using the convolution theorem.</li>\n", "</ol>" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### 2.y.1.1 Fourier transform of the triangle function: example answer to assignment 1.<a id='math:sec:exercises_fourier_triangle_a'></a><!--\\label{math:sec:exercises_fourier_triangle_a}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<b>What can you tell about the complex part and the symmetry of the Fourier transform of $f$ using the symmetry of the function?</b>" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "The function is real-valued ($f^*(x)\\,=\\,f(x)$) and even ($f(x)\\,=\\,f(-x)$), so it is Hermetian ($f^*(x)\\,=\\,f(-x)$, [see definition here &#10142;](2_4_the_fourier_transform.ipynb#math:sec:fourier_transforms_of_real_valued_and_hermetian_functions) <!--\\ref{math:sec:fourier_transforms_of_real_valued_and_hermetian_functions}-->). According to [Sect. 2.4.6 &#10142;](2_4_the_fourier_transform.ipynb#math:sec:fourier_transforms_of_real_valued_and_hermetian_functions)<!--\\ref{math:sec:fourier_transforms_of_real_valued_and_hermetian_functions}-->, this means that the Fourier transform is a <b>real-valued</b> function (because it is the Fourier transform of a Hermetian function) and also Hermetian (because it is the Fourier transform of a real-valued function). Hence it is also <b>even</b> ($f^*(x)\\,=\\,f(x) \\,\\land\\, f^*(x)\\,=\\,f(-x)\\,\\Rightarrow\\,f(x)\\,=\\,f(-x)$). Real-valued means that the complex part of $f$ is $0$." ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### 2.y.1.2 Fourier transform of the triangle function: example answer to assignment 2.<a id='math:sec:exercises_fourier_triangle_b'></a><!--\\label{math:sec:exercises_fourier_triangle_b}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<b>Write down the function $f$ in two ways, once as a piece-wise defined function, once as a convolution of the rectangle function with itself.</b>" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Part one is straightforward:" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_001'></a><!--\\label{math:eq:y_001}-->$$\n", "\\begin{align*}\n", "f(x) &= \\left \\{\n", " \\begin{array}{lll}\n", " B-\\frac{B}{A}|x| & {\\rm for} & |x| \\leq A\\\\\n", " 0 & {\\rm for} & |x| > A\n", "\\end{array}\\right .\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "The solution to part two, using the definition as given in [Sect. 2.4.6 &#10142;](2_2_important_functions.ipynb#math:sec:boxcar_and_rectangle_function)<!--\\ref{math:sec:boxcar_and_rectangle_function}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_002'></a><!--\\label{math:eq:y_002}-->\n", "$$\n", "\\begin{align*}\n", " f(x) \\,&=\\,\\frac{B}{A}\\cdot \\Pi_{-\\frac{A}{2},\\frac{A}{2}}\\circ \\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x)\\\\\n", "&=\\,\\frac{B}{A}\\cdot\\Pi_A\\circ \\Pi_A\\,\\,\\, {\\rm , where} \\,\\,\\,\\Pi_A(x) \\,=\\,\\Pi(\\frac{x}{A})\\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "requires a little calculation, but is straightforward. Using the [definition of the boxcar function &#10142;](2_2_important_functions.ipynb#math:sec:boxcar_and_rectangle_function) <!--\\ref{math:sec:boxcar_and_rectangle_function}--> and the [definition of the convolution &#10142;](2_5_convolution.ipynb#math:sec:definition_of_the_convolution) <!--\\ref{math:sec:definition_of_the_convolution}-->, one can see:" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false, "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_003'></a><!--\\label{math:eq:y_003}-->\n", "$$\n", "\\begin{align*}\n", "\\Pi_{-\\frac{A}{2},\\frac{A}{2}}\\circ \\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x)\\,& =\\, \\int_{-\\infty}^{\\infty}\\Pi_{-\\frac{A}{2},\\frac{A}{2}}(t)\\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x-t)\\,dt\\\\\n", "& =\\, \\int_{-\\frac{A}{2}}^{\\frac{A}{2}}\\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x-t)\\,dt\\\\\n", "& \\underset{u\\,=\\,x-t}{=} \\, \\int_{u(-\\frac{A}{2})}^{u(\\frac{A}{2})}\\Pi_{-\\frac{A}{2},\\frac{A}{2}}(u)\\frac{dx}{du}\\,du\\\\\n", "& =\\, \\int_{x+\\frac{A}{2}}^{x-\\frac{A}{2}}\\Pi_{-\\frac{A}{2},\\frac{A}{2}}(u)\\cdot(-1)du\\\\\n", "& =\\, \\int_{x-\\frac{A}{2}}^{x+\\frac{A}{2}}\\Pi_{-\\frac{A}{2},\\frac{A}{2}}(u)du\\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "and, accordingly" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_004'></a><!--\\label{math:eq:y_004}-->\n", "\\begin{align*}\n", "|x| \\,>\\, A \\,&\\Rightarrow\\,\\Pi_{-\\frac{A}{2},\\frac{A}{2}}\\circ \\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x)\\, =\\, 0\\\\\n", "-A\\,\\leq\\,x\\,\\leq 0\\,&\\Rightarrow \\,\\Pi_{-\\frac{A}{2},\\frac{A}{2}}\\circ \\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x)\\,=\\,\\int_{-\\frac{A}{2}}^{x+\\frac{A}{2}}du\\,=\\,A+x\\\\\n", "0\\,\\leq\\,x\\,\\leq A\\,&\\Rightarrow \\,\\Pi_{\\frac{A}{2},\\frac{A}{2}}\\circ \\Pi_{-\\frac{A}{2},\\frac{A}{2}}(x)\\,=\\,\\int_{x-\\frac{A}{2}}^{\\frac{A}{2}}du\\,=\\,A-x\\\\\n", "\\end{align*}" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "This is identical to [above piece-wise definition &#10549;](2_y_exercises.ipynb#math:sec:math:eq:y_001)." ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### 2.y.1.3 Fourier transform of the triangle function: example answer to assignment 3.<a id='math:sec:exercises_fourier_triangle_c'></a><!--\\label{math:sec:exercises_fourier_triangle_c}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "We know that ([convolution theorem &#10142;](2_7_fourier_theorems.ipynb#math:sec:convolution_theorem)<!--\\ref{math:sec:convolution_theorem}-->, [similarity theorem &#10142;](2_7_fourier_theorems.ipynb#math:sec:similarity_theorem)<!--\\ref{math:sec:similarity_theorem}-->, [definition of the triangle function &#10549;](#math:eq:y_002)<!--\\ref{math:eq:y_002}-->, [Fourier transform of the rectangle boxcar function &#10142;](2_4_the_fourier_transform.ipynb#math:sec:fourier_transform_of_the_rectangle_and_the_sinc_function)<!--\\ref{math:sec:convolution_theorem}-->):" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_005'></a><!--\\label{math:eq:y_005}-->$$\n", "\\begin{align*}\n", "\\mathscr{F}\\{h\\circ g\\}\\,&=\\,\\mathscr{F}\\{h\\}\\cdot\\mathscr{F}\\{g\\}\\\\\n", "g\\,=\\,h(ax) \\,&\\Rightarrow\\, \\mathscr{F}\\{g\\}(s) = \\frac{1}{|a|}\\mathscr{F}\\{h\\}(\\frac{s}{a})\\\\\n", "f(x) \\,&=\\, \\frac{B}{A}\\Pi_A\\circ\\Pi_A(x)\\\\\n", "\\Pi_A(x)\\,&=\\,\\Pi(\\frac{x}{A})\\\\\n", "\\mathscr{F}\\{\\Pi\\}(s) \\,&=\\,{\\rm sinc}(s) \\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "This makes our calculations a lot shorter." ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_006'></a><!--\\label{math:eq:y_006}-->$$\n", "\\begin{align*}\n", "\\mathscr{F}\\{f\\}(s)\\,&=\\,\\mathscr{F}\\{\\frac{B}{A}\\Pi_A\\circ\\Pi_A\\}(s)\\\\\n", "&=\\,\\frac{B}{A}\\mathscr{F}\\{\\Pi_A\\}(s)\\cdot\\mathscr{F}\\{\\Pi_A\\}(s)\\\\\n", "&=\\,\\frac{B}{A}\\mathscr{F}\\{A\\Pi\\}(As)\\cdot\\mathscr{F}\\{A\\Pi_A\\}(As)\\\\\n", "&=\\,AB\\,\\mathscr{F}\\{\\Pi\\}(As)\\cdot\\mathscr{F}\\{\\Pi\\}(As)\\\\\n", "&=\\,AB\\,{\\rm sinc}(As)\\cdot{\\rm sinc}(As)\\\\\n", "&=\\,AB\\,{\\rm sinc}^2(As)\\\\\n", "&=\\,AB\\,\\frac{sin^2 A\\pi s}{A^2\\pi^2 s^2}\\\\\n", "\\end{align*}$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "So the solution looks like this:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "def plotfftriangle():\n", " \n", " A = 1.\n", " B = 1.\n", " \n", " # Start the plot, create a figure instance and a subplot\n", " fig = plt.figure(figsize=(20,5))\n", " ax = fig.add_subplot(111)\n", "\n", " \n", " twv, twh = plotviewgraph(fig, ax, xmin = -3./A, xmax = 3./A, ymin = -0.3, ymax = B) \n", " ticx = [[-A,r'$-\\frac{1}{A}$'],[A,'A']]\n", " \n", " ticx = [[-3.*A, r'$\\frac{-3}{A}$'], [-2.*A, r'$\\frac{-2}{A}$'], [-1./A, r'$\\frac{-1}{A}$'], [1./A, r'$\\frac{1}{A}$'], [2./A, r'$\\frac{2}{A}$'], [3./A, r'$\\frac{3}{A}$']]\n", " for tupel in ticx:\n", " ax.plot([tupel[0],tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0], 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'center', verticalalignment = 'top', color = 'black')\n", " \n", " ticx = [[0.,r'$0$']]\n", " for tupel in ticx:\n", " ax.plot([tupel[0],tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0]+twh, 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", "\n", " \n", " ticy = [[B,r'$\\frac{B}{A}$']]\n", " for tupel in ticy:\n", " ax.plot([-twh, twh], [tupel[0], tupel[0]], 'k-')\n", " ax.text(0.+twv, tupel[0], tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'bottom', color = 'black')\n", "\n", " # Plot the function\n", " x = np.linspace(-4.*A, 4.*A, 900)\n", " y = np.power(np.sinc(x),2)\n", "\n", " # Annotate axes\n", " ax.text(0.-A/20, 1.2*(B), r'$f(x)$', fontsize = 24, horizontalalignment = 'right', verticalalignment = 'bottom', color = 'black')\n", " ax.text(1.2*3.*A, 0., r'$x$', fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", " \n", " ax.plot(x, y, 'r-', lw = 2)\n", " \n", "plotfftriangle()\n", "# <a id='math:fig:fftriangle'></a><!--\\label{math:fig:fftriangle}-->" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Figure 2.y.2:** Triangle function with width $2A$ and amplitude $B$.<a id='math:fig:ft_of_triangle'></a><!--\\label{math:fig:ft_of_triangle}-->" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 2.y.2. Fourier transforms and convolution: Convolution of two functions with finite support<a id='math:sec:exercises_convolution_of_two_functions_with_finite_support'></a><!--\\label{math:sec:exercises_convolution_of_two_functions_with_finite_support}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Consider the two functions given below:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def plotrectntria():\n", " \n", " A = 1.\n", " B = 1.4\n", " \n", " # Start the plot, create a figure instance and a subplot\n", " fig = plt.figure(figsize=(20,5))\n", " ax = fig.add_subplot(121)\n", " \n", " twv, twh = plotviewgraph(fig, ax, xmin = 0., xmax = 3.*A, ymin = 0., ymax = 3.) \n", "\n", " ticx = [[1.*A, r'$A$'], [2.*A, r'$2A$'], [3.*A, r'$3A$']]\n", " for tupel in ticx:\n", " ax.plot([tupel[0],tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0], 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'center', verticalalignment = 'top', color = 'black')\n", " \n", " ticx = [[0.,r'$0$']]\n", " for tupel in ticx:\n", " ax.plot([-tupel[0],-tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0]+twh, 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", "\n", " \n", " ticy = [[1,r'$1$'], [2.,r'$2$'], [3.,r'$3$']]\n", " for tupel in ticy:\n", " ax.plot([-twh, twh], [tupel[0], tupel[0]], 'k-')\n", " ax.text(0.-twv, tupel[0], tupel[1], fontsize = 24, horizontalalignment = 'right', verticalalignment = 'center', color = 'black')\n", "\n", " ticy = [[B, r'$B$']]\n", " for tupel in ticy:\n", " ax.plot([-twh, twh], [tupel[0], tupel[0]], 'k-')\n", " ax.text(0.+twv, tupel[0], tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'bottom', color = 'black')\n", "\n", " # Plot the function\n", " x = [A, A, 2*A, 2*A]\n", " y = [0., B, B, 0.]\n", " ax.plot(x, y, 'r-', lw = 2)\n", "\n", " x = [0., A]\n", " y = [B, B]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " # Annotate axes\n", " ax.text(0.-3.*twh, 1.2*3., r'$g(x)$', fontsize = 24, horizontalalignment = 'right', verticalalignment = 'bottom', color = 'black')\n", " ax.text(1.1*3.*A, 0., r'$x$', fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", " \n", " ###################\n", " \n", " ax = fig.add_subplot(122)\n", "\n", " twv, twh = plotviewgraph(fig, ax, xmin = 0., xmax = 3.*A, ymin = 0., ymax = 3.) \n", "\n", " ticx = [[1.*A, r'$A$'], [2.*A, r'$2A$'], [3.*A, r'$3A$']]\n", " for tupel in ticx:\n", " ax.plot([tupel[0],tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0], 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'center', verticalalignment = 'top', color = 'black')\n", " \n", " ticx = [[0.,r'$0$']]\n", " for tupel in ticx:\n", " ax.plot([-tupel[0],-tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0]+twh, 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", "\n", " \n", " ticy = [[1,r'$1$'], [2.,r'$2$'], [3.,r'$3$']]\n", " for tupel in ticy:\n", " ax.plot([-twh, twh], [tupel[0], tupel[0]], 'k-')\n", " ax.text(0.-twv, tupel[0], tupel[1], fontsize = 24, horizontalalignment = 'right', verticalalignment = 'center', color = 'black')\n", "\n", "\n", " # Plot the function\n", " x = [A, A, 2*A, 3*A, 3*A]\n", " y = [0., 1., 3., 1., 0.]\n", " ax.plot(x, y, 'r-', lw = 2)\n", "\n", " x = [0., A]\n", " y = [1., 1.]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " x = [0., 2*A]\n", " y = [3., 3.]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " # Annotate axes\n", " ax.text(0.-3.*twh, 1.2*3., r'$f(x)$', fontsize = 24, horizontalalignment = 'right', verticalalignment = 'bottom', color = 'black')\n", " ax.text(1.1*3.*A, 0., r'$x$', fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", "\n", "plotrectntria()\n", "# <a id='math:fig:two_fs_with_finite_support'></a><!--\\label{math:fig:two_fs_with_finite_support}-->" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Figure 2.y.3:** Triangle function with width $2A$ and amplitude $B$.<a id='math:fig:two_fs_with_finite_support'></a><!--\\label{math:fig:two_fs_with_finite_support}-->" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<b>Assignments:</b>\n", "<ol type=\"A\">\n", " <li>Write down the functions g and h.</li>\n", " <li>Calculate their convolution.</li>\n", "</ol>" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### 2.y.2.1 Convolution of two functions with finite support: example answer to assignment 1.<a id='math:sec:exercises_convolution_of_two_functions_with_finite_support_a'></a><!--\\label{math:sec:exercises_convolution_of_two_functions_with_finite_support_a}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<b>Write down the functions g and h.</b>" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_007'></a><!--\\label{math:eq:y_007}-->$$\n", "\\begin{align*}\n", "h(x) &= \\left \\{\n", " \\begin{array}{lll}\n", " B & {\\rm for} & A \\leq x \\leq 2A\\\\\n", " 0 & {\\rm else}\n", "\\end{array}\\right .\\\\\n", "g(x) &= \\left \\{\n", " \\begin{array}{lll}\n", " g_1(x)\\,=\\,\\frac{2}{A}\\left(x-\\frac{A}{2}\\right) & {\\rm for} & A \\leq x \\leq 2A\\\\\n", " g_2(x)\\,=\\,-\\frac{2}{A}\\left(x-\\frac{7A}{2}\\right) & {\\rm for} & 2A \\leq x \\leq 3A\\\\\n", " 0 & {\\rm else}\n", "\\end{array}\\right .\\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "#### 2.y.2.2 Convolution of two functions with finite support: example answer to assignment 2.<a id='math:sec:exercises_convolution_of_two_functions_with_finite_support_b'></a><!--\\label{math:sec:exercises_convolution_of_two_functions_with_finite_support_b}-->" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We have to evaluate the integral (see [definition of the convolution &#10142;](2_5_convolution.ipynb#math:sec:definition_of_the_convolution) <!--\\ref{math:sec:definition_of_the_convolution}-->):" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_008'></a><!--\\label{math:eq:y_008}-->$$\n", "g\\circ h(x) \\, = \\, \\int_{-\\infty}^{\\infty}g(x-t)h(t)\\,dt\n", "$$" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "To do so, we calculate the integral for ranges of $x$, depending on the supports (ranges where the function in non-zero) of $g(x-t)$ and $h(t)$, or $h_1(t)$ and $g_2(t)$ respectively." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As an aid, rewrite [above functions &#10549;](#math:eq:y_008)<!--\\ref{math:eq:y_008}-->:" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "<a id='math:eq:y_009'></a><!--\\label{math:eq:y_009}-->$$\n", "\\begin{align*}\n", "g(x-t) &= \\left \\{\n", " \\begin{array}{lll}\n", " B & {\\rm for} & -2A+x \\leq t \\leq -A+x\\\\\n", " 0 & {\\rm else}\n", "\\end{array}\\right .\\\\\n", "h(t) &= \\left \\{\n", " \\begin{array}{lll}\n", " h_1(t)\\,=\\,\\frac{2}{A}\\left(t-\\frac{A}{2}\\right) & {\\rm for} & A \\leq t \\leq 2A\\\\\n", " h_2(t)\\,=\\,-\\frac{2}{A}\\left(t-\\frac{7A}{2}\\right) & {\\rm for} & 2A \\leq t \\leq 3A\\\\\n", " 0 & {\\rm else}\n", "\\end{array}\\right .\\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Case 1:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_010'></a><!--\\label{math:eq:y_010}-->$$\n", "\\begin{align*}\n", "x \\,&<\\, 2A\\qquad\\,\\Rightarrow\\\\\n", "g\\circ h(x) \\, &= \\, \\int_{-\\infty}^{A}g(x-t)h(t)\\,dt\\\\\n", "&=\\, 0\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Case 2:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_011'></a><!--\\label{math:eq:y_011}-->$$\n", "\\begin{align*}\n", "2A \\,&\\leq x \\,<\\, 3A\\qquad\\Rightarrow\\\\\n", "g\\circ h(x) \\, &= \\, \\int_{-\\infty}^{\\infty}g(x-t)h(t)\\,dt\\\\\n", "&=\\, \\int_{A}^{x-A}B\\,h_1(t)\\,dt\\,\\\\\n", "&=\\,\\int_{A}^{x-A}\\frac{2B}{A}\\left(t-\\frac{A}{2}\\right)\\,dt\\\\\n", "&=\\,\\frac{B}{A}\\left(x^2-3Ax+2A^2\\right)\\\\\n", "\\end{align*}$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Case 3:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_012'></a><!--\\label{math:eq:y_012}-->$$\n", "\\begin{align*}\n", "3A \\,&\\leq\\, x \\,<\\, 4A\\qquad\\Rightarrow\\\\\n", "g\\circ h(x) \\, &=\\, \\int_{x-2A}^{2A}B\\,h_1(t)\\,dt+ \\int_{2A}^{x-A}B\\,h_2(t)\\,dt\\\\\n", "&=\\,\\int_{x-2A}^{2A}\\frac{2B}{A}\\left(t-\\frac{A}{2}\\right)\\,dt- \\int_{2A}^{x-A}\\frac{2B}{A}\\left(t-\\frac{7A}{2}\\right)\\,dt\\\\\n", "&=\\,\\frac{B}{A}\\left(-2x^2+14Ax-22A^2\\right)\\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Case 4:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_013'></a><!--\\label{math:eq:y_013}-->$$\n", "\\begin{align*}\n", "4A \\,&\\leq x \\,<\\, 5A\\qquad\\Rightarrow\\\\\n", "g\\circ h(x) \\, &=\\, \\int_{x-2A}^{3A}B\\,h_2(t)\\,dt\\,=\\,\\int_{x-2A}^{3A}-\\frac{2B}{A}\\left(t-\\frac{7A}{2}\\right)\\,dt\\\\\n", "&=\\,\\frac{B}{A}\\left(x^2-11Ax+30A^2\\right)\\\\\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Case 5:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_014'></a><!--\\label{math:eq:y_014}-->$$\n", "\\begin{align*}\n", "5A&\\,\\leq\\,x\\qquad\\,\\Rightarrow\\\\\n", "g\\circ h(x) \\, &= \\, \\int_{3A}^{\\infty}g(x-t)h(t)\\,dt\\\\\n", "&=\\, 0\n", "\\end{align*}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Summarising, the convolution of g and h results in the following composite function:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='math:eq:y_014'></a><!--\\label{math:eq:y_014}-->$$\n", "\\begin{align*}\n", "g\\circ h(x) \\, &= \n", " \\frac{B}{A}\\left\\{\\begin{array}{lll}\n", " 0 & {\\rm for} & x < 2A \\\\\n", " x^2-3Ax+2A^2 & {\\rm for} & 2A \\leq x < 3A\\\\\n", " -2x^2+14Ax-22A^2 & {\\rm for} & 3A \\leq x < 4A\\\\\n", " x^2-11Ax+30A^2 & {\\rm for} & 4A \\leq x < 5A\\\\\n", " 0 & {\\rm for} & 5A \\leq x \\\\\n", "\\end{array}\\right .\\\\\n", "\\end{align*}$$" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def rectntriaconv(A,B,x):\n", " \n", " xn = x[x < (2*A)]\n", " yn = xn*0.\n", " y = yn\n", " \n", " xn = x[(x == 2*A) | (x > 2*A) & (x < 3*A)]\n", " yn = (B/A)*(np.power(xn,2)-3*A*xn+2*np.power(A,2))\n", " y = np.append(y,yn)\n", " \n", " xn = x[(x == 3*A) | (x > 3*A) & (x < 4*A)]\n", " yn = (B/A)*((-2*np.power(xn,2))+14*A*xn-22*np.power(A,2))\n", " y = np.append(y,yn)\n", " \n", " xn = x[(x == 4*A) | (x > 4*A) & (x < 5*A)]\n", " yn = (B/A)*(np.power(xn,2)-11*A*xn+30*np.power(A,2))\n", " y = np.append(y,yn)\n", " \n", " xn = x[(x == 5*A) | (x > 5*A)]\n", " yn = xn*0.\n", " y = np.append(y,yn)\n", "\n", " return y\n", "\n", "def plotrectntriaconv():\n", " A = 1.\n", " B = 1.4\n", " \n", " # Start the plot, create a figure instance and a subplot\n", " fig = plt.figure(figsize=(20,5))\n", " ax = fig.add_subplot(121)\n", " \n", " twv, twh = plotviewgraph(fig, ax, xmin = 0., xmax = 6.*A, ymin = 0., ymax = 2.5*A*B) \n", "\n", " ticx = [[1.*A, r'$A$'], [2.*A, r'$2A$'], [3.*A, r'$3A$'], [4.*A, r'$4A$'], [5.*A, r'$5A$'], [6.*A, r'$6A$']]\n", " for tupel in ticx:\n", " ax.plot([tupel[0],tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0], 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'center', verticalalignment = 'top', color = 'black')\n", " \n", " ticx = [[0.,r'$0$']]\n", " for tupel in ticx:\n", " ax.plot([-tupel[0],-tupel[0]],[-twv, twv], 'k-')\n", " ax.text(tupel[0]+twh, 0.-2.*twh, tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", " \n", " ticy = [[2*A*B, r'$2AB$'], [2.5*A*B, r'$\\frac{5}{2}AB$']]\n", " for tupel in ticy:\n", " ax.plot([-twh, twh], [tupel[0], tupel[0]], 'k-')\n", " ax.text(0.+5*twv, tupel[0], tupel[1], fontsize = 24, horizontalalignment = 'left', verticalalignment = 'bottom', color = 'black')\n", "\n", " # Plot the function\n", " x = np.linspace(0., 7.*A, 900)\n", " y = rectntriaconv(A,B,x)\n", " ax.plot(x, y, 'r-', lw = 2)\n", "\n", " # Plot a few lines\n", " x = [0., 4*A]\n", " y = [2.*A*B, 2.*A*B]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " x = [0., 3.5*A]\n", " y = [2.5*A*B, 2.5*A*B]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " x = [3.*A, 3.*A]\n", " y = [0., 2.*A*B]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " x = [4.*A, 4.*A]\n", " y = [0., 2.*A*B]\n", " ax.plot(x, y, 'k--', lw = 1)\n", "\n", " \n", " # Annotate axes\n", " ax.text(0.-3.*twh, 1.25*2.5*A*B, r'$g\\circ h(x)$', fontsize = 24, horizontalalignment = 'right', verticalalignment = 'bottom', color = 'black')\n", " ax.text(1.1*6.*A, 0., r'$x$', fontsize = 24, horizontalalignment = 'left', verticalalignment = 'top', color = 'black')\n", "\n", "plotrectntriaconv()\n", "# <a id='math:fig:two_fs_wfs'></a><!--\\label{math:fig:two_fs_wfs}-->" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "**Figure 2.2.3:** Convolution of the two functions g and h from [Fig. 2.y.3 &#10549;](#math:fig:two_fs_with_finite_support) .<!--\\ref{math:fig:two_fs_with_finite_support}-->." ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "***\n", "\n", "* Next: [3. Positional Astronomy](3_0_introduction.ipynb)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-2.0
emannuelOC/ml-nlp
models/.ipynb_checkpoints/word2vec-imdb-checkpoint.ipynb
1
12034
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# word2vec IMDB data\n", "\n", "Training word2vec embeddings on the IMDB database and experimenting.\n", "\n", "Referência: Tutorial Kagggle [\"Bag of Words meets Bags of Popcorn\"](https://www.kaggle.com/c/word2vec-nlp-tutorial#part-2-word-vectors)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from bs4 import BeautifulSoup\n", "import re\n", "from nltk.corpus import stopwords\n", "import nltk.data\n", "import pandas as pd\n", "import gensim" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "train = pd.read_csv( \"labeledTrainData.tsv\", header=0, \n", " delimiter=\"\\t\", quoting=3 )\n", "test = pd.read_csv( \"testData.tsv\", header=0, delimiter=\"\\t\", quoting=3 )\n", "unlabeled_train = pd.read_csv( \"unlabeledTrainData.tsv\", header=0, \n", " delimiter=\"\\t\", quoting=3 )" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def review_to_wordlist( review, remove_stopwords=False ):\n", " # Function to convert a document to a sequence of words,\n", " # optionally removing stop words. Returns a list of words.\n", " #\n", " # 1. Remove HTML\n", " review_text = BeautifulSoup(review).get_text()\n", " # \n", " # 2. Remove non-letters\n", " review_text = re.sub(\"[^a-zA-Z]\",\" \", review_text)\n", " #\n", " # 3. Convert words to lower case and split them\n", " words = review_text.lower().split()\n", " #\n", " # 4. Optionally remove stop words (false by default)\n", " if remove_stopwords:\n", " stops = set(stopwords.words(\"english\"))\n", " words = [w for w in words if not w in stops]\n", " #\n", " # 5. Return a list of words\n", " return(words)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Load the punkt tokenizer\n", "tokenizer = nltk.data.load('tokenizers/punkt/english.pickle')\n", "\n", "# Define a function to split a review into parsed sentences\n", "def review_to_sentences( review, tokenizer, remove_stopwords=False ):\n", " # Function to split a review into parsed sentences. Returns a \n", " # list of sentences, where each sentence is a list of words\n", " #\n", " # 1. Use the NLTK tokenizer to split the paragraph into sentences\n", " raw_sentences = tokenizer.tokenize(review.decode('utf-8').strip())\n", " #\n", " # 2. Loop over each sentence\n", " sentences = []\n", " for raw_sentence in raw_sentences:\n", " # If a sentence is empty, skip it\n", " if len(raw_sentence) > 0:\n", " # Otherwise, call review_to_wordlist to get a list of words\n", " sentences.append( review_to_wordlist( raw_sentence, \\\n", " remove_stopwords ))\n", " #\n", " # Return the list of sentences (each sentence is a list of words,\n", " # so this returns a list of lists\n", " return sentences" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Parsing sentences from training set\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:181: UserWarning: No parser was explicitly specified, so I'm using the best available HTML parser for this system (\"html5lib\"). This usually isn't a problem, but if you run this code on another system, or in a different virtual environment, it may use a different parser and behave differently.\n", "\n", "The code that caused this warning is on line 162 of the file /System/Library/Frameworks/Python.framework/Versions/2.7/lib/python2.7/runpy.py. To get rid of this warning, change code that looks like this:\n", "\n", " BeautifulSoup(YOUR_MARKUP})\n", "\n", "to this:\n", "\n", " BeautifulSoup(YOUR_MARKUP, \"html5lib\")\n", "\n", " markup_type=markup_type))\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:219: UserWarning: \".\" looks like a filename, not markup. You should probably open this file and pass the filehandle into Beautiful Soup.\n", " ' Beautiful Soup.' % markup)\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:282: UserWarning: \"http://www.happierabroad.com\"\" looks like a URL. Beautiful Soup is not an HTTP client. You should probably use an HTTP client like requests to get the document behind the URL, and feed that document to Beautiful Soup.\n", " ' that document to Beautiful Soup.' % decoded_markup\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Parsing sentences from unlabeled set\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:282: UserWarning: \"http://www.archive.org/details/LovefromaStranger\"\" looks like a URL. Beautiful Soup is not an HTTP client. You should probably use an HTTP client like requests to get the document behind the URL, and feed that document to Beautiful Soup.\n", " ' that document to Beautiful Soup.' % decoded_markup\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:282: UserWarning: \"http://www.loosechangeguide.com/LooseChangeGuide.html\"\" looks like a URL. Beautiful Soup is not an HTTP client. You should probably use an HTTP client like requests to get the document behind the URL, and feed that document to Beautiful Soup.\n", " ' that document to Beautiful Soup.' % decoded_markup\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:282: UserWarning: \"http://www.msnbc.msn.com/id/4972055/site/newsweek/\"\" looks like a URL. Beautiful Soup is not an HTTP client. You should probably use an HTTP client like requests to get the document behind the URL, and feed that document to Beautiful Soup.\n", " ' that document to Beautiful Soup.' % decoded_markup\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:219: UserWarning: \"..\" looks like a filename, not markup. You should probably open this file and pass the filehandle into Beautiful Soup.\n", " ' Beautiful Soup.' % markup)\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:282: UserWarning: \"http://www.youtube.com/watch?v=a0KSqelmgN8\"\" looks like a URL. Beautiful Soup is not an HTTP client. You should probably use an HTTP client like requests to get the document behind the URL, and feed that document to Beautiful Soup.\n", " ' that document to Beautiful Soup.' % decoded_markup\n", "/Users/emannuelcarvalho/.virtualenvs/primogenithon/lib/python2.7/site-packages/bs4/__init__.py:282: UserWarning: \"http://jake-weird.blogspot.com/2007/08/beneath.html\"\" looks like a URL. Beautiful Soup is not an HTTP client. You should probably use an HTTP client like requests to get the document behind the URL, and feed that document to Beautiful Soup.\n", " ' that document to Beautiful Soup.' % decoded_markup\n" ] } ], "source": [ "sentences = [] # Initialize an empty list of sentences\n", "\n", "print(\"Parsing sentences from training set\")\n", "for review in train[\"review\"]:\n", " sentences += review_to_sentences(review, tokenizer)\n", "\n", "print(\"Parsing sentences from unlabeled set\")\n", "for review in unlabeled_train[\"review\"]:\n", " sentences += review_to_sentences(review, tokenizer)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [], "source": [ "model = gensim.models.Word2Vec(sentences, min_count=1)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[(u'worst', 0.8046547174453735), (u'funniest', 0.6916342973709106), (u'finest', 0.6374659538269043), (u'stupidest', 0.6306242942810059), (u'weakest', 0.6112555265426636), (u'poorest', 0.6031641364097595), (u'lamest', 0.5914826989173889), (u'greatest', 0.5895812511444092), (u'dumbest', 0.5713971853256226), (u'scariest', 0.5700672268867493)]\n" ] } ], "source": [ "print(model.wv.most_similar(positive=['bad', 'best'], negative=['good']))" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [], "source": [ "acc = model.accuracy('questions-words.txt')" ] }, { "cell_type": "code", "execution_count": 36, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[('section', u'capital-common-countries'),\n", " ('section', u'capital-world'),\n", " ('section', u'currency'),\n", " ('section', u'city-in-state'),\n", " ('section', u'family'),\n", " ('section', u'gram1-adjective-to-adverb'),\n", " ('section', u'gram2-opposite'),\n", " ('section', u'gram3-comparative'),\n", " ('section', u'gram4-superlative'),\n", " ('section', u'gram5-present-participle'),\n", " ('section', u'gram6-nationality-adjective'),\n", " ('section', u'gram7-past-tense'),\n", " ('section', u'gram8-plural'),\n", " ('section', u'gram9-plural-verbs'),\n", " ('section', 'total')]" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "[(d.keys()[1], d[d.keys()[1]]) for d in acc]" ] }, { "cell_type": "code", "execution_count": 54, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(u'capital-common-countries', 26, 246)\n", "(u'capital-world', 19, 269)\n", "(u'currency', 0, 40)\n", "(u'city-in-state', 6, 851)\n", "(u'family', 241, 179)\n", "(u'gram1-adjective-to-adverb', 47, 883)\n", "(u'gram2-opposite', 42, 608)\n", "(u'gram3-comparative', 643, 689)\n", "(u'gram4-superlative', 217, 539)\n", "(u'gram5-present-participle', 271, 541)\n", "(u'gram6-nationality-adjective', 80, 1149)\n", "(u'gram7-past-tense', 382, 950)\n", "(u'gram8-plural', 273, 657)\n", "(u'gram9-plural-verbs', 352, 350)\n", "('total', 2599, 7951)\n" ] } ], "source": [ "for i in range(0, len(acc)):\n", " print(acc[i][acc[i].keys()[1]], len(acc[i]['correct']), len(acc[i]['incorrect']))#, len(acc[i]['correct']/len(acc[i]['incorrect']))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
Destaneon/python-fundamentals
cheat-sheets/Web-Scraping.ipynb
2
7839
{ "metadata": { "name": "", "signature": "sha256:0ceb0aadf19731319000a3ee3b25e11883f365a935421095a95a40259ddd428d" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Sometimes, we really need to use some data from a web page. Hopefully we can find the data in a useful format that we can work with, like CSV or even Excel files. But if it really only exists on a web page, we will have to use *web scraping* to get it. This is usually fiddly and frustrating, which is why it's a last resort.\n", "\n", "There are three steps to web scraping:\n", "\n", "1. **Fetch** the page\n", "2. **Parse** the HTML\n", "3. **Select** the data you want\n", "\n", "For this demo, we'll use [a list of country areas](https://www.cia.gov/library/publications/the-world-factbook/rankorder/2147rank.html) from the CIA World Factbook. This is available in better formats, but it's a good illustration." ] }, { "cell_type": "code", "collapsed": false, "input": [ "import requests\n", "import lxml.html" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "# Fetch the data\n", "response = requests.get('https://www.cia.gov/library/publications/the-world-factbook/rankorder/2147rank.html')\n", "response.text[:200]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 3, "text": [ "'<!doctype html>\\n<!--[if lt IE 7]> <html class=\"no-js lt-ie9 lt-ie8 lt-ie7\" lang=\"en\"> <![endif]-->\\n<!--[if IE 7]> <html class=\"no-js lt-ie9 lt-ie8\" lang=\"en\"> <![endif]-->\\n<!--[if IE 8]> <html c'" ] } ], "prompt_number": 3 }, { "cell_type": "markdown", "metadata": {}, "source": [ "At the moment, this is just one long string. We need to *parse* it so the computer knows about the structure." ] }, { "cell_type": "code", "collapsed": false, "input": [ "# Parse the HTML\n", "# Note that lxml can do this in one step, but we're keeping the steps separate for now\n", "html = lxml.html.fromstring(response.text)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "To work out how to get the bit of data we want, go to the page in your browser, right click on one of the things we want, and click 'Inspect element' to examine the HTML structure of the page.\n", "\n", "We get the data we want using XPath, a special mini-language for selecting elements in HTML and XML documents. Here, `//td[@class=\"region\"]` matches all `<td>` (table data) tags anywhere in the page with the attribute `class=\"region\"`. More information about XPath is available [on Wikipedia](http://en.wikipedia.org/wiki/XPath) (as with many technical things).\n", "\n", "If you already know how to use CSS selectors, you can alternatively use those, but we won't cover that here (because I don't know them as well)! If you don't know what CSS selectors are, save it for later." ] }, { "cell_type": "code", "collapsed": false, "input": [ "countries = []\n", "for td in html.xpath(\"\"\"//td[@class=\"region\"]\"\"\"):\n", " countries.append(td.text_content())" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "print(len(countries))\n", "countries[:10]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "253\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ "['Country Comparison\\xa0::\\xa0Area',\n", " 'Russia',\n", " 'Canada',\n", " 'United States',\n", " 'China',\n", " 'Brazil',\n", " 'Australia',\n", " 'India',\n", " 'Argentina',\n", " 'Kazakhstan']" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "areas = []\n", "for td in html.xpath(\"\"\"//td[@class=\"category_data\"]\"\"\"):\n", " areas.append(td.text_content())" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "print(len(areas))\n", "areas[:10]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "252\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 10, "text": [ "[' 17,098,242',\n", " ' 9,984,670',\n", " ' 9,826,675',\n", " ' 9,596,960',\n", " ' 8,514,877',\n", " ' 7,741,220',\n", " ' 3,287,263',\n", " ' 2,780,400',\n", " ' 2,724,900',\n", " ' 2,381,741']" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "areas_num = []\n", "for a in areas:\n", " areas_num.append(int(a.strip().replace(',', '')))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we'll match them back up using Python's `zip()` function to join two lists together. Remember that the first name in the countries list is spurious, so we need to throw it away." ] }, { "cell_type": "code", "collapsed": false, "input": [ "list(zip(countries[1:], areas_num))[:10]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "[('Russia', 17098242),\n", " ('Canada', 9984670),\n", " ('United States', 9826675),\n", " ('China', 9596960),\n", " ('Brazil', 8514877),\n", " ('Australia', 7741220),\n", " ('India', 3287263),\n", " ('Argentina', 2780400),\n", " ('Kazakhstan', 2724900),\n", " ('Algeria', 2381741)]" ] } ], "prompt_number": 13 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Another introduction to scraping using these same tools can be found [in The Hitchhiker's Guide to Python](http://docs.python-guide.org/en/latest/scenarios/scrape/)." ] }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Exercise" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pick another page from the CIA world factbook, or if you're feeling adventurous, another page with data from elsewhere on the internet. Scrape data from it using these tools." ] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
apache-2.0
GeosoftInc/gxpy
examples/jupyter_notebooks/Tutorials/Coordinate Systems.ipynb
1
12727
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Copyright (c) 2017 [Geosoft Inc.](geosoft.com)\n", "\n", "https://github.com/GeosoftInc/gxpy\n", "\n", "[BSD 2-clause License](https://github.com/GeosoftInc/gxpy/blob/master/LICENSE)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n", "### Lessons\n", "\n", "<!--- # Run this from a code cell to create TOC markdown: -->\n", "<!--- import geosoft.gxpy.utility; print(geosoft.gxpy.utility.jupyter_markdown_toc('Coordinate Systems')) -->\n", " 1. [Understanding Coordinate Systems](#Understanding-Coordinate-Systems)\n", " 2. [How to describe a coordinate system](#How-to-describe-a-coordinate-system)\n", " 3. [Coordinate System instance](#Coordinate-System-instance)\n", " 4. [Coordinate systems and spatial data](#Coordinate-systems-and-spatial-data)\n", "\n", "__See Also:__ [Tutorial page](https://geosoftgxdev.atlassian.net/wiki/spaces/GXD93/pages/102957255/Coordinate+Systems)\n", "\n", "## Understanding Coordinate Systems\n", "\n", "Refer to [Understanding Coordinate Systems](https://geosoftgxdev.atlassian.net/wiki/spaces/GXD93/pages/102957255/Coordinate+Systems#CoordinateSystems-UnderstandingCoordinateSystems) on the Tutorial page for general information about coordinate systems.\n", "\n", "## How to describe a coordinate system\n", "\n", "The simplest way to describe a coordinate system is by using a coordinate system string, which in Geosoft as the following form:\n", "\n", "```\n", "\"horizontal_datum / map_projection [vertical_datum]\"\n", "```\n", "\n", "Horizontal datum names recognized by Geosoft are listed in reference table: `C:\\Program Files\\Geosoft\\Desktop Applications 9\\csv\\datum.csv`. The horizontal_datum, map_projection and vertical_datum should use the well-known names defined by the EPSG Geodetic Parameter Registry.\n", "\n", "Map projections recognized by Geosoft are listed in reference table: `C:\\Program Files\\Geosoft\\Desktop Applications 9\\csv\\transform.csv`\n", "\n", "The vertical_datum string can currently be any descriptive string, including \"_geoid_\" or \"_geodetic_\", though to future-proof your code we recommend that you use the common short name for a known vertical datum. For example, the \"North American Vertical Datum of 1988\" is commonly called \"NAVD88\"." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Coordinate System instance\n", "\n", "To create an instance of a coordinate system you can provide the coordinate system string to `<geosoft.gxpy.coordinate_system.Coordinate_system()>` to create a Coordinate_system instance. For example, the following code defines the \"UTM zone 15N\" projection on the \"NAD83\" datum, and the \"NAD27\" geographic coordinate system. These defined systems are then used to translate spatial coordinates from one system to the other." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(lon, lat): [89, -38]\n", "(lon, lat, elevation): [89, -38, 50]\n", "Geographic: NAD27\n", "[ 88.77724221 -38.49899848 50. ]\n", "[ 88.77151111 -38.49908532 60. ]\n", "[ 88.76577999 -38.49917188 70. ]\n", "[ 8.87772422e+01 -3.84989985e+01 5.00000000e+01 5.50000000e+04]\n", "[ 8.87715111e+01 -3.84990853e+01 6.00000000e+01 5.51500000e+04]\n", "[ 8.87657800e+01 -3.84991719e+01 7.00000000e+01 5.60000000e+04]\n", "False\n", "True\n", "False\n" ] } ], "source": [ "import geosoft.gxpy.gx as gx\n", "import geosoft.gxpy.coordinate_system as gxcs\n", "import numpy as np\n", " \n", "# create context\n", "gxc = gx.GXpy()\n", " \n", "# define coordinate systems and a transformer\n", "cs_utm = gxcs.Coordinate_system('NAD83 / UTM zone 15N')\n", "cs_nad27 = gxcs.Coordinate_system('NAD27')\n", "cs_transform = gxcs.Coordinate_translate(cs_utm, cs_nad27)\n", " \n", "# example transform a single (x, y) coordinate\n", "lon_lat = cs_transform.convert((345000, 64250000))\n", "print('(lon, lat): {}'.format(lon_lat))\n", " \n", "# example transform a single (x, y, elevation) coordinate\n", "print('(lon, lat, elevation): {}'.format(cs_transform.convert((345000, 64250000, 50))))\n", " \n", "# example translate a list of (x, y, z) tuples\n", "locations = [(345000., 64250000, 50), (345500, 64250000, 60), (346000, 64250000, 70)]\n", "nad27_locations = cs_transform.convert(locations)\n", "\n", "print('Geographic: {}'.format(cs_nad27))\n", "for xyz in nad27_locations:\n", " print(xyz)\n", " \n", "# example transform a numpy array in-place\n", "data = np.array([[345000, 64250000, 50, 55000],\n", " [345500, 64250000, 60, 55150],\n", " [346000, 64250000, 70, 56000]],\n", " dtype=float)\n", "nad27_locations = cs_transform.convert(data, in_place=True)\n", "for xyz in data:\n", " print(xyz)\n", " \n", "# compare coordinate systems\n", "print(cs_utm == cs_nad27)\n", "print(gxcs.Coordinate_system('WGS 84') == gxcs.Coordinate_system('WGS 84'))\n", "print(gxcs.Coordinate_system('GDA94 [geodetic]') == gxcs.Coordinate_system('GDA94 [geoid]'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Coordinate systems and spatial data\n", "\n", "All spatial information in the Geosoft environment will have a defined coordinate system, which if not explicitly set or inherited will be named \"\\*unknown\". Geosoft functions that mix spatial data from different coordinate systems will automatically transform coordinates to be in the coordinate system required by the context. Spatial locations that have an \"\\*unknown\" coordinate system are generally assumed to match the context in which the coordinates are used.\n", "\n", "When data is imported into Geosoft it is good practice to define the coordinate system my assigning the known coordinate system to the coordinate_system property of the data.. If you import data via one of the Geosoft import functions, and the data has a way of describing its coordinate system, the Geosoft import function will make a best effort to set the the coordinate_system property from the data. For example, ESRI data files often have a well defined coordinate system which is recognized when the data is imported.\n", "\n", "The `geosoft.gxpy` module exposes various spatial data structures via classes, such as the `Geosoft_database` class, the `Grid` class, the `Geometry` class, and the `View` class. All spatial classes in the Geosoft environment will have a property named `coordinate_system`, which is an instance of the `geosoft.gxpy.coordinate_system.Coordinate_system` class. The `coordinate_system` property can be used get or set the instance coordinate systems using any form supported by the `Coordinate_system` class constructor. The following script demonstrated the versatility of how to establish a coordinate systems using various forms. These examples are shown applied to a `geosoft.gxpy.grid.Grid` instance, but may be equally applied to any spatial class instance." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Initially: *unknown\n", "\n", "From name: NAD83 / UTM zone 17N\n", "['NAD83 / UTM zone 17N', 'NAD83,6378137,0.0818191910428158,0', '\"Transverse Mercator\",0,-81,0.9996,500000,0', 'm,1', '\"NAD83 to WGS 84 (1)\",0,0,0,0,0,0,0']\n", "{'type': 'Geosoft', 'name': 'NAD83 / UTM zone 17N', 'datum': 'NAD83,6378137,0.0818191910428158,0', 'projection': '\"Transverse Mercator\",0,-81,0.9996,500000,0', 'units': 'm,1', 'local_datum': '\"NAD83 to WGS 84 (1)\",0,0,0,0,0,0,0', 'orientation': '', 'vcs': ''}\n", "\n", "From gxf: ['NAD27 / UTM zone 17N', 'NAD27,6378206.4,0.0822718542230039,0', '\"Transverse Mercator\",0,-81,0.9996,500000,0', 'm,1', '\"NAD27 to WGS 84 (4)\",-8,160,176,0,0,0,0']\n", "\n", "From gxf: ['NAD27 / UTM zone 16N', 'NAD27,6378206.4,0.0822718542230039,0', '\"Transverse Mercator\",0,-87,0.9996,500000,0', 'm,1', '*local_datum,-8,160,176,0,0,0,0']\n", "\n", "From json: ['NAD27 / UTM zone 16N', 'NAD27,6378206.4,0.0822718542230039,0', '\"Transverse Mercator\",0,-87,0.9996,500000,0', 'm,1', '\"NAD27 to WGS 84 (4)\",-8,160,176,0,0,0,0']\n", "\n", "From wkt: PROJCS[\"NAD_1927_UTM_Zone_16N\",GEOGCS[\"GCS_North_American_1927\",DATUM[\"D_North_American_1927\",SPHEROID[\"Clarke_1866\",6378206.4,294.9786982]],PRIMEM[\"Greenwich\",0.0],UNIT[\"Degree\",0.0174532925199433]],PROJECTION[\"Transverse_Mercator\"],PARAMETER[\"False_Easting\",500000.0],PARAMETER[\"False_Northing\",0.0],PARAMETER[\"Central_Meridian\",-87.0],PARAMETER[\"Scale_Factor\",0.9996],PARAMETER[\"Latitude_Of_Origin\",0.0],UNIT[\"Meter\",1.0],AUTHORITY[\"EPSG\",26716]]\n" ] } ], "source": [ "import geosoft.gxpy.gx as gx\n", "import geosoft.gxpy.grid as gxgrd\n", " \n", "gxc = gx.GXpy()\n", "\n", "# create a memory grid as an example of a spatial object\n", "grid = gxgrd.Grid.new(properties=({'nx': 10, 'ny': 10}))\n", "print('Initially:', grid.coordinate_system) # initially '*unknown\"\n", " \n", "# define by a Geosoft-style coordinate system name. Parameters are derived from internal Geosoft tables.\n", "grid.coordinate_system = \"NAD83 / UTM zone 17N\"\n", "\n", "print('\\nFrom name:', grid.coordinate_system)\n", "print(grid.coordinate_system.gxf)\n", "print(grid.coordinate_system.coordinate_dict())\n", " \n", "# example use of GXF strings to change the datum to NAD27. Here we remove the name and local datum transform\n", "# and allow the Coordinate_system class to complete parameters for NAD27 from the tables.\n", "gxf = grid.coordinate_system.gxf\n", "gxf[0] = ''\n", "gxf[1] = \"NAD27\"\n", "gxf[4] = ''\n", "grid.coordinate_system = gxf\n", "print('\\nFrom gxf:', grid.coordinate_system.gxf)\n", " \n", "# fully explicit definition of UTM zone 17N on NAD27 datum using GXF strings.\n", "grid.coordinate_system = ['',\n", " 'NAD27',\n", " '\"Transverse Mercator\",0,-87,0.9996,500000,0',\n", " 'm,1',\n", " '\"*local_datum\",-8,160,176,0,0,0,0']\n", "print('\\nFrom gxf:', grid.coordinate_system.gxf)\n", " \n", "# ... from a json string. Note how to properly escape the string embedded in a string.\n", "js = '{\"units\": \"m,1\", \"datum\": \"NAD27\", \"projection\": \"\\\\\"Transverse Mercator\\\\\",0,-87,0.9996,500000,0\"}'\n", "grid.coordinate_system = js\n", "print('\\nFrom json:', grid.coordinate_system.gxf)\n", " \n", "# ... from an ESRI WKT string\n", "wkt = 'PROJCS[\"NAD_1927_UTM_Zone_16N\",' + \\\n", " 'GEOGCS[\"GCS_North_American_1927\",' + \\\n", " 'DATUM[\"D_North_American_1927\",' + \\\n", " 'SPHEROID[\"Clarke_1866\",6378206.4,294.9786982]],' + \\\n", " 'PRIMEM[\"Greenwich\",0.0],' + \\\n", " 'UNIT[\"Degree\",0.0174532925199433]],' + \\\n", " 'PROJECTION[\"Transverse_Mercator\"],' + \\\n", " 'PARAMETER[\"False_Easting\",500000.0],' + \\\n", " 'PARAMETER[\"False_Northing\",0.0],' + \\\n", " 'PARAMETER[\"Central_Meridian\",-87.0],' + \\\n", " 'PARAMETER[\"Scale_Factor\",0.9996],' + \\\n", " 'PARAMETER[\"Latitude_Of_Origin\",0.0],' + \\\n", " 'UNIT[\"Meter\",1.0],' + \\\n", " 'AUTHORITY[\"EPSG\",26716]]'\n", "grid.coordinate_system = wkt\n", "print('\\nFrom wkt:', grid.coordinate_system.esri_wkt)" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 1 }
bsd-2-clause
Almaz-KG/MachineLearning
coursera/intro-ml/week-4/practice/w4-practice-01--salary-regression.ipynb
1
22737
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import pandas as pd\n", "from sklearn.feature_extraction.text import TfidfVectorizer\n", "from sklearn.feature_extraction import DictVectorizer\n", "from sklearn.linear_model import Ridge\n", "from scipy.sparse import hstack" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "train_data = pd.read_csv('resources/salary-train.csv')" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>FullDescription</th>\n", " <th>LocationNormalized</th>\n", " <th>ContractTime</th>\n", " <th>SalaryNormalized</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>International Sales Manager London ****k ****...</td>\n", " <td>London</td>\n", " <td>permanent</td>\n", " <td>33000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>An ideal opportunity for an individual that ha...</td>\n", " <td>London</td>\n", " <td>permanent</td>\n", " <td>50000</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Online Content and Brand Manager// Luxury Reta...</td>\n", " <td>South East London</td>\n", " <td>permanent</td>\n", " <td>40000</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>A great local marketleader is seeking a perman...</td>\n", " <td>Dereham</td>\n", " <td>permanent</td>\n", " <td>22500</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Registered Nurse / RGN Nursing Home for Young...</td>\n", " <td>Sutton Coldfield</td>\n", " <td>NaN</td>\n", " <td>20355</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>Sales and Marketing Assistant will provide adm...</td>\n", " <td>Crawley</td>\n", " <td>NaN</td>\n", " <td>22500</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>Vacancy Ladieswear fashion Area Manager / Regi...</td>\n", " <td>UK</td>\n", " <td>permanent</td>\n", " <td>32000</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>Reference: LR/JAN/**** Our client is one of th...</td>\n", " <td>Bristol</td>\n", " <td>permanent</td>\n", " <td>30000</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>Sponsorship Manager London The Company A marke...</td>\n", " <td>Central London</td>\n", " <td>permanent</td>\n", " <td>31500</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>About Barclays Barclays moves, lends, invests ...</td>\n", " <td>South East London</td>\n", " <td>permanent</td>\n", " <td>42499</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " FullDescription LocationNormalized \\\n", "0 International Sales Manager London ****k ****... London \n", "1 An ideal opportunity for an individual that ha... London \n", "2 Online Content and Brand Manager// Luxury Reta... South East London \n", "3 A great local marketleader is seeking a perman... Dereham \n", "4 Registered Nurse / RGN Nursing Home for Young... Sutton Coldfield \n", "5 Sales and Marketing Assistant will provide adm... Crawley \n", "6 Vacancy Ladieswear fashion Area Manager / Regi... UK \n", "7 Reference: LR/JAN/**** Our client is one of th... Bristol \n", "8 Sponsorship Manager London The Company A marke... Central London \n", "9 About Barclays Barclays moves, lends, invests ... South East London \n", "\n", " ContractTime SalaryNormalized \n", "0 permanent 33000 \n", "1 permanent 50000 \n", "2 permanent 40000 \n", "3 permanent 22500 \n", "4 NaN 20355 \n", "5 NaN 22500 \n", "6 permanent 32000 \n", "7 permanent 30000 \n", "8 permanent 31500 \n", "9 permanent 42499 " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "train_data.head(10)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "train_data['FullDescription'] = train_data['FullDescription'].str.lower()\n", "train_data['FullDescription'] = train_data['FullDescription'].replace('[^a-zA-Z0-9]', ' ', regex=True)\n", "\n", "train_data['LocationNormalized'] = train_data['LocationNormalized'].str.lower()\n", "train_data['LocationNormalized'] = train_data['LocationNormalized'].replace('[^a-zA-Z0-9]', ' ', regex=True)\n", "\n", "train_data['ContractTime'] = train_data['ContractTime'].str.lower()\n", "train_data['ContractTime'] = train_data['ContractTime'].replace('[^a-zA-Z0-9]', ' ', regex=True)\n", "\n", "train_data['LocationNormalized'].fillna('nan', inplace=True)\n", "train_data['ContractTime'].fillna('nan', inplace=True)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>FullDescription</th>\n", " <th>LocationNormalized</th>\n", " <th>ContractTime</th>\n", " <th>SalaryNormalized</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>international sales manager london k ...</td>\n", " <td>london</td>\n", " <td>permanent</td>\n", " <td>33000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>an ideal opportunity for an individual that ha...</td>\n", " <td>london</td>\n", " <td>permanent</td>\n", " <td>50000</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>online content and brand manager luxury reta...</td>\n", " <td>south east london</td>\n", " <td>permanent</td>\n", " <td>40000</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>a great local marketleader is seeking a perman...</td>\n", " <td>dereham</td>\n", " <td>permanent</td>\n", " <td>22500</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>registered nurse rgn nursing home for young...</td>\n", " <td>sutton coldfield</td>\n", " <td>nan</td>\n", " <td>20355</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>sales and marketing assistant will provide adm...</td>\n", " <td>crawley</td>\n", " <td>nan</td>\n", " <td>22500</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>vacancy ladieswear fashion area manager regi...</td>\n", " <td>uk</td>\n", " <td>permanent</td>\n", " <td>32000</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>reference lr jan our client is one of th...</td>\n", " <td>bristol</td>\n", " <td>permanent</td>\n", " <td>30000</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>sponsorship manager london the company a marke...</td>\n", " <td>central london</td>\n", " <td>permanent</td>\n", " <td>31500</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>about barclays barclays moves lends invests ...</td>\n", " <td>south east london</td>\n", " <td>permanent</td>\n", " <td>42499</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " FullDescription LocationNormalized \\\n", "0 international sales manager london k ... london \n", "1 an ideal opportunity for an individual that ha... london \n", "2 online content and brand manager luxury reta... south east london \n", "3 a great local marketleader is seeking a perman... dereham \n", "4 registered nurse rgn nursing home for young... sutton coldfield \n", "5 sales and marketing assistant will provide adm... crawley \n", "6 vacancy ladieswear fashion area manager regi... uk \n", "7 reference lr jan our client is one of th... bristol \n", "8 sponsorship manager london the company a marke... central london \n", "9 about barclays barclays moves lends invests ... south east london \n", "\n", " ContractTime SalaryNormalized \n", "0 permanent 33000 \n", "1 permanent 50000 \n", "2 permanent 40000 \n", "3 permanent 22500 \n", "4 nan 20355 \n", "5 nan 22500 \n", "6 permanent 32000 \n", "7 permanent 30000 \n", "8 permanent 31500 \n", "9 permanent 42499 " ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "train_data.head(10)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "vectorizer = TfidfVectorizer(min_df=5)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "description_vectorized = vectorizer.fit_transform(train_data['FullDescription'])" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "dict_vectorizer = DictVectorizer()\n", "\n", "location_and_contract_time_vectorized = dict_vectorizer.fit_transform(train_data[['LocationNormalized', 'ContractTime']].to_dict('records'))" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "X = hstack([description_vectorized, location_and_contract_time_vectorized])" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [], "source": [ "ridge = Ridge(alpha=1, random_state=241)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Ridge(alpha=1, copy_X=True, fit_intercept=True, max_iter=None,\n", " normalize=False, random_state=241, solver='auto', tol=0.001)" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ridge.fit(X, train_data['SalaryNormalized'])" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "test_data = pd.read_csv('resources/salary-test-mini.csv')" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>FullDescription</th>\n", " <th>LocationNormalized</th>\n", " <th>ContractTime</th>\n", " <th>SalaryNormalized</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>We currently have a vacancy for an HR Project ...</td>\n", " <td>Milton Keynes</td>\n", " <td>contract</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>A Web developer opportunity has arisen with an...</td>\n", " <td>Manchester</td>\n", " <td>permanent</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " FullDescription LocationNormalized \\\n", "0 We currently have a vacancy for an HR Project ... Milton Keynes \n", "1 A Web developer opportunity has arisen with an... Manchester \n", "\n", " ContractTime SalaryNormalized \n", "0 contract NaN \n", "1 permanent NaN " ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "test_data.head(10)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [], "source": [ "test_description = test_data['FullDescription'].str.lower()\n", "test_description = test_description.replace('[^a-zA-Z0-9]', ' ', regex=True)\n", "test_location = test_data['LocationNormalized'].str.lower()\n", "test_location = test_location.replace('[^a-zA-Z0-9]', ' ', regex=True)\n", "test_contact_time = test_data['ContractTime'].str.lower()\n", "test_contact_time = test_contact_time.replace('[^a-zA-Z0-9]', ' ', regex=True)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [ "test_description_vectorized = vectorizer.transform(test_description)\n", "test_location_and_contract_time_vectorized = dict_vectorizer.transform([test_location, test_contact_time])" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [], "source": [ "Y = hstack([test_description_vectorized, test_location_and_contract_time_vectorized])" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([53645.02006675, 39364.75513443])" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ridge.predict(Y)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>FullDescription</th>\n", " <th>LocationNormalized</th>\n", " <th>ContractTime</th>\n", " <th>SalaryNormalized</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>international sales manager london k ...</td>\n", " <td>london</td>\n", " <td>permanent</td>\n", " <td>33000</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>an ideal opportunity for an individual that ha...</td>\n", " <td>london</td>\n", " <td>permanent</td>\n", " <td>50000</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>online content and brand manager luxury reta...</td>\n", " <td>south east london</td>\n", " <td>permanent</td>\n", " <td>40000</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>a great local marketleader is seeking a perman...</td>\n", " <td>dereham</td>\n", " <td>permanent</td>\n", " <td>22500</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>registered nurse rgn nursing home for young...</td>\n", " <td>sutton coldfield</td>\n", " <td>nan</td>\n", " <td>20355</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>sales and marketing assistant will provide adm...</td>\n", " <td>crawley</td>\n", " <td>nan</td>\n", " <td>22500</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>vacancy ladieswear fashion area manager regi...</td>\n", " <td>uk</td>\n", " <td>permanent</td>\n", " <td>32000</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>reference lr jan our client is one of th...</td>\n", " <td>bristol</td>\n", " <td>permanent</td>\n", " <td>30000</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>sponsorship manager london the company a marke...</td>\n", " <td>central london</td>\n", " <td>permanent</td>\n", " <td>31500</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>about barclays barclays moves lends invests ...</td>\n", " <td>south east london</td>\n", " <td>permanent</td>\n", " <td>42499</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " FullDescription LocationNormalized \\\n", "0 international sales manager london k ... london \n", "1 an ideal opportunity for an individual that ha... london \n", "2 online content and brand manager luxury reta... south east london \n", "3 a great local marketleader is seeking a perman... dereham \n", "4 registered nurse rgn nursing home for young... sutton coldfield \n", "5 sales and marketing assistant will provide adm... crawley \n", "6 vacancy ladieswear fashion area manager regi... uk \n", "7 reference lr jan our client is one of th... bristol \n", "8 sponsorship manager london the company a marke... central london \n", "9 about barclays barclays moves lends invests ... south east london \n", "\n", " ContractTime SalaryNormalized \n", "0 permanent 33000 \n", "1 permanent 50000 \n", "2 permanent 40000 \n", "3 permanent 22500 \n", "4 nan 20355 \n", "5 nan 22500 \n", "6 permanent 32000 \n", "7 permanent 30000 \n", "8 permanent 31500 \n", "9 permanent 42499 " ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "train_data.head(10)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.15" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
abevieiramota/data-science-cookbook
2017/04-knn-exercicio/knn_bruno_mourao.ipynb
2
59335
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "from sklearn import datasets\n", "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import collections" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class KNNClassifier(object):\n", " def __init__(self):\n", " self.X_train = None\n", " self.y_train = None\n", "\n", " def euc_distance(self, a, b):\n", " return np.linalg.norm(a-b)\n", "\n", " def closest(self, row,k):\n", " \"\"\"\n", " Retorna a classe respondente ao ponto mais próximo do dataset de treino.\\\n", " É um exemplo de implementação do kNN com k=1.\n", " \"\"\"\n", " dists = [self.euc_distance(row, item) for item in self.X_train][self.y_trains[row]]\n", " dists.sort(key=operator.itemgetter(1))\n", " neighbors = []\n", " for x in range(k):\n", " neighbors.append(dist[x][0])\n", " return neighbors\n", " def define_class(neighbors):\n", " classVotes = {}\n", " for x in range(len(neighbors)):\n", " response = neighbors[x][-1]\n", " if response in classVotes:\n", " classVotes[response] += 1\n", " else:\n", " classVotes[response] = 1\n", " sortedVotes = sorted(classVotes.iteritems(), key=operator.itemgetter(1), reverse=True)\n", " return sortedVotes[0][0]\n", " def fit(self, training_data, training_labels):\n", " self.X_train = training_data\n", " self.y_train = training_labels\n", "\n", " def predict(self, to_classify):\n", " predictions = []\n", " for row in to_classify:\n", " label = self.closest(row)\n", " predictions.append(label)\n", " return predictions" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "titanic = open('train.csv')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn import metrics" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.neighbors import KNeighborsClassifier" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "\n", "knn = KNeighborsClassifier(p=2, metric='minkowski')" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd\n" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "titanic = pd.read_csv('train.csv',na_values=\"?\" )" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " PassengerId Survived Pclass \\\n", "0 1 0 3 \n", "1 2 1 1 \n", "2 3 1 3 \n", "3 4 1 1 \n", "4 5 0 3 \n", "5 6 0 3 \n", "6 7 0 1 \n", "7 8 0 3 \n", "8 9 1 3 \n", "9 10 1 2 \n", "10 11 1 3 \n", "11 12 1 1 \n", "12 13 0 3 \n", "13 14 0 3 \n", "14 15 0 3 \n", "15 16 1 2 \n", "16 17 0 3 \n", "17 18 1 2 \n", "18 19 0 3 \n", "19 20 1 3 \n", "20 21 0 2 \n", "21 22 1 2 \n", "22 23 1 3 \n", "23 24 1 1 \n", "24 25 0 3 \n", "25 26 1 3 \n", "26 27 0 3 \n", "27 28 0 1 \n", "28 29 1 3 \n", "29 30 0 3 \n", ".. ... ... ... \n", "861 862 0 2 \n", "862 863 1 1 \n", "863 864 0 3 \n", "864 865 0 2 \n", "865 866 1 2 \n", "866 867 1 2 \n", "867 868 0 1 \n", "868 869 0 3 \n", "869 870 1 3 \n", "870 871 0 3 \n", "871 872 1 1 \n", "872 873 0 1 \n", "873 874 0 3 \n", "874 875 1 2 \n", "875 876 1 3 \n", "876 877 0 3 \n", "877 878 0 3 \n", "878 879 0 3 \n", "879 880 1 1 \n", "880 881 1 2 \n", "881 882 0 3 \n", "882 883 0 3 \n", "883 884 0 2 \n", "884 885 0 3 \n", "885 886 0 3 \n", "886 887 0 2 \n", "887 888 1 1 \n", "888 889 0 3 \n", "889 890 1 1 \n", "890 891 0 3 \n", "\n", " Name Sex Age SibSp \\\n", "0 Braund, Mr. Owen Harris male 22.0 1 \n", "1 Cumings, Mrs. John Bradley (Florence Briggs Th... female 38.0 1 \n", "2 Heikkinen, Miss. Laina female 26.0 0 \n", "3 Futrelle, Mrs. Jacques Heath (Lily May Peel) female 35.0 1 \n", "4 Allen, Mr. William Henry male 35.0 0 \n", "5 Moran, Mr. James male NaN 0 \n", "6 McCarthy, Mr. Timothy J male 54.0 0 \n", "7 Palsson, Master. Gosta Leonard male 2.0 3 \n", "8 Johnson, Mrs. Oscar W (Elisabeth Vilhelmina Berg) female 27.0 0 \n", "9 Nasser, Mrs. Nicholas (Adele Achem) female 14.0 1 \n", "10 Sandstrom, Miss. Marguerite Rut female 4.0 1 \n", "11 Bonnell, Miss. Elizabeth female 58.0 0 \n", "12 Saundercock, Mr. William Henry male 20.0 0 \n", "13 Andersson, Mr. Anders Johan male 39.0 1 \n", "14 Vestrom, Miss. Hulda Amanda Adolfina female 14.0 0 \n", "15 Hewlett, Mrs. (Mary D Kingcome) female 55.0 0 \n", "16 Rice, Master. Eugene male 2.0 4 \n", "17 Williams, Mr. Charles Eugene male NaN 0 \n", "18 Vander Planke, Mrs. Julius (Emelia Maria Vande... female 31.0 1 \n", "19 Masselmani, Mrs. Fatima female NaN 0 \n", "20 Fynney, Mr. Joseph J male 35.0 0 \n", "21 Beesley, Mr. Lawrence male 34.0 0 \n", "22 McGowan, Miss. Anna \"Annie\" female 15.0 0 \n", "23 Sloper, Mr. William Thompson male 28.0 0 \n", "24 Palsson, Miss. Torborg Danira female 8.0 3 \n", "25 Asplund, Mrs. Carl Oscar (Selma Augusta Emilia... female 38.0 1 \n", "26 Emir, Mr. Farred Chehab male NaN 0 \n", "27 Fortune, Mr. Charles Alexander male 19.0 3 \n", "28 O'Dwyer, Miss. Ellen \"Nellie\" female NaN 0 \n", "29 Todoroff, Mr. Lalio male NaN 0 \n", ".. ... ... ... ... \n", "861 Giles, Mr. Frederick Edward male 21.0 1 \n", "862 Swift, Mrs. Frederick Joel (Margaret Welles Ba... female 48.0 0 \n", "863 Sage, Miss. Dorothy Edith \"Dolly\" female NaN 8 \n", "864 Gill, Mr. John William male 24.0 0 \n", "865 Bystrom, Mrs. (Karolina) female 42.0 0 \n", "866 Duran y More, Miss. Asuncion female 27.0 1 \n", "867 Roebling, Mr. Washington Augustus II male 31.0 0 \n", "868 van Melkebeke, Mr. Philemon male NaN 0 \n", "869 Johnson, Master. Harold Theodor male 4.0 1 \n", "870 Balkic, Mr. Cerin male 26.0 0 \n", "871 Beckwith, Mrs. Richard Leonard (Sallie Monypeny) female 47.0 1 \n", "872 Carlsson, Mr. Frans Olof male 33.0 0 \n", "873 Vander Cruyssen, Mr. Victor male 47.0 0 \n", "874 Abelson, Mrs. Samuel (Hannah Wizosky) female 28.0 1 \n", "875 Najib, Miss. Adele Kiamie \"Jane\" female 15.0 0 \n", "876 Gustafsson, Mr. Alfred Ossian male 20.0 0 \n", "877 Petroff, Mr. Nedelio male 19.0 0 \n", "878 Laleff, Mr. Kristo male NaN 0 \n", "879 Potter, Mrs. Thomas Jr (Lily Alexenia Wilson) female 56.0 0 \n", "880 Shelley, Mrs. William (Imanita Parrish Hall) female 25.0 0 \n", "881 Markun, Mr. Johann male 33.0 0 \n", "882 Dahlberg, Miss. Gerda Ulrika female 22.0 0 \n", "883 Banfield, Mr. Frederick James male 28.0 0 \n", "884 Sutehall, Mr. Henry Jr male 25.0 0 \n", "885 Rice, Mrs. William (Margaret Norton) female 39.0 0 \n", "886 Montvila, Rev. Juozas male 27.0 0 \n", "887 Graham, Miss. Margaret Edith female 19.0 0 \n", "888 Johnston, Miss. Catherine Helen \"Carrie\" female NaN 1 \n", "889 Behr, Mr. Karl Howell male 26.0 0 \n", "890 Dooley, Mr. Patrick male 32.0 0 \n", "\n", " Parch Ticket Fare Cabin Embarked \n", "0 0 A/5 21171 7.2500 NaN S \n", "1 0 PC 17599 71.2833 C85 C \n", "2 0 STON/O2. 3101282 7.9250 NaN S \n", "3 0 113803 53.1000 C123 S \n", "4 0 373450 8.0500 NaN S \n", "5 0 330877 8.4583 NaN Q \n", "6 0 17463 51.8625 E46 S \n", "7 1 349909 21.0750 NaN S \n", "8 2 347742 11.1333 NaN S \n", "9 0 237736 30.0708 NaN C \n", "10 1 PP 9549 16.7000 G6 S \n", "11 0 113783 26.5500 C103 S \n", "12 0 A/5. 2151 8.0500 NaN S \n", "13 5 347082 31.2750 NaN S \n", "14 0 350406 7.8542 NaN S \n", "15 0 248706 16.0000 NaN S \n", "16 1 382652 29.1250 NaN Q \n", "17 0 244373 13.0000 NaN S \n", "18 0 345763 18.0000 NaN S \n", "19 0 2649 7.2250 NaN C \n", "20 0 239865 26.0000 NaN S \n", "21 0 248698 13.0000 D56 S \n", "22 0 330923 8.0292 NaN Q \n", "23 0 113788 35.5000 A6 S \n", "24 1 349909 21.0750 NaN S \n", "25 5 347077 31.3875 NaN S \n", "26 0 2631 7.2250 NaN C \n", "27 2 19950 263.0000 C23 C25 C27 S \n", "28 0 330959 7.8792 NaN Q \n", "29 0 349216 7.8958 NaN S \n", ".. ... ... ... ... ... \n", "861 0 28134 11.5000 NaN S \n", "862 0 17466 25.9292 D17 S \n", "863 2 CA. 2343 69.5500 NaN S \n", "864 0 233866 13.0000 NaN S \n", "865 0 236852 13.0000 NaN S \n", "866 0 SC/PARIS 2149 13.8583 NaN C \n", "867 0 PC 17590 50.4958 A24 S \n", "868 0 345777 9.5000 NaN S \n", "869 1 347742 11.1333 NaN S \n", "870 0 349248 7.8958 NaN S \n", "871 1 11751 52.5542 D35 S \n", "872 0 695 5.0000 B51 B53 B55 S \n", "873 0 345765 9.0000 NaN S \n", "874 0 P/PP 3381 24.0000 NaN C \n", "875 0 2667 7.2250 NaN C \n", "876 0 7534 9.8458 NaN S \n", "877 0 349212 7.8958 NaN S \n", "878 0 349217 7.8958 NaN S \n", "879 1 11767 83.1583 C50 C \n", "880 1 230433 26.0000 NaN S \n", "881 0 349257 7.8958 NaN S \n", "882 0 7552 10.5167 NaN S \n", "883 0 C.A./SOTON 34068 10.5000 NaN S \n", "884 0 SOTON/OQ 392076 7.0500 NaN S \n", "885 5 382652 29.1250 NaN Q \n", "886 0 211536 13.0000 NaN S \n", "887 0 112053 30.0000 B42 S \n", "888 2 W./C. 6607 23.4500 NaN S \n", "889 0 111369 30.0000 C148 C \n", "890 0 370376 7.7500 NaN Q \n", "\n", "[891 rows x 12 columns]\n" ] } ], "source": [ "print(titanic)" ] }, { "cell_type": "code", "execution_count": 25, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "PassengerId int64\n", "Survived int64\n", "Pclass int64\n", "Name object\n", "Sex object\n", "Age float64\n", "SibSp int64\n", "Parch int64\n", "Ticket object\n", "Fare float64\n", "Cabin object\n", "Embarked object\n", "dtype: object" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic.dtypes" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [], "source": [ "obj_df = titanic.select_dtypes(include=['object']).copy()" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Name</th>\n", " <th>Sex</th>\n", " <th>Ticket</th>\n", " <th>Cabin</th>\n", " <th>Embarked</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Braund, Mr. Owen Harris</td>\n", " <td>male</td>\n", " <td>A/5 21171</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Cumings, Mrs. John Bradley (Florence Briggs Th...</td>\n", " <td>female</td>\n", " <td>PC 17599</td>\n", " <td>C85</td>\n", " <td>C</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Heikkinen, Miss. Laina</td>\n", " <td>female</td>\n", " <td>STON/O2. 3101282</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Futrelle, Mrs. Jacques Heath (Lily May Peel)</td>\n", " <td>female</td>\n", " <td>113803</td>\n", " <td>C123</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Allen, Mr. William Henry</td>\n", " <td>male</td>\n", " <td>373450</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Name Sex \\\n", "0 Braund, Mr. Owen Harris male \n", "1 Cumings, Mrs. John Bradley (Florence Briggs Th... female \n", "2 Heikkinen, Miss. Laina female \n", "3 Futrelle, Mrs. Jacques Heath (Lily May Peel) female \n", "4 Allen, Mr. William Henry male \n", "\n", " Ticket Cabin Embarked \n", "0 A/5 21171 NaN S \n", "1 PC 17599 C85 C \n", "2 STON/O2. 3101282 NaN S \n", "3 113803 C123 S \n", "4 373450 NaN S " ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "obj_df.head()" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "\n", "from sklearn.preprocessing import LabelEncoder" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sex_encoder = LabelEncoder().fit(titanic.Sex)\n", "titanic['Sex_encoded'] = sex_encoder.transform(titanic.Sex)" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [], "source": [ "cabin_encoder = LabelEncoder().fit(titanic.Cabin.fillna(\"None\"))\n", "titanic[\"Cabin_encoded\"] = cabin_encoder.transform(titanic.Cabin.fillna(\"None\"))\n", "embarked_encoder = LabelEncoder().fit(titanic.Embarked.fillna(\"None\"))\n", "titanic[\"Embarked_encoded\"] = embarked_encoder.transform(titanic.Embarked.fillna(\"None\"))\n", "titanic['Age_imputed'] = titanic.Age.fillna(titanic.Age.mean())" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Pclass</th>\n", " <th>Sex_encoded</th>\n", " <th>Cabin_encoded</th>\n", " <th>Embarked_encoded</th>\n", " <th>Age_imputed</th>\n", " <th>SibSp</th>\n", " <th>Parch</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Pclass</th>\n", " <td>1.000000</td>\n", " <td>0.131900</td>\n", " <td>0.682176</td>\n", " <td>0.197493</td>\n", " <td>-0.331339</td>\n", " <td>0.083081</td>\n", " <td>0.018443</td>\n", " </tr>\n", " <tr>\n", " <th>Sex_encoded</th>\n", " <td>0.131900</td>\n", " <td>1.000000</td>\n", " <td>0.095991</td>\n", " <td>0.106395</td>\n", " <td>0.084153</td>\n", " <td>-0.114631</td>\n", " <td>-0.245489</td>\n", " </tr>\n", " <tr>\n", " <th>Cabin_encoded</th>\n", " <td>0.682176</td>\n", " <td>0.095991</td>\n", " <td>1.000000</td>\n", " <td>0.232192</td>\n", " <td>-0.234912</td>\n", " <td>0.043525</td>\n", " <td>-0.028179</td>\n", " </tr>\n", " <tr>\n", " <th>Embarked_encoded</th>\n", " <td>0.197493</td>\n", " <td>0.106395</td>\n", " <td>0.232192</td>\n", " <td>1.000000</td>\n", " <td>-0.034883</td>\n", " <td>0.068043</td>\n", " <td>0.032517</td>\n", " </tr>\n", " <tr>\n", " <th>Age_imputed</th>\n", " <td>-0.331339</td>\n", " <td>0.084153</td>\n", " <td>-0.234912</td>\n", " <td>-0.034883</td>\n", " <td>1.000000</td>\n", " <td>-0.232625</td>\n", " <td>-0.179191</td>\n", " </tr>\n", " <tr>\n", " <th>SibSp</th>\n", " <td>0.083081</td>\n", " <td>-0.114631</td>\n", " <td>0.043525</td>\n", " <td>0.068043</td>\n", " <td>-0.232625</td>\n", " <td>1.000000</td>\n", " <td>0.414838</td>\n", " </tr>\n", " <tr>\n", " <th>Parch</th>\n", " <td>0.018443</td>\n", " <td>-0.245489</td>\n", " <td>-0.028179</td>\n", " <td>0.032517</td>\n", " <td>-0.179191</td>\n", " <td>0.414838</td>\n", " <td>1.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Pclass Sex_encoded Cabin_encoded Embarked_encoded \\\n", "Pclass 1.000000 0.131900 0.682176 0.197493 \n", "Sex_encoded 0.131900 1.000000 0.095991 0.106395 \n", "Cabin_encoded 0.682176 0.095991 1.000000 0.232192 \n", "Embarked_encoded 0.197493 0.106395 0.232192 1.000000 \n", "Age_imputed -0.331339 0.084153 -0.234912 -0.034883 \n", "SibSp 0.083081 -0.114631 0.043525 0.068043 \n", "Parch 0.018443 -0.245489 -0.028179 0.032517 \n", "\n", " Age_imputed SibSp Parch \n", "Pclass -0.331339 0.083081 0.018443 \n", "Sex_encoded 0.084153 -0.114631 -0.245489 \n", "Cabin_encoded -0.234912 0.043525 -0.028179 \n", "Embarked_encoded -0.034883 0.068043 0.032517 \n", "Age_imputed 1.000000 -0.232625 -0.179191 \n", "SibSp -0.232625 1.000000 0.414838 \n", "Parch -0.179191 0.414838 1.000000 " ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "columns = ['Pclass', 'Sex_encoded', 'Cabin_encoded', 'Embarked_encoded', 'Age_imputed', 'SibSp', 'Parch']\n", "titanic[columns].corr()" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.preprocessing import MinMaxScaler\n", "from sklearn.model_selection import train_test_split\n", "from sklearn.model_selection import ShuffleSplit" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": true }, "outputs": [], "source": [ "columns.remove(\"Cabin_encoded\")" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X = MinMaxScaler().fit_transform(titanic[columns])\n", "y = titanic.Survived.values" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X_train, X_valid, y_train, y_valid = train_test_split(X, y, test_size=.1, random_state=1)" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.neighbors import KNeighborsClassifier" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": true }, "outputs": [], "source": [ "knn = KNeighborsClassifier()" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": true }, "outputs": [], "source": [ "splitRand = ShuffleSplit(test_size=.25, n_splits=1, random_state=0)\n", "\n", "n_neighbors = np.arange(1, 30, 4)\n", "param_grid = {'n_neighbors': n_neighbors}" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.model_selection import GridSearchCV" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "GridSearchCV(cv=ShuffleSplit(n_splits=1, random_state=0, test_size=0.25, train_size=None),\n", " error_score='raise',\n", " estimator=KNeighborsClassifier(algorithm='auto', leaf_size=30, metric='minkowski',\n", " metric_params=None, n_jobs=1, n_neighbors=5, p=2,\n", " weights='uniform'),\n", " fit_params=None, iid=True, n_jobs=1,\n", " param_grid={'n_neighbors': array([ 1, 5, 9, 13, 17, 21, 25, 29])},\n", " pre_dispatch='2*n_jobs', refit=True, return_train_score=True,\n", " scoring=None, verbose=0)" ] }, "execution_count": 39, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gridS = GridSearchCV(knn, param_grid, cv=splitRand)\n", "gridS.fit(X_train, y_train)" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Text(0.5,0,'Vizinhos')" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAmMAAAGDCAYAAABnZBdiAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nmCRJUkH/C13OehpBUlUYAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f9e852f47f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(figsize=(10, 6))\n", "plt.plot(n_neighbors, gridS.cv_results_['mean_train_score'])\n", "plt.plot(n_neighbors, gridS.cv_results_['mean_test_score'])\n", "plt.legend(['treino', 'teste'])\n", "plt.title(\"Precisão\")\n", "plt.xlabel(\"Vizinhos\")" ] }, { "cell_type": "code", "execution_count": 41, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{'n_neighbors': 9}" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gridS.best_params_" ] }, { "cell_type": "code", "execution_count": 42, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "KNeighborsClassifier(algorithm='auto', leaf_size=30, metric='minkowski',\n", " metric_params=None, n_jobs=1, n_neighbors=9, p=2,\n", " weights='uniform')" ] }, "execution_count": 42, "metadata": {}, "output_type": "execute_result" } ], "source": [ "melhor = gridS.best_estimator_\n", "melhor.fit(X_train,y_train)" ] }, { "cell_type": "code", "execution_count": 43, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " precision recall f1-score support\n", "\n", " 0 0.87 0.72 0.79 64\n", " 1 0.51 0.73 0.60 26\n", "\n", "avg / total 0.77 0.72 0.73 90\n", "\n" ] } ], "source": [ "from sklearn.metrics import classification_report\n", "print(classification_report(melhor.predict(X_valid), y_valid))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
dotsdl/msmbuilder
examples/implied-timescales.ipynb
12
2897
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import numpy as np\n", "import matplotlib.pyplot as pp\n", "from msmbuilder.example_datasets import fetch_alanine_dipeptide\n", "from msmbuilder.cluster import KCenters\n", "from msmbuilder.msm import ContinuousTimeMSM, MarkovStateModel\n", "from msmbuilder.msm import implied_timescales" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "trajectories = fetch_alanine_dipeptide()['trajectories']\n", "heavy_inds = trajectories[0].topology.select_atom_indices('heavy')\n", "trajectories = [t.atom_slice(heavy_inds) for t in trajectories]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cluster = KCenters(metric='rmsd', n_clusters=25)\n", "sequences = cluster.fit_transform(trajectories)\n", "print(cluster.summarize())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "lag_times = [1, 4, 8, 12]\n", "n_timescales = 10" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "msm_timescales = implied_timescales(sequences, lag_times, n_timescales=n_timescales, msm=MarkovStateModel(verbose=False))\n", "for i in range(n_timescales):\n", " pp.plot(lag_times, msm_timescales[:, i], 'o-')\n", "\n", "pp.title('Discrete-time MSM Relaxation Timescales')\n", "pp.semilogy()\n", "pp.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ctmsm_timescales = implied_timescales(sequences, lag_times, n_timescales=n_timescales, msm=ContinuousTimeMSM(verbose=False))\n", "for i in range(n_timescales):\n", " pp.plot(lag_times, ctmsm_timescales[:, i], 'o-')\n", "\n", "pp.title('Continuous-Time MSM Relaxation Timescales')\n", "pp.semilogy()\n", "pp.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
lgpl-2.1
phoebe-project/phoebe2-docs
2.2/examples/legacy_spots.ipynb
1
47086
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Comparing Spots in PHOEBE 2 vs PHOEBE Legacy\n", "============================\n", "\n", "Setup\n", "-----------------------------" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's first make sure we have the latest version of PHOEBE 2.2 installed. (You can comment out this line if you don't use pip for your installation or don't want to update to the latest release)." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip install -I \"phoebe>=2.2,<2.3\"" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "As always, let's do imports and initialize a logger and a new bundle. See [Building a System](../tutorials/building_a_system.ipynb) for more details." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "import phoebe\n", "from phoebe import u # units\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "\n", "logger = phoebe.logger()\n", "\n", "b = phoebe.default_binary()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Adding Spots and Compute Options\n", "---------------------" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<ParameterSet: 5 parameters | contexts: feature, compute>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.add_spot(component='primary', relteff=0.8, radius=20, colat=45, colon=90, feature='spot01')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<ParameterSet: 43 parameters | contexts: figure, constraint, compute, dataset>" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.add_dataset('lc', times=np.linspace(0,1,101))" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<ParameterSet: 30 parameters | datasets: lc01, _default>" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.add_compute('phoebe', irrad_method='none', compute='phoebe2')" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<ParameterSet: 17 parameters | datasets: lc01, _default>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.add_compute('legacy', irrad_method='none', compute='phoebe1')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's use the external atmospheres available for both phoebe1 and phoebe2" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe2 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe2 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe2 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe2 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe2 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe2 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe2 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe2 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe2 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:24 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n" ] } ], "source": [ "b.set_value_all('atm', 'extern_planckint')" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe01 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@primary@phoebe2 or ld_mode@primary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@primary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe2 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe01 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by atm='extern_planckint'. Either change atm@secondary@phoebe2 or ld_mode@secondary@lc01. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n", "Wed, 11 Dec 2019 14:26 BUNDLE WARNING ld_mode='interp' not supported by 'legacy' backend used by compute='phoebe1'. Change ld_mode@secondary@lc01 or use a backend that supports atm='ck2004'. If not addressed, this warning will continue to be raised and will throw an error at run_compute.\n" ] } ], "source": [ "b.set_value_all('ld_mode', 'manual')\n", "b.set_value_all('ld_func', 'logarithmic')\n", "b.set_value_all('ld_coeffs', [0.0, 0.0])" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<ParameterSet: 4 parameters | contexts: model, figure>" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.run_compute('phoebe2', model='phoebe2model')" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Wed, 11 Dec 2019 14:26 BUNDLE WARNING legacy does not natively support interpolating ld coefficients. These will be interpolated by PHOEBE 2 and then passed to legacy.\n", "Wed, 11 Dec 2019 14:26 IO WARNING requiv_max@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING requiv_min@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING logg@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING freq@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING pitch@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING yaw@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING long_an@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING irrad_frac_lost_bol@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_mode_bol@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_func_bol@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_coeffs_source_bol@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING mass@primary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING requiv_max@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING requiv_min@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING logg@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING freq@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING pitch@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING yaw@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING long_an@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING irrad_frac_lost_bol@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_mode_bol@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_func_bol@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_coeffs_source_bol@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING mass@secondary@star@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_mode@_default@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_coeffs_source@_default@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING intens_weighting@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ebv@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING Av@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING Rv@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING compute_times@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING compute_phases@binary@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING compute_phases_t0@binary@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING pblum_mode@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING pblum_component@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING pblum_dataset@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING pbflux@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING Finite integration Time is not fully supported and will be turned off by legacy wrapper before computation\n", "Wed, 11 Dec 2019 14:26 IO WARNING lc_method@lc01@phoebe01@phoebe@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING fti_method@lc01@phoebe01@phoebe@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING fti_oversample@lc01@phoebe01@phoebe@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_mode@primary@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_mode@secondary@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_coeffs_source@primary@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ld_coeffs_source@secondary@lc01@lc@dataset has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING lc_method@lc01@phoebe2@phoebe@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING fti_method@lc01@phoebe2@phoebe@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING fti_oversample@lc01@phoebe2@phoebe@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING freq@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING t0_perpass@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING t0_supconj@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING mean_anom@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING long_an@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING asini@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ecosw@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING esinw@binary@orbit@component has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING irrad_method@phoebe1@legacy@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING distortion_method@primary@phoebe1@legacy@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING distortion_method@secondary@phoebe1@legacy@compute has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING t0@system has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING ra@system has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING dec@system has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING distance@system has no phoebe 1 corollary\n", "Wed, 11 Dec 2019 14:26 IO WARNING hierarchy@system has no phoebe 1 corollary\n" ] }, { "data": { "text/plain": [ "<ParameterSet: 4 parameters | contexts: model, figure>" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.run_compute('phoebe1', model='phoebe1model')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Plotting\n", "------------" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "afig, mplfig = b.plot(legend=True, ylim=(1.95, 2.05), show=True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
INM-6/Python-Module-of-the-Week
session20_NEST/jupyter_notebooks/1_first_steps.ipynb
1
10583
{ "cells": [ { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# PyNEST - First Steps" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "-" } }, "source": [ "**Modeling networks of spiking neurons using NEST**\n", "\n", "**Python Module of the Week, 03.05.2019**\n", "\n", "**[Alexander van Meegen](mailto:[email protected])**" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "This notebook guides through your first steps using NEST. It shows\n", "* how to get help\n", "* how to create and simulate a single neuron\n", "* how to visualize the output\n", "\n", "Essentially, this is a reproduction of the 'Hello World!' notebook with added explanations. \n", "\n", "For more details see [part 1 of the official PyNEST tutorial](https://nest-simulator.readthedocs.io/en/latest/tutorials/pynest_tutorial/part_1_neurons_and_simple_neural_networks.html)." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# populate namespace with pylab functions and stuff\n", "%pylab inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "import nest # import NEST module" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Getting help" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# information about functions with Python's help() ...\n", "help(nest.Models)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# ... or IPython's question mark\n", "nest.Models?" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# list neuron models\n", "nest.Models()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# choose LIF neuron with exponential synaptic currents: 'iaf_psc_exp'\n", "# look in documentation for model description\n", "# or (if not compiled with MPI)\n", "nest.help('iaf_psc_exp')" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Creating a neuron" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# before creating a new network,\n", "# reset the simulation kernel / remove all nodes\n", "nest.ResetKernel()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "# create the neuron\n", "neuron = nest.Create('iaf_psc_exp')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "# investigate the neuron\n", "\n", "# Create() just returns a list (tuple) with handles to the new nodes\n", "# (handles = integer numbers called ids)\n", "neuron" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# current dynamical state/parameters of the neuron\n", "# note that the membrane voltage is at -70 mV\n", "nest.GetStatus(neuron)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Creating a spikegenerator" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# create a spike generator\n", "spikegenerator = nest.Create('spike_generator')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "# check out 'spike_times' in its parameters\n", "nest.GetStatus(spikegenerator)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# set the spike times at 10 and 50 ms\n", "nest.SetStatus(spikegenerator, {'spike_times': [10., 50.]})" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Creating a voltmeter" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# create a voltmeter for recording\n", "voltmeter = nest.Create('voltmeter')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "# investigate the voltmeter\n", "voltmeter" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "# see that it records membrane voltage, senders, times\n", "nest.GetStatus(voltmeter)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Connecting" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# investigate Connect() function\n", "nest.Connect?" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# connect spike generator and voltmeter to the neuron\n", "nest.Connect(spikegenerator, neuron, syn_spec={'weight': 1e3})" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "nest.Connect(voltmeter, neuron)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Simulating" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# run simulation for 100 ms\n", "nest.Simulate(100.)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# look at nest's KernelStatus:\n", "# network_size (root node, neuron, spike generator, voltmeter)\n", "# num_connections\n", "# time (simulation duration)\n", "nest.GetKernelStatus()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# note that voltmeter has recorded 99 events\n", "nest.GetStatus(voltmeter)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# read out recording time and voltage from voltmeter\n", "times = nest.GetStatus(voltmeter)[0]['events']['times']\n", "voltages = nest.GetStatus(voltmeter)[0]['events']['V_m']" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Plotting" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# plot results\n", "# units can be found in documentation\n", "pylab.plot(times, voltages, label='Neuron 1')\n", "pylab.xlabel('Time (ms)')\n", "pylab.ylabel('Membrane potential (mV)')\n", "pylab.title('Membrane potential')\n", "pylab.legend()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# create the same plot with NEST's build-in plotting function\n", "import nest.voltage_trace" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "-" } }, "outputs": [], "source": [ "nest.voltage_trace.from_device(voltmeter)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Bored?\n", "\n", "* Try to make the neuron spike (maybe use `0_hello_world.ipynb`)\n", "* Connect another neuron to the first neuron recieving that spike\n", "* Check out the [official PyNEST tutorials](https://nest-simulator.readthedocs.io/en/latest/tutorials/index.html), in particular\n", " * part 1: Neurons and simple neural networks\n", " * part 2: Populations of neurons" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" }, "livereveal": { "scroll": true } }, "nbformat": 4, "nbformat_minor": 1 }
mit
pdwyys20/deep-learning
UdacityNotes/3_CNN/cnn/ConvLayer.ipynb
1
2529
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import tensorflow as tf\n", "import numpy as np" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "\"\"\"\n", "Setup the strides, padding and filter weight/bias such that\n", "the output shape is (1, 2, 2, 3).\n", "\"\"\"\n", "\n", "# `tf.nn.conv2d` requires the input be 4D (batch_size, height, width, depth)\n", "# (1, 4, 4, 1)\n", "\n", "x = [[0, 1, 0.5, 10], [2, 2.5, 1, -8], [4, 0, 5, 6], [15, 1, 2, 3]]\n", "x = np.array(x, dtype=np.float32).reshape((1, 4, 4, 1))\n", "X = tf.constant(x)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def conv2d(input):\n", " # Filter (weights and bias)\n", " # The shape of the filter weight is (height, width, input_depth, output_depth)\n", " # The shape of the filter bias is (output_depth,)\n", " # TODO: Define the filter weights `F_W` and filter bias `F_b`.\n", " # NOTE: Remember to wrap them in `tf.Variable`, they are trainable parameters after all.\n", " F_W = tf.Variable(tf.random_normal([3, 3, 1, 3]))\n", " F_b = tf.Variable(tf.random_normal([3]))\n", " # TODO: Set the stride for each dimension (batch_size, height, width, depth)\n", " strides = [1, 1, 1, 1]\n", " # TODO: set the padding, either 'VALID' or 'SAME'.\n", " padding = 'VALID'\n", " # https://www.tensorflow.org/versions/r0.11/api_docs/python/nn.html#conv2d\n", " # `tf.nn.conv2d` does not include the bias computation so we have to add it ourselves after.\n", " return tf.nn.conv2d(input, F_W, strides, padding) + F_b" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "out = conv2d(X)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
junkoda/mockgallib
notebook/growth.ipynb
1
13629
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Growth module\n", "\n", "Provides linear growth factor $D(a)$.\n", "\n", "Uses `omega_m` in the `cosmology` module; set it in advance, e.g, `mock.cosmology_set(0.31)`.\n", "\n", "Function | Result\n", "------------ | -------------\n", "growth_D(a) | linear growth factor $D(a)$ at scale factor $a$\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1.0" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%matplotlib inline\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import mockgallib as mock\n", "mock.cosmology.set(0.31)\n", "mock.growth_D(1.0)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYoAAAERCAYAAABl3+CQAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10a4c80f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "a = np.arange(0.0, 1.0, 0.01)\n", "growth = [mock.growth_D(aa) for aa in a]\n", "\n", "plt.plot(a, growth, 'r-')\n", "plt.xlabel('scale factor $a$')\n", "plt.ylabel('$D$')\n", "plt.show();" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<style>table {float:left}</style>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%html\n", "<style>table {float:left}</style>" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
flaviostutz/datascience-snippets
kaggle-lung-cancer-approach2/03-nodule-segmentation-3d-train.ipynb
1
12210
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Train 3d nodule detector with LUNA16 dataset" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "INPUT_DIR = '../../input/nodules/'\n", "OUTPUT_DIR = '../../output/lung-cancer/03/'\n", "IMAGE_DIMS = (50,50,50,1)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "%matplotlib inline\n", "import numpy as np\n", "import pandas as pd\n", "import h5py\n", "import matplotlib.pyplot as plt\n", "import sklearn\n", "import os\n", "import glob\n", "\n", "from modules.logging import logger\n", "import modules.utils as utils\n", "from modules.utils import Timer\n", "import modules.logging\n", "import modules.cnn as cnn\n", "import modules.ctscan as ctscan" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Training" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Prepare output dir" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-03-27 10:58:58,438 INFO Dir ../../output/lung-cancer/03/ created\n" ] } ], "source": [ "utils.mkdirs(OUTPUT_DIR, recreate=False)\n", "modules.logging.setup_file_logger(OUTPUT_DIR + 'out.log')\n", "logger.info('Dir ' + OUTPUT_DIR + ' created')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Prepare CNN model" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-03-27 10:59:01,501 INFO Prepare CNN for training\n", "2017-03-27 10:59:01,581 INFO CNN model already loaded. Reusing it.\n" ] } ], "source": [ "logger.info('Prepare CNN for training')\n", "network = cnn.net_nodule3d_swethasubramanian(IMAGE_DIMS)\n", "model = cnn.prepare_cnn_model(network, OUTPUT_DIR, model_file=None)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Train model" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training Step: 6 | total loss: \u001b[1m\u001b[32m3.00226\u001b[0m\u001b[0m | time: 87.080s\n", "\u001b[2K\r", "| Adam | epoch: 001 | loss: 3.00226 - acc: 0.6251 -- iter: 0576/6616\n" ] } ], "source": [ "dataset_path = INPUT_DIR + 'nodules-train.h5'\n", "\n", "with h5py.File(dataset_path, 'r') as train_hdf5:\n", " X = train_hdf5['X']\n", " Y = train_hdf5['Y']\n", " logger.info('X shape ' + str(X.shape))\n", " logger.info('Y shape ' + str(Y.shape))\n", "\n", " dataset_path = INPUT_DIR + 'nodules-validate.h5'\n", " with h5py.File(dataset_path, 'r') as validate_hdf5:\n", " X_validate = validate_hdf5['X']\n", " Y_validate = validate_hdf5['Y']\n", " logger.info('X_validate shape ' + str(X_validate.shape))\n", " logger.info('Y_validate shape ' + str(Y_validate.shape))\n", "\n", " logger.info('Starting CNN training...')\n", " model.fit(X, Y, \n", " validation_set=(X_validate, Y_validate), \n", " shuffle=True, \n", " batch_size=96, \n", " n_epoch=100,\n", " show_metric=True,\n", " snapshot_epoch=True,\n", " run_id='nodule_classifier')\n", "\n", "model.save(OUTPUT_DIR + \"nodule-classifier.tfl\")\n", "logger.info(\"Network trained and saved as nodule-classifier.tfl!\")" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Evaluate results" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-03-27 10:56:56,376 INFO Evaluate dataset\n" ] }, { "ename": "OSError", "evalue": "Unable to open file (Unable to open file: name = '../../output/lung-cancer/03/nodules-test.h5', errno = 2, error message = 'no such file or directory', flags = 0, o_flags = 0)", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mOSError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-6-ebaae1719138>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0mlogger\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0minfo\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'Evaluate dataset'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 2\u001b[0;31m \u001b[0mcnn\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mevaluate_dataset\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mOUTPUT_DIR\u001b[0m \u001b[0;34m+\u001b[0m \u001b[0;34m'nodules-test.h5'\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mmodel\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mbatch_size\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m12\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mconfusion_matrix\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mTrue\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m/notebooks/datascience-snippets/kaggle-lung-cancer/modules/cnn.py\u001b[0m in \u001b[0;36mevaluate_dataset\u001b[0;34m(dataset_path, model, batch_size, confusion_matrix)\u001b[0m\n\u001b[1;32m 88\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 89\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mevaluate_dataset\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdataset_path\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mmodel\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mbatch_size\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m12\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mconfusion_matrix\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mFalse\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 90\u001b[0;31m \u001b[0;32mwith\u001b[0m \u001b[0mh5py\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mFile\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdataset_path\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'r'\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mas\u001b[0m \u001b[0mhdf5\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 91\u001b[0m \u001b[0mX\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mhdf5\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'X'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 92\u001b[0m \u001b[0mY\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mhdf5\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'Y'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.5/dist-packages/h5py/_hl/files.py\u001b[0m in \u001b[0;36m__init__\u001b[0;34m(self, name, mode, driver, libver, userblock_size, swmr, **kwds)\u001b[0m\n\u001b[1;32m 270\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 271\u001b[0m \u001b[0mfapl\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mmake_fapl\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdriver\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mlibver\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mkwds\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 272\u001b[0;31m \u001b[0mfid\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mmake_fid\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mname\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mmode\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0muserblock_size\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mfapl\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mswmr\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mswmr\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 273\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 274\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mswmr_support\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/usr/local/lib/python3.5/dist-packages/h5py/_hl/files.py\u001b[0m in \u001b[0;36mmake_fid\u001b[0;34m(name, mode, userblock_size, fapl, fcpl, swmr)\u001b[0m\n\u001b[1;32m 90\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mswmr\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0mswmr_support\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 91\u001b[0m \u001b[0mflags\u001b[0m \u001b[0;34m|=\u001b[0m \u001b[0mh5f\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mACC_SWMR_READ\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 92\u001b[0;31m \u001b[0mfid\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mh5f\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mopen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mname\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mflags\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mfapl\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mfapl\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 93\u001b[0m \u001b[0;32melif\u001b[0m \u001b[0mmode\u001b[0m \u001b[0;34m==\u001b[0m \u001b[0;34m'r+'\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 94\u001b[0m \u001b[0mfid\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mh5f\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mopen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mname\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mh5f\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mACC_RDWR\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mfapl\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mfapl\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32mh5py/_objects.pyx\u001b[0m in \u001b[0;36mh5py._objects.with_phil.wrapper (/tmp/pip-at6d2npe-build/h5py/_objects.c:2684)\u001b[0;34m()\u001b[0m\n", "\u001b[0;32mh5py/_objects.pyx\u001b[0m in \u001b[0;36mh5py._objects.with_phil.wrapper (/tmp/pip-at6d2npe-build/h5py/_objects.c:2642)\u001b[0;34m()\u001b[0m\n", "\u001b[0;32mh5py/h5f.pyx\u001b[0m in \u001b[0;36mh5py.h5f.open (/tmp/pip-at6d2npe-build/h5py/h5f.c:1930)\u001b[0;34m()\u001b[0m\n", "\u001b[0;31mOSError\u001b[0m: Unable to open file (Unable to open file: name = '../../output/lung-cancer/03/nodules-test.h5', errno = 2, error message = 'no such file or directory', flags = 0, o_flags = 0)" ] } ], "source": [ "logger.info('Evaluate dataset')\n", "cnn.evaluate_dataset(OUTPUT_DIR + 'nodules-test.h5', model, batch_size=12, confusion_matrix=True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
mne-tools/mne-tools.github.io
0.23/_downloads/61268d5dc873438a743241ad21a989fd/decoding_rsa_sgskip.ipynb
2
10001
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n\n# Representational Similarity Analysis\n\nRepresentational Similarity Analysis is used to perform summary statistics\non supervised classifications where the number of classes is relatively high.\nIt consists in characterizing the structure of the confusion matrix to infer\nthe similarity between brain responses and serves as a proxy for characterizing\nthe space of mental representations\n:footcite:`Shepard1980,LaaksoCottrell2000,KriegeskorteEtAl2008`.\n\nIn this example, we perform RSA on responses to 24 object images (among\na list of 92 images). Subjects were presented with images of human, animal\nand inanimate objects :footcite:`CichyEtAl2014`. Here we use the 24 unique\nimages of faces and body parts.\n\n<div class=\"alert alert-info\"><h4>Note</h4><p>this example will download a very large (~6GB) file, so we will not\n build the images below.</p></div>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Authors: Jean-Remi King <[email protected]>\n# Jaakko Leppakangas <[email protected]>\n# Alexandre Gramfort <[email protected]>\n#\n# License: BSD (3-clause)\n\nimport os.path as op\nimport numpy as np\nfrom pandas import read_csv\nimport matplotlib.pyplot as plt\n\nfrom sklearn.model_selection import StratifiedKFold\nfrom sklearn.pipeline import make_pipeline\nfrom sklearn.preprocessing import StandardScaler\nfrom sklearn.linear_model import LogisticRegression\nfrom sklearn.metrics import roc_auc_score\nfrom sklearn.manifold import MDS\n\nimport mne\nfrom mne.io import read_raw_fif, concatenate_raws\nfrom mne.datasets import visual_92_categories\n\n\nprint(__doc__)\n\ndata_path = visual_92_categories.data_path()\n\n# Define stimulus - trigger mapping\nfname = op.join(data_path, 'visual_stimuli.csv')\nconds = read_csv(fname)\nprint(conds.head(5))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's restrict the number of conditions to speed up computation\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "max_trigger = 24\nconds = conds[:max_trigger] # take only the first 24 rows" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Define stimulus - trigger mapping\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "conditions = []\nfor c in conds.values:\n cond_tags = list(c[:2])\n cond_tags += [('not-' if i == 0 else '') + conds.columns[k]\n for k, i in enumerate(c[2:], 2)]\n conditions.append('/'.join(map(str, cond_tags)))\nprint(conditions[:10])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's make the event_id dictionary\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "event_id = dict(zip(conditions, conds.trigger + 1))\nevent_id['0/human bodypart/human/not-face/animal/natural']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Read MEG data\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "n_runs = 4 # 4 for full data (use less to speed up computations)\nfname = op.join(data_path, 'sample_subject_%i_tsss_mc.fif')\nraws = [read_raw_fif(fname % block, verbose='error')\n for block in range(n_runs)] # ignore filename warnings\nraw = concatenate_raws(raws)\n\nevents = mne.find_events(raw, min_duration=.002)\n\nevents = events[events[:, 2] <= max_trigger]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Epoch data\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "picks = mne.pick_types(raw.info, meg=True)\nepochs = mne.Epochs(raw, events=events, event_id=event_id, baseline=None,\n picks=picks, tmin=-.1, tmax=.500, preload=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's plot some conditions\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "epochs['face'].average().plot()\nepochs['not-face'].average().plot()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Representational Similarity Analysis (RSA) is a neuroimaging-specific\nappelation to refer to statistics applied to the confusion matrix\nalso referred to as the representational dissimilarity matrices (RDM).\n\nCompared to the approach from Cichy et al. we'll use a multiclass\nclassifier (Multinomial Logistic Regression) while the paper uses\nall pairwise binary classification task to make the RDM.\nAlso we use here the ROC-AUC as performance metric while the\npaper uses accuracy. Finally here for the sake of time we use\nRSA on a window of data while Cichy et al. did it for all time\ninstants separately.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Classify using the average signal in the window 50ms to 300ms\n# to focus the classifier on the time interval with best SNR.\nclf = make_pipeline(StandardScaler(),\n LogisticRegression(C=1, solver='liblinear',\n multi_class='auto'))\nX = epochs.copy().crop(0.05, 0.3).get_data().mean(axis=2)\ny = epochs.events[:, 2]\n\nclasses = set(y)\ncv = StratifiedKFold(n_splits=5, random_state=0, shuffle=True)\n\n# Compute confusion matrix for each cross-validation fold\ny_pred = np.zeros((len(y), len(classes)))\nfor train, test in cv.split(X, y):\n # Fit\n clf.fit(X[train], y[train])\n # Probabilistic prediction (necessary for ROC-AUC scoring metric)\n y_pred[test] = clf.predict_proba(X[test])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Compute confusion matrix using ROC-AUC\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "confusion = np.zeros((len(classes), len(classes)))\nfor ii, train_class in enumerate(classes):\n for jj in range(ii, len(classes)):\n confusion[ii, jj] = roc_auc_score(y == train_class, y_pred[:, jj])\n confusion[jj, ii] = confusion[ii, jj]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Plot\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "labels = [''] * 5 + ['face'] + [''] * 11 + ['bodypart'] + [''] * 6\nfig, ax = plt.subplots(1)\nim = ax.matshow(confusion, cmap='RdBu_r', clim=[0.3, 0.7])\nax.set_yticks(range(len(classes)))\nax.set_yticklabels(labels)\nax.set_xticks(range(len(classes)))\nax.set_xticklabels(labels, rotation=40, ha='left')\nax.axhline(11.5, color='k')\nax.axvline(11.5, color='k')\nplt.colorbar(im)\nplt.tight_layout()\nplt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Confusion matrix related to mental representations have been historically\nsummarized with dimensionality reduction using multi-dimensional scaling [1].\nSee how the face samples cluster together.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fig, ax = plt.subplots(1)\nmds = MDS(2, random_state=0, dissimilarity='precomputed')\nchance = 0.5\nsummary = mds.fit_transform(chance - confusion)\ncmap = plt.get_cmap('rainbow')\ncolors = ['r', 'b']\nnames = list(conds['condition'].values)\nfor color, name in zip(colors, set(names)):\n sel = np.where([this_name == name for this_name in names])[0]\n size = 500 if name == 'human face' else 100\n ax.scatter(summary[sel, 0], summary[sel, 1], s=size,\n facecolors=color, label=name, edgecolors='k')\nax.axis('off')\nax.legend(loc='lower right', scatterpoints=1, ncol=2)\nplt.tight_layout()\nplt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## References\n.. footbibliography::\n\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.5" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
RMRanasinghe/KDDCup-2014
src/SGDGridSearchCV date reduced.ipynb
1
8275
{ "metadata": { "name": "SGDGridSearchCV date reduced" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import pandas as pd\n", "import numpy as np\n", "from sklearn.linear_model import SGDClassifier\n", "from sklearn.preprocessing import LabelEncoder\n", "from sklearn.preprocessing import OneHotEncoder\n", "from sklearn.grid_search import GridSearchCV" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "#donations = pd.read_csv('../data/donations.csv').sort('projectid')\n", "projects = pd.read_csv('../data/projects.csv').sort('projectid')\n", "outcomes = pd.read_csv('../data/outcomes.csv').sort('projectid')\n", "#resources = pd.read_csv('../data/resources.csv').sort('projectid')\n", "sample = pd.read_csv('../data/sampleSubmission.csv').sort('projectid')\n", "#essays = pd.read_csv('../data/essays.csv').sort('projectid')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "dates = np.array(projects.date_posted)\n", "train_idx = np.where((dates < '2014-01-01') & (dates > '2010-01-01'))[0]\n", "test_idx = np.where(dates >= '2014-01-01')[0]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "outcomes = outcomes.merge(projects[projects.date_posted > '2010-01-01'], how ='inner')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "outcomes.shape, train_idx.shape" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 5, "text": [ "((439478, 46), (439478,))" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "projects = projects.fillna(method='pad')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "outcomes = np.array(outcomes.is_exciting)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "projectCatogorialColumns = ['school_city', 'school_state', 'school_zip', 'school_metro', 'school_district', 'school_county', 'school_charter', 'school_magnet',\n", " 'school_year_round', 'school_nlns', 'school_kipp', 'school_charter_ready_promise', 'teacher_prefix', 'teacher_teach_for_america', 'teacher_ny_teaching_fellow', 'primary_focus_subject','primary_focus_area', \n", "'secondary_focus_subject', 'secondary_focus_area', 'resource_type', 'poverty_level', 'grade_level',\n", "'students_reached', 'eligible_double_your_impact_match', 'eligible_almost_home_match' ]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "projects = np.array(projects[projectCatogorialColumns])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "for i in range(0, projects.shape[1]):\n", " le = LabelEncoder()\n", " projects[:,i] = le.fit_transform(projects[:,i])\n", "projects = projects.astype(float)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "ohe = OneHotEncoder()\n", "projects = ohe.fit_transform(projects)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "train = projects[train_idx]\n", "test = projects[test_idx]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "lr = SGDClassifier()\n", "parameters = {'alpha':[0.001],'loss':['log'],\n", "'penalty' : ['l2'], 'n_iter':[100,1000],'n_jobs':[-1]}\n", "clf = GridSearchCV(lr, parameters, scoring = 'roc_auc', n_jobs = -1)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "clf.fit(train, outcomes=='t')" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 14, "text": [ "GridSearchCV(cv=None,\n", " estimator=SGDClassifier(alpha=0.0001, class_weight=None, epsilon=0.1, eta0=0.0,\n", " fit_intercept=True, l1_ratio=0.15, learning_rate='optimal',\n", " loss='hinge', n_iter=5, n_jobs=1, penalty='l2', power_t=0.5,\n", " random_state=None, rho=None, shuffle=False, verbose=0,\n", " warm_start=False),\n", " fit_params={}, iid=True, loss_func=None, n_jobs=-1,\n", " param_grid={'penalty': ['l2'], 'alpha': [0.001], 'n_iter': [100, 1000], 'n_jobs': [-1], 'loss': ['log']},\n", " pre_dispatch='2*n_jobs', refit=True, score_func=None,\n", " scoring='roc_auc', verbose=0)" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "clf.grid_scores_" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 15, "text": [ "[mean: 0.63304, std: 0.00048, params: {'penalty': 'l2', 'alpha': 0.001, 'n_iter': 100, 'n_jobs': -1, 'loss': 'log'},\n", " mean: 0.63304, std: 0.00051, params: {'penalty': 'l2', 'alpha': 0.001, 'n_iter': 1000, 'n_jobs': -1, 'loss': 'log'}]" ] } ], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "clf.best_estimator_" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 16, "text": [ "SGDClassifier(alpha=0.001, class_weight=None, epsilon=0.1, eta0=0.0,\n", " fit_intercept=True, l1_ratio=0.15, learning_rate='optimal',\n", " loss='log', n_iter=1000, n_jobs=-1, penalty='l2', power_t=0.5,\n", " random_state=None, rho=None, shuffle=False, verbose=0,\n", " warm_start=False)" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "clf.best_score_" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 17, "text": [ "0.6330430843742525" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "clf.best_params_" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 18, "text": [ "{'alpha': 0.001, 'loss': 'log', 'n_iter': 1000, 'n_jobs': -1, 'penalty': 'l2'}" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 18 } ], "metadata": {} } ] }
gpl-2.0
agile-geoscience/striplog
docs/tutorial/12_Calculate_sand_proportion.ipynb
1
72277
{"cells": [{"cell_type": "markdown", "id": "swiss-stomach", "metadata": {}, "source": ["# Calculate sand proportion\n", "\n", "We'd like to compute a running-window sand log, given some striplog.\n", "\n", "These are some sand beds:"]}, {"cell_type": "code", "execution_count": 7, "id": "fatty-blackberry", "metadata": {}, "outputs": [], "source": ["text = \"\"\"top,base,comp number\n", "24.22,24.17,20\n", "24.02,23.38,19\n", "22.97,22.91,18\n", "22.67,22.62,17\n", "21.23,21.17,16\n", "19.85,19.8,15\n", "17.9,17.5,14\n", "17.17,15.5,13\n", "15.18,14.96,12\n", "14.65,13.93,11\n", "13.4,13.05,10\n", "11.94,11.87,9\n", "10.17,10.11,8\n", "7.54,7.49,7\n", "6,5.95,6\n", "5.3,5.25,5\n", "4.91,3.04,4\n", "2.92,2.6,3\n", "2.22,2.17,2\n", "1.9,1.75,1\"\"\""]}, {"cell_type": "markdown", "id": "solved-murder", "metadata": {}, "source": ["## Make a striplog"]}, {"cell_type": "code", "execution_count": 8, "id": "understanding-ideal", "metadata": {}, "outputs": [{"name": "stderr", "output_type": "stream", "text": ["/home/matt/miniconda3/envs/welly/lib/python3.9/site-packages/striplog/striplog.py:512: UserWarning: No lexicon provided, using the default.\n", " warnings.warn(w)\n"]}, {"data": {"image/png": "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\n", "text/plain": ["<Figure size 108x540 with 1 Axes>"]}, "metadata": {"needs_background": "light"}, "output_type": "display_data"}], "source": ["from striplog import Striplog, Component\n", "\n", "s = Striplog.from_csv(text=text)\n", "\n", "s.plot(aspect=5)"]}, {"cell_type": "code", "execution_count": 9, "id": "paperback-inclusion", "metadata": {}, "outputs": [{"data": {"text/html": ["<table><tr><td style=\"width:2em; background-color:#DDDDDD\" rowspan=\"6\"></td><td><strong>top</strong></td><td>24.22</td></tr><tr><td><strong>primary</strong></td><td><table><tr><td><strong>number</strong></td><td>20.0</td></tr></table></td></tr><tr><td><strong>summary</strong></td><td>0.05 m of 20.0</td></tr><tr><td><strong>description</strong></td><td></td></tr><tr><td><strong>data</strong></td><td><table></table></td></tr><tr><td><strong>base</strong></td><td>24.17</td></tr></table>"], "text/plain": ["Interval({'top': Position({'middle': 24.22, 'units': 'm'}), 'base': Position({'middle': 24.17, 'units': 'm'}), 'description': '', 'data': {}, 'components': [Component({'number': 20.0})]})"]}, "execution_count": 9, "metadata": {}, "output_type": "execute_result"}], "source": ["s[0]"]}, {"cell_type": "markdown", "id": "allied-criticism", "metadata": {}, "source": ["## Make a sand flag log\n", "\n", "We'll make a log version of the striplog:"]}, {"cell_type": "code", "execution_count": 10, "id": "enclosed-replica", "metadata": {}, "outputs": [], "source": ["start, stop, step = 0, 25, 0.01\n", "\n", "L = s.to_log(start=start, stop=stop, step=step)"]}, {"cell_type": "code", "execution_count": 11, "id": "analyzed-tomato", "metadata": {}, "outputs": [{"data": {"text/plain": ["[<matplotlib.lines.Line2D at 0x7f778eff3c10>]"]}, "execution_count": 11, "metadata": {}, "output_type": "execute_result"}, {"data": {"image/png": "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\n", "text/plain": ["<Figure size 1080x144 with 1 Axes>"]}, "metadata": {"needs_background": "light"}, "output_type": "display_data"}], "source": ["import matplotlib.pyplot as plt\n", "\n", "plt.figure(figsize=(15, 2))\n", "plt.plot(L)"]}, {"cell_type": "markdown", "id": "constant-aspect", "metadata": {}, "source": ["## Convolve with running window\n", "\n", "Convolution with a boxcar filter computes the mean in a window."]}, {"cell_type": "code", "execution_count": 12, "id": "julian-sentence", "metadata": {}, "outputs": [{"data": {"text/plain": ["(-5.0, 105.0)"]}, "execution_count": 12, "metadata": {}, "output_type": "execute_result"}, {"data": {"image/png": "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\n", "text/plain": ["<Figure size 432x288 with 1 Axes>"]}, "metadata": {"needs_background": "light"}, "output_type": "display_data"}], "source": ["import numpy as np\n", "\n", "window_length = 2.5 # metres.\n", "\n", "N = int(window_length / step)\n", "boxcar = 100 * np.ones(N) / N\n", "\n", "z = np.linspace(start, stop, L.size)\n", "prop = np.convolve(L, boxcar, mode='same')\n", "\n", "plt.plot(z, prop)\n", "plt.grid(c='k', alpha=0.2)\n", "plt.ylim(-5, 105)"]}, {"cell_type": "markdown", "id": "stretch-amino", "metadata": {}, "source": ["## Write out as CSV"]}, {"cell_type": "markdown", "id": "f09b7e71", "metadata": {}, "source": ["Here's the proportion log we made:"]}, {"cell_type": "code", "execution_count": 17, "id": "affiliated-happening", "metadata": {}, "outputs": [{"data": {"text/plain": ["(2501, 2)"]}, "execution_count": 17, "metadata": {}, "output_type": "execute_result"}], "source": ["z_prop = np.stack([z, prop], axis=1)\n", "z_prop.shape"]}, {"cell_type": "markdown", "id": "6df035a8", "metadata": {}, "source": ["Save it with NumPy (or you could build up a Pandas DataFrame)..."]}, {"cell_type": "code", "execution_count": 18, "id": "significant-authentication", "metadata": {}, "outputs": [], "source": ["np.savetxt('prop.csv', z_prop, delimiter=',', header='elev,perc', comments='', fmt='%1.3f')"]}, {"cell_type": "markdown", "id": "9b667887", "metadata": {}, "source": ["Check the file looks okay with a quick command line check (`!` sends commands to the shell)."]}, {"cell_type": "code", "execution_count": 20, "id": "personalized-leonard", "metadata": {}, "outputs": [{"name": "stdout", "output_type": "stream", "text": ["elev,perc\r\n", "0.000,0.000\r\n", "0.010,0.000\r\n", "0.020,0.000\r\n", "0.030,0.000\r\n", "0.040,0.000\r\n", "0.050,0.000\r\n", "0.060,0.000\r\n", "0.070,0.000\r\n", "0.080,0.000\r\n"]}], "source": ["!head prop.csv"]}, {"cell_type": "markdown", "id": "parliamentary-findings", "metadata": {}, "source": ["## Plot everything together"]}, {"cell_type": "code", "execution_count": 22, "id": "legitimate-calvin", "metadata": {}, "outputs": [{"data": {"text/plain": ["Text(0.5, 1.0, '% sand, 2.5 m')"]}, "execution_count": 22, "metadata": {}, "output_type": "execute_result"}, {"data": {"image/png": "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\n", "text/plain": ["<Figure size 360x720 with 3 Axes>"]}, "metadata": {"needs_background": "light"}, "output_type": "display_data"}], "source": ["fig, ax = plt.subplots(figsize=(5, 10), ncols=3, sharey=True)\n", "\n", "# Plot the striplog.\n", "s.plot(ax=ax[0])\n", "ax[0].set_title('Striplog')\n", "\n", "# Fake a striplog by plotting the log... it looks nice!\n", "ax[1].fill_betweenx(z, 0.5, 0, color='grey')\n", "ax[1].fill_betweenx(z, L, 0, color='gold', lw=0)\n", "ax[1].set_title('Faked with log')\n", "\n", "# Plot the sand proportion log.\n", "ax[2].plot(prop, z, 'r', lw=1)\n", "ax[2].set_title(f'% sand, {window_length} m')"]}, {"cell_type": "markdown", "id": "20260ea9", "metadata": {}, "source": ["## Make a histogram of thicknesses"]}, {"cell_type": "code", "execution_count": 33, "id": "under-respect", "metadata": {}, "outputs": [{"data": {"image/png": "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\n", "text/plain": ["<Figure size 432x288 with 1 Axes>"]}, "metadata": {"needs_background": "light"}, "output_type": "display_data"}], "source": ["thicks = [iv.thickness for iv in s]\n", "\n", "_ = plt.hist(thicks, bins=51)"]}], "metadata": {"kernelspec": {"display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3"}, "language_info": {"codemirror_mode": {"name": "ipython", "version": 3}, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.9.7"}}, "nbformat": 4, "nbformat_minor": 5}
apache-2.0
buzmakov/tomography_scripts
tomo/yaivan/rc_test.ipynb
1
45599
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import astra\n", "import numpy as np\n", "import pylab as plt\n", "import os\n", "import glob\n", "\n", "import matplotlib\n", "font = {'size' : 18}\n", "matplotlib.rc('font', **font)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def log_progress(sequence, every=None, size=None):\n", " from ipywidgets import IntProgress, HTML, VBox\n", " from IPython.display import display\n", "\n", " is_iterator = False\n", " if size is None:\n", " try:\n", " size = len(sequence)\n", " except TypeError:\n", " is_iterator = True\n", " if size is not None:\n", " if every is None:\n", " if size <= 200:\n", " every = 1\n", " else:\n", " every = size / 200 # every 0.5%\n", " else:\n", " assert every is not None, 'sequence is iterator, set every'\n", "\n", " if is_iterator:\n", " progress = IntProgress(min=0, max=1, value=1)\n", " progress.bar_style = 'info'\n", " else:\n", " progress = IntProgress(min=0, max=size, value=0)\n", " label = HTML()\n", " box = VBox(children=[label, progress])\n", " display(box)\n", "\n", " index = 0\n", " try:\n", " for index, record in enumerate(sequence, 1):\n", " if index == 1 or index % every == 0:\n", " if is_iterator:\n", " label.value = '{index} / ?'.format(index=index)\n", " else:\n", " progress.value = index\n", " label.value = u'{index} / {size}'.format(\n", " index=index,\n", " size=size\n", " )\n", " yield record\n", " except:\n", " progress.bar_style = 'danger'\n", " raise\n", " else:\n", " progress.bar_style = 'success'\n", " progress.value = index\n", " label.value = unicode(index or '?')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def images_diff(im1, im2):\n", " assert(im1.shape==im2.shape)\n", " rec_diff = np.zeros(shape=(im1.shape[0],im1.shape[1],3), dtype='float32')\n", " im1_t = im1.copy()\n", " im1_t = (im1_t-im1_t.min())/(im1_t.max()-im1_t.min())\n", " \n", " im2_t = im2.copy()\n", " im2_t = (im2_t-im2_t.min())/(im2_t.max()-im2_t.min())\n", " \n", " # nrecon_rec_t[nrecon_rec_t<0] = 0\n", " diff_rec = im1_t-im2_t\n", " rec_diff[...,0] = diff_rec*(diff_rec>0)\n", " rec_diff[...,1] = -diff_rec*(diff_rec<0)\n", " rec_diff[...,2] = rec_diff[...,1]\n", " return rec_diff" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "data_root = '/diskmnt/a/makov/yaivan/MMC_1/'\n", "nrecon_root_folder = os.path.join(data_root,'_tmp','nrecon')\n", "nrecon_folders = glob.glob(os.path.join(nrecon_root_folder, '*'))\n", "nrecon_folders = [nf for nf in nrecon_folders if os.path.isdir(nf)]\n", "print len(nrecon_folders)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!ls -la /diskmnt/a/makov/yaivan/MMC_1/Raw/*.tif | wc -l\n", "!ls -la /diskmnt/a/makov/yaivan/MMC_1/Raw/*.iif | wc -l" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "2030/25" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!ls /diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_*" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!md5sum /diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_rc_0/*" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!md5sum /diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_rc_20/*" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_0_rc_0' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram0 = plt.imread(data_file).astype('float32')\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sinoraw_0960.tif')\n", "sinraw0 = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec0 = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_0_rc_10' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram10 = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec10 = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "t = plt.imread('/diskmnt/a/makov/yaivan/MMC_1/Raw/MMC1_2.82um__arc.tif')\n", "plt.imshow(t, cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "data_files = glob.glob('/diskmnt/a/makov/yaivan/MMC_1/Raw/MMC1_2.82um_????.tif')\n", "data_files = sorted(data_files)\n", "print(len(data_files))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "s0=np.diff(sinogram10[:,2000]-sinogram0[:,2000])\n", "edges = np.argwhere(np.abs(s0)>5)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def get_points(x):\n", " return x.ravel()\n", "# return np.hstack([x[:, 1:11].ravel(),x[:, -12:2].ravel()])\n", " \n", "t_arc = plt.imread('/diskmnt/a/makov/yaivan/MMC_1/Raw/MMC1_2.82um__arc.tif').astype('float32')\n", "\n", "res = []\n", "for df in log_progress(data_files[0:1000:5]):\n", " t_proj =plt.imread(df).astype('float32')\n", " res.append(np.std(t_proj))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(15,10))\n", "plt.plot(np.arange(0,5*len(res),5),res)\n", "for e in edges:\n", " if e<1000:\n", " plt.vlines(e,np.min(res),np.max(res))\n", "plt.grid()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.plot(edges[:-1]-edges[1:],'o')\n", "plt.grid()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_0_rc_5' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram5 = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec5 = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_0_rc_15' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram15 = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec15 = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_0_rc_20' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram20 = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec20 = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_92_rc_0' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram0_bh = plt.imread(data_file).astype('float32')\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sinoraw_0960.tif')\n", "sinraw0_bh = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec0_bh = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nrecon_folder = [d for d in nrecon_folders if 'bh_92_rc_20' in d][0]\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sino0960.tif')\n", "sinogram20_bh = plt.imread(data_file).astype('float32')\n", "data_file = os.path.join(nrecon_folder, 'MMC1_2.82um__sinoraw_0960.tif')\n", "sinraw20_bh = plt.imread(data_file).astype('float32')\n", "rec_file = os.path.join(nrecon_folder, 'MMC1_2.82um__rec0960.png')\n", "rec20_bh = plt.imread(rec_file).astype('float32')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data_file = os.path.join('/home/makov/Downloads/', 'MMC1_2.82um__sino1040.tif')\n", "sinogram1040 = plt.imread(data_file).astype('float32')\n", "rec1040 = plt.imread(\n", " os.path.join('/home/makov/Downloads/', 'MMC1_2.82um__rec1040.png')).astype('float32')" ] }, { "cell_type": "raw", "metadata": {}, "source": [ "# %load /diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_92_rc_0/tomo_config.log\n", "[System]\n", "Scanner = Skyscan1172\n", "Instrument S/N = 08G01121\n", "Hardware version = A\n", "Software = Version 1. 5 (build 18)\n", "Home directory = C:\\SkyScan\n", "Source Type = Hamamatsu 100/250\n", "Camera = Hamamatsu 10Mp camera\n", "Camera Pixel Size (um) = 11.32\n", "CameraXYRatio = 1.0023\n", "Incl.in lifting (um/mm) = 0.0000\n", "\n", "[Acquisition]\n", "Data directory = D:\\Results\\Yakimchuk\\2015-Spectrum Reconctruction\\MultiMineral Calibration\\2015.03.18 MMC_1\\Raw\n", "Filename Prefix = MMC1_2.82um_\n", "Number of Files = 2030\n", "Source Voltage (kV) = 100\n", "Source Current (uA) = 100\n", "Number of Rows = 2096\n", "Number of Columns = 4000\n", "Image crop origin X = 0\n", "Image crop origin Y = 0\n", "Camera binning = 1x1\n", "Image Rotation = 0.6500\n", "Gantry direction = CC\n", "Image Pixel Size (um) = 2.82\n", "Object to Source (mm) = 56.135\n", "Camera to Source (mm) = 225.082\n", "Vertical Object Position (mm) = 6.900\n", "Optical Axis (line) = 960\n", "Filter = Al 0.5 mm\n", "Image Format = TIFF\n", "Depth (bits) = 16\n", "Screen LUT = 0\n", "Exposure (ms) = 1767\n", "Rotation Step (deg) = 0.100\n", "Frame Averaging = ON (15)\n", "Random Movement = OFF (10)\n", "Use 360 Rotation = NO\n", "Geometrical Correction = ON\n", "Camera Offset = OFF\n", "Median Filtering = ON\n", "Flat Field Correction = ON\n", "Rotation Direction = CC\n", "Scanning Trajectory = ROUND\n", "Type Of Motion = STEP AND SHOOT\n", "Study Date and Time = Mar 19, 2015 10:11:11\n", "Scan duration = 16:08:02\n", "\n", "[Reconstruction]\n", "Reconstruction Program = NRecon\n", "Program Version = Version: 1.6.5.8\n", "Program Home Directory = C:\\SkyScan\\NRecon_GPU\n", "Reconstruction engine = NReconServer\n", "Engine version = Version: 1.6.5\n", "Reconstruction from batch = No\n", "Reconstruction servers = slb-7hlv74j slb-9hlv74j slb-7pbv74j\n", "Option for additional F4F float format = OFF\n", "Dataset Origin = Skyscan1172\n", "Dataset Prefix = MMC1_2.82um_\n", "Dataset Directory = f:\\big\\yaivan\\MMC_1\\RAW\n", "Output Directory = C:\\Users\\makov\\Desktop\\NRecon_out\\MMC1_2.82um_\\bh_92_rc_0\n", "Time and Date = Mar 19, 2015 13:00:46\n", "First Section = 960\n", "Last Section = 960\n", "Reconstruction duration per slice (seconds) = 1.859491\n", "Total reconstruction time (1886 slices) in seconds = 3507.000000\n", "Postalignment = -1.00\n", "Section to Section Step = 1\n", "Sections Count = 1886\n", "Result File Type = PNG\n", "Result File Header Length (bytes) = Unknown: compressed JPG format (100%)\n", "Result Image Width (pixels) = 4000\n", "Result Image Height (pixels) = 4000\n", "Pixel Size (um) = 2.82473\n", "Reconstruction Angular Range (deg) = 202.90\n", "Use 180+ = OFF\n", "Angular Step (deg) = 0.1000\n", "Smoothing = 0\n", "Ring Artifact Correction = 0\n", "Draw Scales = OFF\n", "Object Bigger than FOV = OFF\n", "Reconstruction from ROI = OFF\n", "Filter cutoff relative to Nyquisit frequency = 100\n", "Filter type = 0\n", "Filter type meaning(1) = 0: Hamming (Ramp in case of optical scanner); 1: Hann; 2: Ramp; 3: Almost Ramp;\n", "Filter type meaning(2) = 11: Cosine; 12: Shepp-Logan; [100,200]: Generalized Hamming, alpha=(iFilter-100)/100\n", "Undersampling factor = 1\n", "Threshold for defect pixel mask (%) = 0\n", "Beam Hardening Correction (%) = 92\n", "CS Static Rotation (deg) = 0.0\n", "Minimum for CS to Image Conversion = -0.1800\n", "Maximum for CS to Image Conversion = 0.5200\n", "HU Calibration = OFF\n", "BMP LUT = 0\n", "Cone-beam Angle Horiz.(deg) = 11.493867\n", "Cone-beam Angle Vert.(deg) = 6.037473\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(sinogram0, cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(sinraw0, cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,7))\n", "plt.plot(sinraw0.max(axis=-1))\n", "plt.grid(True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,7))\n", "plt.plot(sinraw0[:,0:10].sum(axis=-1))\n", "plt.grid(True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,7))\n", "plt.plot(sinogram0.sum(axis=0)/sinraw0.shape[0])\n", "plt.grid(True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sn0 = -np.log(sinraw0_bh/sinraw0_bh.max())\n", "plt.figure(figsize=(10,12))\n", "plt.imshow(sn0, cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(100*images_diff(sn0, sinogram0))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def build_reconstruction_geomety(detector_size, angles):\n", " \n", " # proj_geom = astra.create_proj_geom('parallel', 1.0, detector_size, angles)\n", " \n", " #Object to Source (mm) = 56.135\n", " #Camera to Source (mm) = 225.082\n", " \n", " # All distances in [pixels]\n", " pixel_size = 2.82473e-3\n", " os_distance = (56.135)/pixel_size\n", " ds_distance = (225.082)/pixel_size\n", " \n", " proj_geom = astra.create_proj_geom('fanflat', ds_distance/os_distance, detector_size, angles,\n", " os_distance, (ds_distance-os_distance))\n", "# proj_geom = astra.create_proj_geom('parallel', 1, detector_size, angles)\n", " \n", " return proj_geom\n", "\n", "def astra_tomo2d_fanflat_fbp(sinogram, angles):\n", " detector_size = sinogram.shape[1]\n", " \n", "\n", " rec_size = detector_size # size of reconstruction region\n", " vol_geom = astra.create_vol_geom(rec_size, rec_size)\n", "\n", " proj_geom = build_reconstruction_geomety(detector_size, angles)\n", " \n", " sinogram_id = astra.data2d.create('-sino', proj_geom, data=sinogram)\n", " # Create a data object for the reconstruction\n", " rec_id = astra.data2d.create('-vol', vol_geom)\n", "\n", " # Set up the parameters for a reconstruction algorithm using the GPU\n", " cfg = astra.astra_dict('FBP_CUDA')\n", " cfg['ReconstructionDataId'] = rec_id\n", " cfg['ProjectionDataId'] = sinogram_id\n", " cfg['option'] = {}\n", " cfg['option']['ShortScan'] = True\n", "# cfg['option']['MinConstraint'] = 0\n", " # cfg['option']['MaxConstraint'] = 5\n", "\n", " # Available algorithms:\n", " # SIRT_CUDA, SART_CUDA, EM_CUDA, FBP_CUDA (see the FBP sample)\n", "\n", " # Create the algorithm object from the configuration structure\n", " alg_id = astra.algorithm.create(cfg)\n", "\n", " # Run 150 iterations of the algorithm\n", " astra.algorithm.run(alg_id, 1)\n", "\n", " # Get the result\n", " rec = astra.data2d.get(rec_id)\n", " # Clean up. Note that GPU memory is tied up in the algorithm object,\n", " # and main RAM in the data objects.\n", " astra.algorithm.delete(alg_id)\n", " astra.data2d.delete(rec_id)\n", " astra.data2d.delete(sinogram_id)\n", " astra.clear()\n", " return rec, proj_geom, cfg\n", "\n", "def get_reconstruction(sinogram, reconstruction_function, min_level=None):\n", " angles = np.arange(sinogram.shape[0])*0.1#-11.493867*2\n", " angles = angles/180.*np.pi\n", "# angles = angles-(angles.max()-angles.min())/2\n", " if min_level is None:\n", " astra_rec, proj_geom, cfg = reconstruction_function(np.flipud(sinogram), angles)\n", " else:\n", " astra_rec, proj_geom, cfg= reconstruction_function(np.flipud(sinogram), angles, min_level)\n", "\n", " astra_rec = np.flipud(astra_rec)\n", " return astra_rec\n", "\n", "def get_reconstruction_fbp(sinogram):\n", " return get_reconstruction(sinogram, astra_tomo2d_fanflat_fbp)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "r=get_reconstruction_fbp(sn0)\n", "plt.figure(figsize=(10,15))\n", "plt.subplot(121)\n", "plt.imshow(r[1700:2300,1700:2300], cmap=plt.cm.gray)\n", "plt.subplot(122)\n", "plt.imshow(rec0_bh[1700:2300,1700:2300], cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from scipy.ndimage import median_filter, gaussian_filter\n", "from scipy.signal import medfilt" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from collections import OrderedDict\n", "recs = OrderedDict()\n", "recs['0']=rec0\n", "recs['5']=rec5\n", "recs['10']=rec10\n", "recs['15']=rec15\n", "recs['20']=rec20" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from scipy.ndimage import median_filter\n", "def remove_stripes(sinogram, level):\n", " \"\"\"\n", " Sinogram - 2D sinogram (slice)\n", " Level - Nrecon correction level\n", " \"\"\"\n", " if level==0:\n", " return sinogram\n", " else:\n", " return median_filter(sinogram,[1,level+9])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "for l in range(0,21,5):\n", " sn1 = remove_stripes(sn0,l)\n", " \n", " r=get_reconstruction_fbp(sn1)\n", " plt.figure(figsize=(10,15))\n", " plt.subplot(121)\n", " plt.imshow(r[1700:2300,1700:2300], cmap=plt.cm.gray)\n", " plt.subplot(122)\n", " plt.imshow(recs[str(l)][1700:2300,1700:2300], cmap=plt.cm.gray)\n", " plt.title('RC='+str(l))\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,15))\n", "plt.imshow(r, cmap=plt.cm.gray)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "r0=recs['0']\n", "for k,v in recs.iteritems():\n", " t = np.gradient(v-r0)\n", " r = (t[0]**2+t[1]**2)\n", " print(k,np.sum(np.isclose(r,0)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sn1 = median_filter(sn0,[1,30])\n", "r=get_reconstruction_fbp(sn1)\n", "plt.figure(figsize=(10,15))\n", "plt.subplot(121)\n", "plt.imshow(r[1700:2300,1700:2300], cmap=plt.cm.gray)\n", "plt.subplot(122)\n", "plt.imshow(rec20[1700:2300,1700:2300], cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(100*images_diff(sinogram0,sn1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(plt.imread(\n", " '/diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_rc_20/MMC1_2.82um__rec_spr.bmp'),\n", " cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "pp1 = plt.imread(\n", " '/diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_rc_20/MMC1_2.82um__pp1.tif').astype('float32')\n", "pp2 = plt.imread(\n", " '/diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_rc_20/MMC1_2.82um__pp2.tif').astype('float32')\n", "plt.figure(figsize=(10,12))\n", "plt.imshow(images_diff(pp1, np.fliplr(pp2)))\n", "# plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(plt.imread(\n", " '/diskmnt/a/makov/yaivan/MMC_1/_tmp/nrecon/bh_0_rc_20/MMC1_2.82um__pp1.tif'),\n", " cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "arc = pp1 = plt.imread(\n", " '/diskmnt/a/makov/yaivan/MMC_1/Raw/MMC1_2.82um__arc.tif').astype('float32')\n", "plt.figure(figsize=(10,12))\n", "plt.imshow(arc,cmap=plt.cm.gray)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Радоновский инвариант" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from collections import OrderedDict\n", "sinograms = OrderedDict()\n", "sinograms['0']=sinogram0\n", "sinograms['5']=sinogram5\n", "sinograms['10']=sinogram10\n", "sinograms['15']=sinogram15\n", "sinograms['20']=sinogram20" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(13,7))\n", "colormap = plt.cm.jet\n", "plt.gca().set_color_cycle([colormap(k) for k in np.linspace(0, 1, len(sinograms))])\n", "for k,v in sinograms.iteritems():\n", " plt.plot(v.sum(axis=-1), label=k)\n", " plt.hold(True)\n", "\n", "plt.legend(loc=0)\n", "plt.grid(True)\n", "plt.title('Radon invariant on central slice with different RC levels')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0 = sinograms['0']\n", "plt.figure(figsize=(13,7))\n", "colormap = plt.cm.jet\n", "plt.gca().set_color_cycle([colormap(k) for k in np.linspace(0, 1, len(sinograms))])\n", "for k,v in sinograms.iteritems():\n", " plt.plot((v-s0).sum(axis=-1), label=k+'-\"0\"')\n", " plt.hold(True)\n", "\n", "plt.legend(loc=0)\n", "plt.grid(True)\n", "plt.title('RELEATIVE (from RC0) Radon invariant on central slice with different RC levels')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(13,7))\n", "colormap = plt.cm.jet\n", "plt.gca().set_color_cycle([colormap(k) for k in np.linspace(0, 1, len(sinograms))])\n", "for k,v in sinograms.iteritems():\n", " plt.plot(v.max(axis=-1), label=k)\n", " plt.hold(True)\n", "\n", "plt.legend(loc=0)\n", "plt.grid(True)\n", "plt.title('Radon invariant on central slice with different RC levels')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "tmp_dir = '/diskmnt/fast/makov/tmp/'\n", "images_ext = 'tif'\n", "data_tmp_file = os.path.join(tmp_dir,'images.{}.tmp'.format(images_ext))\n", "data_tmp_size_file = os.path.join(tmp_dir,'images.{}.size.txt'.format(images_ext))\n", "if os.path.exists(data_tmp_file) and os.path.exists(data_tmp_size_file):\n", " print('File {} found, read it. Not load original images'.format(data_tmp_file))\n", " data_blob = np.memmap(data_tmp_file,\n", " dtype='uint16',\n", " shape=tuple(np.loadtxt(data_tmp_size_file).astype('uint16')),\n", " mode='r'\n", " )\n", "else:\n", " raise(ValueError)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,15))\n", "plt.imshow(data_blob[100,:,:].astype('float32'), interpolation='nearest', cmap=plt.cm.viridis)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "my_arc = np.zeros((data_blob.shape[1],data_blob.shape[2]),dtype='float32')\n", "for sss in log_progress(range(data_blob.shape[0])):\n", " my_arc+=data_blob[sss]\n", "plt.figure(figsize=(10,15))\n", "plt.imshow(my_arc, interpolation='nearest', cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,15))\n", "plt.imshow(my_arc-median_filter(my_arc,3),cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s_max=[]\n", "s_min=[]\n", "for i in log_progress(range(data_blob.shape[0])):\n", " s_max.append(data_blob[i].max())\n", " s_min.append(data_blob[i].min())" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(13,7))\n", "plt.plot(s_min, label='min')\n", "plt.plot(s_max, label='max')\n", "plt.legend(loc=0)\n", "plt.grid(True)\n", "plt.title('Min and max value in tiff files')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from collections import OrderedDict\n", "sinograms = OrderedDict()\n", "sinograms['0']=sinogram0\n", "sinograms['5']=sinogram5\n", "sinograms['10']=sinogram10\n", "sinograms['15']=sinogram15\n", "sinograms['20']=sinogram20\n", "\n", "plt.figure(figsize=(13,7))\n", "colormap = plt.cm.jet\n", "plt.gca().set_color_cycle([colormap(k) for k in np.linspace(0, 1, len(sinograms))])\n", "for k,v in sinograms.iteritems():\n", " plt.plot(v.sum(axis=-1), label=k)\n", " plt.hold(True)\n", "\n", "plt.legend(loc=0)\n", "plt.grid(True)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(rec0[1500:2500,1500:2500])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(rec20[1500:2500,1500:2500])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(100*images_diff(rec0[1500:2500,1500:2500,0],rec5[1500:2500,1500:2500,0]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "r=get_reconstruction_fbp(sinogram0)\n", "plt.figure(figsize=(15,15))\n", "plt.imshow(r, cmap=plt.cm.gray)\n", "# plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,15))\n", "plt.subplot(121)\n", "plt.imshow(r[1700:2300,1700:2300], cmap=plt.cm.gray)\n", "plt.subplot(122)\n", "plt.imshow(rec0[1700:2300,1700:2300], cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s1 = sinogram0.T/sinogram0.sum(axis=-1)\n", "r=get_reconstruction_fbp(s1.T)\n", "plt.figure(figsize=(10,15))\n", "plt.subplot(121)\n", "plt.imshow(r[1700:2300,1700:2300], cmap=plt.cm.gray)\n", "plt.subplot(122)\n", "plt.imshow(rec0[1700:2300,1700:2300], cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import tomopy" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "st1 = np.expand_dims(sinogram0,-1)\n", "st1 = tomopy.prep.stripe.remove_stripe_fw(st1, level=40)\n", "st1=np.squeeze(st1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(sinogram0-st1)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "r=get_reconstruction_fbp(st1)\n", "plt.figure(figsize=(10,15))\n", "plt.subplot(121)\n", "plt.imshow(r[1700:2300,1700:2300], cmap=plt.cm.gray)\n", "plt.subplot(122)\n", "plt.imshow(rec10[1700:2300,1700:2300], cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,7))\n", "plt.plot((sinogram0-st1).sum(axis=-1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,15))\n", "plt.imshow(r[::2,::2], cmap=plt.cm.gray)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(100*images_diff(rec0[1500:2500,1500:2500,0],r[1500:2500,1500:2500]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(13,7))\n", "# plt.plot(sinogram10.max(axis=0), label='Max')\n", "plt.hold(True)\n", "# plt.plot(sinogram10.min(axis=0), label='Min')\n", "# plt.plot(sinogram10.mean(axis=0), label='Mean')\n", "# plt.plot(sinogram10[0], label='0')\n", "# plt.plot(sinogram10[1800,::-1], label='180')\n", "plt.plot(sinogram10[sinogram10.shape[0]-1800], label='0*')\n", "plt.plot(sinogram10[-1,::-1], label='180*')\n", "\n", "plt.legend(loc=0)\n", "plt.grid(True)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(13,7))\n", "plt.plot(sinogram10[::300].T);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(100*images_diff(sinogram0,sinogram10))\n", "# plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from scipy.ndimage import median_filter, gaussian_filter\n", "from scipy.signal import medfilt" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "plt.figure(figsize=(10,12))\n", "plt.imshow(100*images_diff(sinogram0,median_filter(sinogram0,[1,7])))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0=sinogram0.sum(axis=0)\n", "# sf = median_filter(sinogram0,[1,3]).sum(axis=0)\n", "s10=sinogram10.sum(axis=0)\n", "plt.figure(figsize=(12,10))\n", "plt.plot(s0, label='s0')\n", "plt.plot(s10, label='s10')\n", "plt.plot(100*(s0-s10), label='s0-s10')\n", "# plt.plot(100*(sf-s10), label='s0-sf')\n", "plt.grid(True)\n", "plt.legend(loc=0)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0=sinogram0.sum(axis=1)\n", "# sf = median_filter(sinogram0,[1,3]).sum(axis=0)\n", "s10=sinogram10.sum(axis=1)\n", "plt.figure(figsize=(15,7))\n", "# plt.plot(s0, label='s0')\n", "# plt.plot(s10, label='s10')\n", "plt.plot(100*(s0-s10), label='s0-s10')\n", "# plt.plot(100*(sf-s10), label='s0-sf')\n", "plt.grid(True)\n", "plt.legend(loc=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0=sinogram0\n", "# sf = median_filter(sinogram0,[1,3]).sum(axis=0)\n", "s10=sinogram10\n", "plt.figure(figsize=(15,7))\n", "# plt.plot(s0, label='s0')\n", "# plt.plot(s10, label='s10')\n", "plt.plot((s0[:,1000]-s10[:,1000]), label='s0-s10, line 1000')\n", "plt.plot((s0[:,2000]-s10[:,2000]), label='s0-s10, line 2000')\n", "plt.plot((s0[:,3000]-s10[:,3000]), label='s0-s10, line 3000')\n", "# plt.plot(100*(sf-s10), label='s0-sf')\n", "plt.grid(True)\n", "plt.legend(loc=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0=sinogram0\n", "# sf = median_filter(sinogram0,[1,3]).sum(axis=0)\n", "s5=sinogram5\n", "s10=sinogram10\n", "s15=sinogram15\n", "s20 = sinogram20\n", "plt.figure(figsize=(15,7))\n", "# plt.plot(s0, label='s0')\n", "# plt.plot(s10, label='s10')\n", "plt.plot((s0[:,2000]/1e2), label='0.001*RC=0, line 2000')\n", "# plt.plot((s5[:,2000]-s0[:,2000]), label='RC5-RC0, line 2000')\n", "plt.plot((s10[:,2000]-s0[:,2000]), label='RC10-RC0, line 2000')\n", "# plt.plot((s15[:,2000]-s0[:,2000]), label='RC15-RC0, line 2000')\n", "# plt.plot((s20[:,2000]-s0[:,2000]), label='RC20-RC0, line 2000')\n", "# plt.plot((s10[:,2000]-s20[:,2000]), label='s10-s20, line 2000')\n", "# plt.plot((s0[:,3000]-s10[:,3000]), label='s0-s10, line 3000')\n", "# plt.plot(100*(sf-s10), label='s0-sf')\n", "plt.grid(True)\n", "plt.legend(loc=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0=sinogram0\n", "# sf = median_filter(sinogram0,[1,3]).sum(axis=0)\n", "s10=sinogram10\n", "s20 = sinogram20\n", "plt.figure(figsize=(15,7))\n", "# plt.plot(s0, label='s0')\n", "# plt.plot(s10, label='s10')\n", "# plt.plot((s0[:,2000]/1e2), label='0.001/RC=0, line 2000')\n", "plt.plot((s0[:,2000]-s10[:,2000]), label='RC0-RC10, line 2000')\n", "plt.plot((s0[:,2001]-s10[:,2001]), label='RC0-RC10, line 2001')\n", "plt.plot((s0[:,2002]-s10[:,2002]), label='RC0-RC10, line 2002')\n", "plt.plot((s0[:,2003]-s10[:,2003]), label='RC0-RC10, line 2003')\n", "plt.plot((s0[:,3003]-s10[:,3003]), label='RC0-RC10, line 3003')\n", "# plt.plot((s10[:,2000]-s20[:,2000]), label='s10-s20, line 2000')\n", "# plt.plot((s0[:,3000]-s10[:,3000]), label='s0-s10, line 3000')\n", "# plt.plot(100*(sf-s10), label='s0-sf')\n", "plt.grid(True)\n", "plt.legend(loc=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "images_diff(sinogram0,sinogram10).max()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "r,_=get_reconstruction_fbp(sinogram0_bh)\n", "plt.figure(figsize=(15,15))\n", "plt.imshow(r, cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(15,15))\n", "plt.imshow(18*images_diff(r, rec1040[...,0]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "rec1040.shape" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "recs=[]\n", "for i in range(0,300,10):\n", " r,_=get_reconstruction_fbp(sinogram0[i:])\n", " recs.append([i,r])\n", "# plt.figure(figsize=(10,12))\n", "# plt.imshow(r, cmap=plt.cm.gray)\n", "# plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "for i,r in recs:\n", " plt.figure(figsize=(10,12))\n", " plt.imshow(r, cmap=plt.cm.gray)\n", " plt.title(i)\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "ts = s[-1]/s[-1].max()*sinogram0[:1800+220].max()\n", "ts=np.flipud(ts)\n", "plt.figure(figsize=(10,12))\n", "plt.imshow(ts-sinogram0[:1800+220], cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "ts = s[-1]/s[-1].max()*2**16\n", "ts=np.flipud(ts)\n", "plt.figure(figsize=(10,12))\n", "plt.imshow(sinogram0[:1800+220], cmap=plt.cm.gray)\n", "plt.colorbar(orientation='horizontal')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "plt.figure(figsize=(10,10))\n", "plt.imshow(100*images_diff(r,r1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "plt.figure(figsize=(10,10))\n", "plt.imshow(100*images_diff(r,r1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "plt.figure(figsize=(10,10))\n", "plt.imshow(100*images_diff(r,r1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s0=np.diff(sinogram10[:,2000]-sinogram0[:,2000])\n", "edges = np.argwhere(np.abs(s0)>5)\n", "plt.figure(figsize=(15,7))\n", "plt.imshow(sinogram0)\n", "for ed in edges:\n", " plt.hlines(ed[0],0,4000,'k')\n", "# plt.grid(True)\n", "# plt.legend(loc=0)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "data_files_0 = os.path.join('/home/makov/Downloads/multislice/bh_0_rc_0/', 'MMC1_2.82um__sino????.tif')\n", "sinograms_0 = sorted(glob.glob(data_files_0))\n", "print(len(sinograms_0))\n", "data_files_17 = os.path.join('/home/makov/Downloads/multislice/bh_0_rc_17/', 'MMC1_2.82um__sino????.tif')\n", "sinograms_17 = sorted(glob.glob(data_files_17))\n", "print(len(sinograms_17))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10,10))\n", "colormap = plt.cm.jet\n", "plt.gca().set_color_cycle([colormap(k) for k in np.linspace(0, 1, len(sinograms_0))])\n", "for s0,s17 in zip(sinograms_0,sinograms_17):\n", " d0 = plt.imread(s0).astype('float32')[:,2000]\n", " d17 = plt.imread(s17).astype('float32')[:,2000]\n", " plt.plot(d17-d0,label=s0[-8:-4])\n", " plt.hold(True)\n", "plt.grid(True)\n", "plt.legend(ncol=5,bbox_to_anchor=(1.05, 1), loc=2, borderaxespad=0.)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "files = glob.glob('/home/makov/diskmnt/big/yaivan/MMC_1/Raw/*_????.tif')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "len(files)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "files" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%xmodex" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "scrolled": false }, "outputs": [], "source": [ "numbers = [int(x[-8:-4]) for x in files if not 'arc' in x]\n", "numbers = sorted(numbers)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "numbersx" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "for i,n in enumerate(numbers):\n", " if not i == n:\n", " print i,n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "numbers" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
lesonkorenac/dataquest-projects
2. Data Analysis and Visualization/Analyzing Thanksgiving Dinner/Thanksgiving survey.ipynb
1
125923
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "data = pd.read_csv(\"thanksgiving.csv\", encoding=\"Latin-1\")" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Index(['RespondentID', 'Do you celebrate Thanksgiving?',\n", " 'What is typically the main dish at your Thanksgiving dinner?',\n", " 'What is typically the main dish at your Thanksgiving dinner? - Other (please specify)',\n", " 'How is the main dish typically cooked?',\n", " 'How is the main dish typically cooked? - Other (please specify)',\n", " 'What kind of stuffing/dressing do you typically have?',\n", " 'What kind of stuffing/dressing do you typically have? - Other (please specify)',\n", " 'What type of cranberry saucedo you typically have?',\n", " 'What type of cranberry saucedo you typically have? - Other (please specify)',\n", " 'Do you typically have gravy?',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Brussel sprouts',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Carrots',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Cauliflower',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Corn',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Cornbread',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Fruit salad',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Green beans/green bean casserole',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Macaroni and cheese',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Mashed potatoes',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Rolls/biscuits',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Squash',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Vegetable salad',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Yams/sweet potato casserole',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Other (please specify)',\n", " 'Which of these side dishes aretypically served at your Thanksgiving dinner? Please select all that apply. - Other (please specify).1',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Apple',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Buttermilk',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Cherry',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Chocolate',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Coconut cream',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Key lime',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Peach',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Pecan',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Pumpkin',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Sweet Potato',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - None',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Other (please specify)',\n", " 'Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Other (please specify).1',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Apple cobbler',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Blondies',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Brownies',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Carrot cake',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Cheesecake',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Cookies',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Fudge',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Ice cream',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Peach cobbler',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - None',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Other (please specify)',\n", " 'Which of these desserts do you typically have at Thanksgiving dinner? Please select all that apply. - Other (please specify).1',\n", " 'Do you typically pray before or after the Thanksgiving meal?',\n", " 'How far will you travel for Thanksgiving?',\n", " 'Will you watch any of the following programs on Thanksgiving? Please select all that apply. - Macy's Parade',\n", " 'What's the age cutoff at your \"kids' table\" at Thanksgiving?',\n", " 'Have you ever tried to meet up with hometown friends on Thanksgiving night?',\n", " 'Have you ever attended a \"Friendsgiving?\"',\n", " 'Will you shop any Black Friday sales on Thanksgiving Day?',\n", " 'Do you work in retail?',\n", " 'Will you employer make you work on Black Friday?',\n", " 'How would you describe where you live?', 'Age', 'What is your gender?',\n", " 'How much total combined money did all members of your HOUSEHOLD earn last year?',\n", " 'US Region'],\n", " dtype='object')" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data.columns" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "celebrate = data[data[\"Do you celebrate Thanksgiving?\"] == \"Yes\"].copy()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "def display_counts(counts, title, labels = None):\n", " print(counts)\n", " \n", " labels = labels or counts.index\n", " \n", " value_range = range(0, len(counts))\n", " ax = plt.subplot(111) \n", " ax.bar(value_range, counts.values)\n", " plt.title(title)\n", " plt.xticks(value_range, labels, rotation = 'vertical')\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "typical_main_dish_key = \"What is typically the main dish at your Thanksgiving dinner?\"" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Turkey 859\n", "Other (please specify) 35\n", "Ham/Pork 29\n", "Tofurkey 20\n", "Chicken 12\n", "Roast beef 11\n", "I don't know 5\n", "Turducken 3\n", "Name: What is typically the main dish at your Thanksgiving dinner?, dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAFtCAYAAAAJc6GzAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niT4iouP+Hyv8B1c+JI8AAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c1131da0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "display_counts(celebrate[typical_main_dish_key].value_counts(), \"Typical Thanksgiving main dishes counts\")" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Yes 12\n", "No 8\n", "Name: Do you typically have gravy?, dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXQAAAEQCAYAAACgBo8fAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c13e5cf8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "display_counts(celebrate[celebrate[typical_main_dish_key] == \"Tofurkey\"]\n", " [\"Do you typically have gravy?\"].value_counts(),\n", " \"Gravy with Tofurkey\")" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def get_notnull_values(series):\n", " return series[pd.notnull(series)]\n" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Apple 514\n", "Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Pumpkin 729\n", "Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - Pecan 342\n", "dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAEqCAYAAAAbLptnAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAGApJREFUeJzt3Xu0nXV95/H3RyLeNVwOiEkwKqm3OiBGG8dOtTAzEryE\nqijUkcikja5iR8fpatFxtK1ji9OuUlmrxTKiE6wV0SmSKl5oQLwsUcOlKEaGiFzSIAS5iKBy+84f\n+3dgczjJ2Sc5O3vnyfu11ln7eX7Pb+/nu9nhs3/7t5/n2akqJEnd9YhRFyBJGi6DXpI6zqCXpI4z\n6CWp4wx6Seo4g16SOs6g11hI8oUkK0ddh9RFBr12miTXJPl5kp8luTHJx5I8HqCqllfVmu14zMVJ\nKsm8ua94/CR5c5Kvj7oO7VoMeu1sr6qqxwOHAi8E3jPieqTOM+g1ElX1r8AXgF8FSPKVJL8zuT3J\nf06yIcmtSb6U5Klbeaivttvb2ieFlya5Jcnz+h5rv/ZJYiLJy5JsSvLuJDe3Txlv7Ov7qCR/meS6\n9qnjw0kes7XnkeR3W513JPl+kkNb+7Pbc7otyRVJXt13n6nP9SGj9PYJ5a1JrmrP/2/S82zgw8CL\n23O9rfU/su37jiT/muQPBnkNtPsw6DUSSRYBRwKXTrPtKODdwGuACeBrwCe38lC/0W7nV9Xjq+pC\n4EzgP/X1ORb456ra0tafDOwLLABWAqcleWbb9kHgV4BDgINan/du5TkcDfwxcBzwRODVwE+SPBL4\nJ+DLwH7A7wOf6NvHIF5J7xPPwcDrgZdX1QbgrcA323Od3/qeDrylqp5A743z/FnsR7sBg14722fb\nSPTrwIXAn03T5y3An1fVhqq6t/U5ZBuj+qnWAL+dZPLf95uAj0/p8z+q6pftjeHzwOuTBPhd4L9W\n1S1VdUfb9zFb2c/vAP+rqr5TPRur6lpgGfB44KSquruqzgc+R+8NZ1AnVdVtVXUdcAG9N56tuQd4\nTpInVtWtVXXJLPaj3YBBr53tqKqaX1VPrarfq6qfT9PnqcCH2rTHbcAtQOiNrmdUVd8C7gRemuRZ\n9Ebma/u63FpVd/atXws8hd6nh8cCF/ft+4utfTqLgB9O0/4U4Pqqun/KPgaqv/lx3/Jd9N44tua1\n9D4dXZvkwiQvnsV+tBvYLY5U0C7neuADVfWJAfpu7fKra+hN3/wY+ExV/aJv215JHtcX9gcC3wNu\nBn4OPLd9hzBInc+Ypn0zsCjJI/rC/kDg/7XlO+m9oUx68gD7mvSw51tV3wFWtCmjtwFn0XsTkgBH\n9BpPHwbeleS5AEme1ObDp7MFuB94+pT2jwO/RS/sz5jmfn+SZM8k/47efPinWyj/b+DkJPu1fS9I\n8vKt7PsjwB8keUH7svSgNr00+YniD5M8MsnLgFfR++4A4DLgNUkem+QgYNW2/3M8xI3AwiR7tvr2\nTPLGJE+qqnuAnwL3zeLxtBsw6DV2qupsel+Knpnkp/RG28u30vcu4APAN9p0y7LWvgm4hN4I+GtT\n7vZj4FZ6I+9PAG+tqh+0bX8EbAQuavv+Z2DaL1Gr6tNt3/8A3AF8Fti7qu6m98XscnqfEv4WOK5v\nHycDd9ML7TWthkGdD1wB/DjJza3tTcA1rd638tAvoiXiD4+oq5J8FNhcVe/pa3sZ8PdVtXBkhUk7\nmXP06qQki+kdnvn80VYijZ5TN+qcJO+nN93zF1X1o1HXI42aUzeS1HGO6CWp4wx6Seq4sfgydt99\n963FixePugxJ2qVcfPHFN1fV1s7cfsBYBP3ixYtZv379qMuQpF1KkmsH6efUjSR1nEEvSR1n0EtS\nxxn0ktRxBr0kdZxBL0kdZ9BLUscZ9JLUcWNxwpR2L4tP/PyoS+isa056xahL0BhyRC9JHWfQS1LH\nGfSS1HEGvSR13IxBn+SZSS7r+/tpknck2TvJeUmuard7tf5JckqSjUkuT3Lo8J+GJGlrZgz6qrqy\nqg6pqkOAFwB3AWcDJwLrqmoJsK6tAywHlrS/1cCpwyhckjSY2U7dHA78sKquBVYAa1r7GuCotrwC\nOKN6LgLmJzlgTqqVJM3abIP+GOCTbXn/qroBoN3u19oXANf33WdTa5MkjcDAQZ9kT+DVwKdn6jpN\nW03zeKuTrE+yfsuWLYOWIUmapdmM6JcDl1TVjW39xskpmXZ7U2vfBCzqu99CYPPUB6uq06pqaVUt\nnZiY8ScPJUnbaTZBfywPTtsArAVWtuWVwDl97ce1o2+WAbdPTvFIkna+ga51k+SxwH8A3tLXfBJw\nVpJVwHXA0a39XOBIYCO9I3SOn7NqJUmzNlDQV9VdwD5T2n5C7yicqX0LOGFOqpMk7TDPjJWkjjPo\nJanjDHpJ6jiDXpI6zqCXpI4z6CWp4wx6Seo4g16SOs6gl6SOM+glqeMMeknqOINekjrOoJekjjPo\nJanjDHpJ6jiDXpI6zqCXpI4z6CWp4wx6Seq4gYI+yfwkn0nygyQbkrw4yd5JzktyVbvdq/VNklOS\nbExyeZJDh/sUJEnbMuiI/kPAF6vqWcDBwAbgRGBdVS0B1rV1gOXAkva3Gjh1TiuWJM3KjEGf5InA\nbwCnA1TV3VV1G7ACWNO6rQGOassrgDOq5yJgfpID5rxySdJABhnRPx3YAnwsyaVJPpLkccD+VXUD\nQLvdr/VfAFzfd/9NrU2SNAKDBP084FDg1Kp6PnAnD07TTCfTtNXDOiWrk6xPsn7Lli0DFStJmr1B\ngn4TsKmqvtXWP0Mv+G+cnJJptzf19V/Ud/+FwOapD1pVp1XV0qpaOjExsb31S5JmMGPQV9WPgeuT\nPLM1HQ58H1gLrGxtK4Fz2vJa4Lh29M0y4PbJKR5J0s43b8B+vw98IsmewNXA8fTeJM5Ksgq4Dji6\n9T0XOBLYCNzV+kqSRmSgoK+qy4Cl02w6fJq+BZywg3VJkuaIZ8ZKUscZ9JLUcQa9JHWcQS9JHWfQ\nS1LHGfSS1HEGvSR1nEEvSR1n0EtSxxn0ktRxBr0kdZxBL0kdZ9BLUscZ9JLUcQa9JHWcQS9JHWfQ\nS1LHGfSS1HEGvSR1nEEvSR030I+DJ7kGuAO4D7i3qpYm2Rv4FLAYuAZ4fVXdmiTAh4AjgbuAN1fV\nJXNfes/iEz8/rIfe7V1z0itGXYKkOTCbEf1vVtUhVbW0rZ8IrKuqJcC6tg6wHFjS/lYDp85VsZKk\n2duRqZsVwJq2vAY4qq/9jOq5CJif5IAd2I8kaQcMGvQFfDnJxUlWt7b9q+oGgHa7X2tfAFzfd99N\nrU2SNAIDzdEDL6mqzUn2A85L8oNt9M00bfWwTr03jNUABx544IBlSJJma6ARfVVtbrc3AWcDLwJu\nnJySabc3te6bgEV9d18IbJ7mMU+rqqVVtXRiYmL7n4EkaZtmDPokj0vyhMll4D8C3wPWAitbt5XA\nOW15LXBcepYBt09O8UiSdr5Bpm72B87uHTXJPOAfquqLSb4DnJVkFXAdcHTrfy69Qys30ju88vg5\nr1qSNLAZg76qrgYOnqb9J8Dh07QXcMKcVCdJ2mGeGStJHWfQS1LHGfSS1HEGvSR1nEEvSR1n0EtS\nxxn0ktRxBr0kdZxBL0kdZ9BLUscZ9JLUcQa9JHWcQS9JHWfQS1LHGfSS1HEGvSR1nEEvSR1n0EtS\nxxn0ktRxAwd9kj2SXJrkc239aUm+leSqJJ9Ksmdrf1Rb39i2Lx5O6ZKkQcxmRP92YEPf+geBk6tq\nCXArsKq1rwJuraqDgJNbP0nSiAwU9EkWAq8APtLWAxwGfKZ1WQMc1ZZXtHXa9sNbf0nSCAw6ov9r\n4A+B+9v6PsBtVXVvW98ELGjLC4DrAdr221t/SdIIzBj0SV4J3FRVF/c3T9O1BtjW/7irk6xPsn7L\nli0DFStJmr1BRvQvAV6d5BrgTHpTNn8NzE8yr/VZCGxuy5uARQBt+5OAW6Y+aFWdVlVLq2rpxMTE\nDj0JSdLWzRj0VfWuqlpYVYuBY4Dzq+qNwAXA61q3lcA5bXltW6dtP7+qHjailyTtHDtyHP0fAe9M\nspHeHPzprf10YJ/W/k7gxB0rUZK0I+bN3OVBVfUV4Ctt+WrgRdP0+QVw9BzUJkmaA54ZK0kdZ9BL\nUscZ9JLUcQa9JHWcQS9JHWfQS1LHGfSS1HEGvSR1nEEvSR1n0EtSxxn0ktRxs7rWjaTd0+ITPz/q\nEjrrmpNeMfR9OKKXpI4z6CWp4wx6Seo4g16SOs6gl6SOM+glqeMMeknquBmDPsmjk3w7yb8kuSLJ\nn7T2pyX5VpKrknwqyZ6t/VFtfWPbvni4T0GStC2DjOh/CRxWVQcDhwBHJFkGfBA4uaqWALcCq1r/\nVcCtVXUQcHLrJ0kakRmDvnp+1lYf2f4KOAz4TGtfAxzVlle0ddr2w5NkziqWJM3KQHP0SfZIchlw\nE3Ae8EPgtqq6t3XZBCxoywuA6wHa9tuBfeayaEnS4AYK+qq6r6oOARYCLwKePV23djvd6L2mNiRZ\nnWR9kvVbtmwZtF5J0izN6qibqroN+AqwDJifZPKiaAuBzW15E7AIoG1/EnDLNI91WlUtraqlExMT\n21e9JGlGgxx1M5Fkflt+DPDvgQ3ABcDrWreVwDlteW1bp20/v6oeNqKXJO0cg1ym+ABgTZI96L0x\nnFVVn0vyfeDMJP8TuBQ4vfU/Hfh4ko30RvLHDKFuSdKAZgz6qroceP407VfTm6+f2v4L4Og5qU6S\ntMM8M1aSOs6gl6SOM+glqeMMeknqOINekjrOoJekjjPoJanjDHpJ6jiDXpI6zqCXpI4z6CWp4wx6\nSeo4g16SOs6gl6SOM+glqeMMeknqOINekjrOoJekjjPoJanjDHpJ6rgZgz7JoiQXJNmQ5Iokb2/t\neyc5L8lV7Xav1p4kpyTZmOTyJIcO+0lIkrZukBH9vcB/q6pnA8uAE5I8BzgRWFdVS4B1bR1gObCk\n/a0GTp3zqiVJA5sx6Kvqhqq6pC3fAWwAFgArgDWt2xrgqLa8Ajijei4C5ic5YM4rlyQNZFZz9EkW\nA88HvgXsX1U3QO/NANivdVsAXN93t02tTZI0AgMHfZLHA/8XeEdV/XRbXadpq2keb3WS9UnWb9my\nZdAyJEmzNFDQJ3kkvZD/RFX9Y2u+cXJKpt3e1No3AYv67r4Q2Dz1MavqtKpaWlVLJyYmtrd+SdIM\nBjnqJsDpwIaq+qu+TWuBlW15JXBOX/tx7eibZcDtk1M8kqSdb94AfV4CvAn4bpLLWtu7gZOAs5Ks\nAq4Djm7bzgWOBDYCdwHHz2nFkqRZmTHoq+rrTD/vDnD4NP0LOGEH65IkzRHPjJWkjjPoJanjDHpJ\n6jiDXpI6zqCXpI4z6CWp4wx6Seo4g16SOs6gl6SOM+glqeMMeknqOINekjrOoJekjjPoJanjDHpJ\n6jiDXpI6zqCXpI4z6CWp4wx6Seq4GYM+yUeT3JTke31teyc5L8lV7Xav1p4kpyTZmOTyJIcOs3hJ\n0swGGdH/H+CIKW0nAuuqagmwrq0DLAeWtL/VwKlzU6YkaXvNGPRV9VXglinNK4A1bXkNcFRf+xnV\ncxEwP8kBc1WsJGn2tneOfv+qugGg3e7X2hcA1/f129TaJEkjMtdfxmaatpq2Y7I6yfok67ds2TLH\nZUiSJm1v0N84OSXTbm9q7ZuARX39FgKbp3uAqjqtqpZW1dKJiYntLEOSNJPtDfq1wMq2vBI4p6/9\nuHb0zTLg9skpHknSaMybqUOSTwIvA/ZNsgl4H3AScFaSVcB1wNGt+7nAkcBG4C7g+CHULEmahRmD\nvqqO3cqmw6fpW8AJO1qUJGnueGasJHWcQS9JHWfQS1LHGfSS1HEGvSR1nEEvSR1n0EtSxxn0ktRx\nBr0kdZxBL0kdZ9BLUscZ9JLUcQa9JHWcQS9JHWfQS1LHGfSS1HEGvSR1nEEvSR1n0EtSxxn0ktRx\nQwn6JEckuTLJxiQnDmMfkqTBzHnQJ9kD+BtgOfAc4Ngkz5nr/UiSBjOMEf2LgI1VdXVV3Q2cCawY\nwn4kSQMYRtAvAK7vW9/U2iRJIzBvCI+ZadrqYZ2S1cDqtvqzJFcOoZZxtC9w86iLGEQ+OOoKxsIu\n83qBr1mzO71mTx2k0zCCfhOwqG99IbB5aqeqOg04bQj7H2tJ1lfV0lHXocH4eu16fM0ebhhTN98B\nliR5WpI9gWOAtUPYjyRpAHM+oq+qe5O8DfgSsAfw0aq6Yq73I0kazDCmbqiqc4Fzh/HYHbDbTVft\n4ny9dj2+ZlOk6mHfk0qSOsRLIEhSxxn0ktRxBr0kddxQvozVg5LsD/wZ8JSqWt6u+/Piqjp9xKVp\nG5L8W2Axff+PVNUZIytIM0qygN4JRP2v2VdHV9H48MvYIUvyBeBjwH+vqoOTzAMurarnjbg0bUWS\njwPPAC4D7mvNVVX/ZXRVaVuSfBB4A/B9HvqavXp0VY0PR/TDt29VnZXkXfDAeQb3zXQnjdRS4Dnl\nKGhXchTwzKr65agLGUfO0Q/fnUn2oV3vJ8ky4PbRlqQZfA948qiL0KxcDTxy1EWMK0f0w/dOepeA\neEaSbwATwOtGW5JmsC/w/STfBh4YIToNMNbuAi5Lso6HvmZOt+Ec/U7R5uWfSe/KnldW1T0jLknb\nkOSl07VX1YU7uxYNJsnK6dqras3OrmUcGfRDkuQ129peVf+4s2qRtHtz6mZ4XrWNbQUY9GMmyder\n6teT3MFDf0Mh9I7geOKIStMMkiwB/pzez5c+erK9qp4+sqLGiCN6Sbu8JF8H3gecTG+QdTy9fHvf\nSAsbEx51M2RJ9klySpJLklyc5EPtKByNqSSrpmk7aRS1aGCPqap19ML92qr6Y+CwEdc0Ngz64TsT\n2AK8lt7RNluAT420Is3kdUneOLmS5G+B/UZYj2b2iySPAK5K8rYkv4Wv2QOcuhmyJBdX1QumtPlT\nZ2MsyWPoHRL7UWA5cEtVvWO0VWlbkrwQ2ADMB94PPBH4i6q6aKSFjQmDfsiS/CWwHjirNb0OeK5z\nh+Mnyd59q08APgt8A3gvQFXdMoq6pB1l0A9ZO4LjccD9rekRwJ1t2SM5xkiSH9E72iZ9t5PKIzjG\nV5LzgKOr6ra2vhdwZlW9fLSVjQcPrxyyqnrCqGvQYKrqaaOuQdtt38mQB6iqW5M4R98Y9DtBO3nq\n1+mNEr9WVZ8dcUnahiSPBn6PvtcM+HBV/WKkhWlb7k9yYFVdB5DkqTz0XIjdmlM3Q9aO2DgI+GRr\negPww6o6YXRVaVuSnAXcAfx9azoW2Kuqjh5dVdqWJEfQ+1HwyctU/Aawuqq+NLqqxodBP2RJrgB+\ndfKSt+0QsO9W1XNHW5m2Jsm/VNXBM7VpvCTZF1hG77uVb1bVzSMuaWx4HP3wXQkc2Le+CLh8RLVo\nMJe2y0kDkOTX6B19ozGVJMARwKFV9U/AY5O8aMRljQ1H9EOW5ELghcC3W9MLgW/Su6yql74dQ0k2\n0Lva6HWt6UB6x2jfT+/om38zqto0vSSn0nt9DquqZ7ejbr5cVS8ccWljwS9jh++9fcuh9wXfsfS+\n7NN4OmLUBWjWfq2qDk1yKTxw1M2eoy5qXBj0Q1ZVFyY5BPht4PXAj+gdweG1zcdUVV3bRoSLeOgP\nTV8yuqo0g3uS7MGDv+Q2wYPnruz2DPohSfIrwDH0Ru8/oXd9m1TVb460MM0oyfuBNwM/5MFD9Aov\nkjXOTgHOBvZL8gF6Z6C/Z7QljQ/n6Ickyf30jr9eVVUbW9vVnl05/pJcCTyvqu4edS0aXJJnAYfT\nmyJdV1UbRlzS2HBEPzyvpTeivyDJF+ldxTLbvovGxPfoXRzrplEXom1rJ7e9ld65Kt8F/q6q7h1t\nVePHEf2QJXkccBS9KZzDgDXA2VX15ZEWpq1KshQ4h17g++PgYyzJp4B76H16Xg5c45VGH86g34na\n1RGPBt5QVc73jql2ktvf0RshPvCFnl+gj58k362q57XlecC3q+rQEZc1dgx6aYokF1bVS0ddh2aW\n5JL+YJ+6rh6DXpoiyV/Rm7JZy0Onbjy8cswkuY8HL/sd4DH0Tkb0B937GPTSFEkumKa5nG7Trsqg\nl6SO8/BKaYok752uvar+dGfXIs0Fg156uDv7lh8NvJLeRc2kXZJTN9IMkjwKWOvvj2pX5fXopZk9\nFvDSFdplOXUjTZHkuzx4MbM9gAnA+Xntspy6kaZoPyw96V7gRq+fol2ZI3qpmeYCWacb8OoCR/RS\nM80Fsq6tqrePtippxxn0UuMFstRVHnUjPeieyQWnbNQljuilxgtkqasMeknqOKduJKnjDHpJ6jiD\nXpI6zqCXpI4z6CWp4/4/XJcB26O20HwAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c14d12e8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "def get_pie_question(pie_type):\n", " return \"Which type of pie is typically served at your Thanksgiving dinner? Please select all that apply. - \" + pie_type\n", "\n", "pie_types = [\"Apple\", \"Pumpkin\", \"Pecan\"]\n", "pie_keys = list(map(get_pie_question, pie_types))\n", "\n", "pie_type_counts = celebrate[pie_keys].apply(lambda column: len(get_notnull_values(column)))\n", "\n", "display_counts(pie_type_counts, \"Pie type counts\", pie_types)\n" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [], "source": [ "def get_notnull_values(series):\n", " return series[pd.notnull(series)]\n", "\n", "def get_values_except(series, except_values):\n", " result = series\n", " for item in except_values:\n", " result = result[result != item]\n", " return result\n", "\n", "def extract_column_data(data, column_name, extract_function, except_values = []):\n", " not_null_values = get_notnull_values(data[column_name])\n", " considered_values = get_values_except(not_null_values, except_values)\n", " return considered_values.apply(extract_function)\n", "\n", "def display_statistics(data, chart_title):\n", " print(data.describe())\n", " display_counts(data.value_counts().sort_index(), chart_title)\n" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "count 947.000000\n", "mean 40.089757\n", "std 15.352014\n", "min 18.000000\n", "25% 30.000000\n", "50% 45.000000\n", "75% 60.000000\n", "max 60.000000\n", "Name: Age, dtype: float64\n", "18 185\n", "30 235\n", "45 269\n", "60 258\n", "Name: Age, dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAELCAYAAADX3k30AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c16675f8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "def get_age_from(age_string):\n", " return int(age_string.split(\" \")[0].split(\"+\")[0])\n", "\n", "int_age = extract_column_data(celebrate, \"Age\", get_age_from)\n", "celebrate[\"age\"] = int_age\n", "\n", "display_statistics(int_age, \"Thanksgiving participation by age\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Age statistics flaws\n", "\n", "Statistics shown above are misleading since age categories are represented by single number that does not adequately describe each bracket." ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "count 829.000000\n", "mean 75965.018094\n", "std 59068.636748\n", "min 0.000000\n", "25% 25000.000000\n", "50% 75000.000000\n", "75% 100000.000000\n", "max 200000.000000\n", "Name: How much total combined money did all members of your HOUSEHOLD earn last year?, dtype: float64\n", "0 52\n", "10000 60\n", "25000 166\n", "50000 127\n", "75000 127\n", "100000 109\n", "125000 48\n", "150000 38\n", "175000 26\n", "200000 76\n", "Name: How much total combined money did all members of your HOUSEHOLD earn last year?, dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAEkCAYAAAAhJPoXAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c17422b0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "def get_money_from(money_string):\n", " return int(money_string.split(\" \")[0].split(\"$\")[1].replace(\",\", \"\"))\n", "\n", "int_income = extract_column_data(celebrate,\n", " \"How much total combined money did all members of your HOUSEHOLD earn last year?\",\n", " get_money_from,\n", " except_values=[\"Prefer not to answer\"])\n", "celebrate[\"income\"] = int_income\n", "\n", "display_statistics(int_income, \"Thanksgiving by households last year earnings\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Household earnings statistics flaws\n", "\n", "There are same problems as in age statistics." ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Thanksgiving is happening at my home--I won't travel at all 46\n", "Thanksgiving is local--it will take place in the town I live in 38\n", "Thanksgiving is out of town but not too far--it's a drive of a few hours or less 22\n", "Thanksgiving is out of town and far away--I have to drive several hours or fly 6\n", "Name: How far will you travel for Thanksgiving?, dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXQAAAJ/CAYAAABoTCgNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQ/AAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c17d8ac8>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Thanksgiving is happening at my home--I won't travel at all 301\n", "Thanksgiving is local--it will take place in the town I live in 199\n", "Thanksgiving is out of town but not too far--it's a drive of a few hours or less 153\n", "Thanksgiving is out of town and far away--I have to drive several hours or fly 64\n", "Name: How far will you travel for Thanksgiving?, dtype: int64\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAJ/CAYAAAB2hRi+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x220c2880710>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "travel = celebrate[\"How far will you travel for Thanksgiving?\"]\n", "\n", "display_counts(travel.loc[int_income[int_income < 15000].index].value_counts(), \"Low income travel\")\n", "display_counts(travel.loc[int_income[int_income >= 15000].index].value_counts(), \"High income travel\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Travel by income\n", "\n", "Hypothesis that people with lower income travel more, because they might be younger does not seem to be valid (assumption that younger people have lower income may be wrong, we could use values from age instead). " ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [ "def thanksgiving_and_friends(data, aggregated_column):\n", " return data.pivot_table(index=\"Have you ever tried to meet up with hometown friends on Thanksgiving night?\",\n", " columns='Have you ever attended a \"Friendsgiving?\"',\n", " values=aggregated_column)\n" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Have you ever attended a \"Friendsgiving?\" No Yes\n", "Have you ever tried to meet up with hometown fr... \n", "No 42.283702 37.010526\n", "Yes 41.475410 33.976744\n" ] } ], "source": [ "print(thanksgiving_and_friends(celebrate, \"age\"))" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Have you ever attended a \"Friendsgiving?\" No Yes\n", "Have you ever tried to meet up with hometown fr... \n", "No 78914.549654 72894.736842\n", "Yes 78750.000000 66019.736842\n" ] } ], "source": [ "print(thanksgiving_and_friends(celebrate, \"income\"))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Friendsgiving\n", "\n", "Friendsgiving appers to be more common for younger people and for people with lower income." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
MD2Korg/CerebralCortex
jupyter_demo/mprov_example.ipynb
1
6751
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Import required libs" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/html": [ " <script type=\"text/javascript\">\n", " window.PlotlyConfig = {MathJaxConfig: 'local'};\n", " if (window.MathJax) {MathJax.Hub.Config({SVG: {font: \"STIX-Web\"}});}\n", " if (typeof require !== 'undefined') {\n", " require.undef(\"plotly\");\n", " requirejs.config({\n", " paths: {\n", " 'plotly': ['https://cdn.plot.ly/plotly-latest.min']\n", " }\n", " });\n", " require(['plotly'], function(Plotly) {\n", " window._Plotly = Plotly;\n", " });\n", " }\n", " </script>\n", " " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from util.dependencies import *\n", "from cerebralcortex.algorithms.gps.clustering import cluster_gps\n", "from cerebralcortex.kernel import Kernel" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Create CC object to setup required parameters\n", "Please enable mprov param in '/cc_conf/cerebralcortex.yml'. mprov: pennprov. You would need to create a user on mprov server first and set the username and password in the '/cc_conf/cerebralcortex.yml'." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "CC = Kernel(\"/home/jovyan/cc_conf/\", study_name=\"default\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Generate synthetic GPS data" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "ds_gps = gen_location_datastream(user_id=\"bfb2ca0c-e19c-3956-9db2-5459ccadd40c\", stream_name=\"gps--org.md2k.phonesensor--phone\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Create windows into 60 seconds chunks" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/usr/local/spark/python/pyspark/sql/pandas/group_ops.py:76: UserWarning:\n", "\n", "It is preferred to use 'applyInPandas' over this API. This API will be deprecated in the future releases. See SPARK-28264 for more details.\n", "\n" ] } ], "source": [ "windowed_gps_ds=ds_gps.window(windowDuration=60)\n", "gps_clusters=cluster_gps(windowed_gps_ds)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Print Data" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "+-------------------+-------------------+--------------------+-------+------------------+------------------+--------+--------+----------+---------+------------------+------------------+-----------+-------------+\n", "| timestamp| localtime| user|version| latitude| longitude|altitude| speed| bearing| accuracy|centroid_longitude| centroid_latitude|centroid_id|centroid_area|\n", "+-------------------+-------------------+--------------------+-------+------------------+------------------+--------+--------+----------+---------+------------------+------------------+-----------+-------------+\n", "|2019-09-01 17:39:59|2019-09-01 22:39:59|bfb2ca0c-e19c-395...| 1| 35.17880397736724| -89.9239278834928| 93|1.308904|212.039114|17.039701| -89.9239278834928| 35.17880397736724| 0| 1.0|\n", "|2019-09-01 20:57:59|2019-09-02 01:57:59|bfb2ca0c-e19c-395...| 1| 35.17204493441551|-90.00173023656181| 96|1.133166|294.522699| 17.45587|-90.00173023656181| 35.17204493441551| 0| 1.0|\n", "|2019-09-01 15:00:59|2019-09-01 20:00:59|bfb2ca0c-e19c-395...| 1| 35.10912700630915|-89.98705170752672| 86| 0.67676| 87.039424| 25.62566|-89.98705170752672| 35.10912700630915| 0| 1.0|\n", "|2019-09-01 17:52:59|2019-09-01 22:52:59|bfb2ca0c-e19c-395...| 1|35.178057462830715|-89.92382883096404| 99|2.990689| 97.90993| 15.89255|-89.92382883096404|35.178057462830715| 0| 1.0|\n", "|2019-09-01 17:15:59|2019-09-01 22:15:59|bfb2ca0c-e19c-395...| 1| 35.17964075576645|-89.92496179465104| 83|3.108943|209.238459|27.130021|-89.92496179465104| 35.17964075576645| 0| 1.0|\n", "|2019-09-01 20:14:59|2019-09-02 01:14:59|bfb2ca0c-e19c-395...| 1| 35.17071636846765|-90.00111652797828| 93|3.344521|217.900787|15.230302|-90.00111652797828| 35.17071636846765| 0| 1.0|\n", "|2019-09-01 20:54:59|2019-09-02 01:54:59|bfb2ca0c-e19c-395...| 1|35.169054812308396|-90.00040356544751| 85|1.639692|165.038862|17.697247|-90.00040356544751|35.169054812308396| 0| 1.0|\n", "|2019-09-01 14:16:59|2019-09-01 19:16:59|bfb2ca0c-e19c-395...| 1| 35.10990278065657|-89.98727392894871| 89|4.271102|300.201797|11.610717|-89.98727392894871| 35.10990278065657| 0| 1.0|\n", "|2019-09-01 20:44:59|2019-09-02 01:44:59|bfb2ca0c-e19c-395...| 1| 35.17150907228398|-90.00166311527241| 96|0.669673|174.596452|28.920691|-90.00166311527241| 35.17150907228398| 0| 1.0|\n", "|2019-09-01 21:06:59|2019-09-02 02:06:59|bfb2ca0c-e19c-395...| 1| 35.17204465057103|-89.99894329402368| 83|2.380601|110.388913|20.093388|-89.99894329402368| 35.17204465057103| 0| 1.0|\n", "+-------------------+-------------------+--------------------+-------+------------------+------------------+--------+--------+----------+---------+------------------+------------------+-----------+-------------+\n", "only showing top 10 rows\n", "\n" ] } ], "source": [ "gps_clusters.show(10)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.6" } }, "nbformat": 4, "nbformat_minor": 4 }
bsd-2-clause
niazangels/CADL
session-3/session-3.ipynb
1
9093578
null
apache-2.0
JackDi/phys202-2015-work
assignments/assignment11/OptimizationEx01.ipynb
1
35276
{ "cells": [ { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "# Optimization Exercise 1" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "## Imports" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "nbgrader": {} }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import scipy.optimize as opt" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "## Hat potential" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "The following potential is often used in Physics and other fields to describe symmetry breaking and is often known as the \"hat potential\":\n", "\n", "$$ V(x) = -a x^2 + b x^4 $$\n", "\n", "Write a function `hat(x,a,b)` that returns the value of this function:" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [], "source": [ "# YOUR CODE HERE\n", "def hat(x,a,b):\n", " v=-1*a*x**2+b*x**4\n", " return v" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "7204bd97cd003430289f171b6ba70d63", "grade": true, "grade_id": "optimizationex01a", "points": 2 } }, "outputs": [], "source": [ "assert hat(0.0, 1.0, 1.0)==0.0\n", "assert hat(0.0, 1.0, 1.0)==0.0\n", "assert hat(1.0, 10.0, 1.0)==-9.0" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Plot this function over the range $x\\in\\left[-3,3\\right]$ with $b=1.0$ and $a=5.0$:" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false, "nbgrader": {} }, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x7f225076f048>]" ] }, "execution_count": 48, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXYAAAEACAYAAACnJV25AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f225084fd68>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "x=np.linspace(-3,3)\n", "b=1.0\n", "a=5.0\n", "plt.plot(x,hat(x,a,b))\n" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "data": { "text/plain": [ "array([-1.58113889])" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# YOUR CODE HERE\n", "x0=-2\n", "a = 5.0\n", "b = 1.0\n", "y=opt.minimize(hat,x0,(a,b))\n", "y.x" ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "bd49ce2f030e3366ee640213f26fdaa6", "grade": true, "grade_id": "optimizationex01b", "points": 2 } }, "outputs": [], "source": [ "assert True # leave this to grade the plot" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Write code that finds the two local minima of this function for $b=1.0$ and $a=5.0$.\n", "\n", "* Use `scipy.optimize.minimize` to find the minima. You will have to think carefully about how to get this function to find both minima.\n", "* Print the x values of the minima.\n", "* Plot the function as a blue line.\n", "* On the same axes, show the minima as red circles.\n", "* Customize your visualization to make it beatiful and effective." ] }, { "cell_type": "code", "execution_count": 133, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x7f2250382c88>" ] }, "execution_count": 133, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXYAAAEKCAYAAAAGvn7fAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n1L/6O4cAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f22502f0dd8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# YOUR CODE HERE\n", "x0=-2\n", "a = 5.0\n", "b = 1.0\n", "i=0\n", "y.x\n", "mini=[]\n", "\n", "x=np.linspace(-3,3)\n", "for i in x:\n", " y=opt.minimize(hat,i,(a,b))\n", " z=int(y.x *100000)\n", " if np.any(mini[:] == z):\n", " i=i+1\n", " else: \n", " mini=np.append(mini,z)\n", "\n", "mini=mini/100000\n", "mini\n", "\n", "\n", "plt.plot(x,hat(x,a,b),label=\"Hat Function\")\n", "plt.plot(mini[0],hat(mini[0],a,b),'ro',label=\"Minima\")\n", "plt.plot(mini[1],hat(mini[1],a,b),'ro')\n", "plt.xlabel=(\"X-Axis\")\n", "plt.ylabel=(\"Y-Axis\")\n", "plt.title(\"Graph of Function and its Local Minima\")\n", "plt.legend()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "235361d4c954cf9fd6a8ecef309b3a44", "grade": true, "grade_id": "optimizationex01c", "points": 4 } }, "outputs": [], "source": [ "assert True # leave this for grading the plot" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "To check your numerical results, find the locations of the minima analytically. Show and describe the steps in your derivation using LaTeX equations. Evaluate the location of the minima using the above parameters." ] }, { "cell_type": "markdown", "metadata": { "deletable": false, "nbgrader": { "checksum": "d7d37614ffa0d469a42ff3fd121335f2", "grade": true, "grade_id": "optimizationex01d", "points": 2, "solution": true } }, "source": [ "\n", "\\begin{equation*}\n", "V(x) = -a x^2 + b x^4 \\\\\n", "\\frac{dV}{dt}= -2ax + 4bx^3 \\\\\n", "a=5.0 \\ b=1.0 \\\\\n", "V(x) = -5.0 x^2 + 1.0 x^4 \\\\\n", "\\frac{dV}{dt}= -10x + 4x^3 \\\\\n", "\\frac{dV}{dt}= -10x + 4x^3 =0 \\ when \\ x= +- \\sqrt{\\frac{5}{2}}\\\\\n", "(According\\ to \\ Wolfram \\ Alpha)\\\\\n", "\\sqrt{\\frac{5}{2}}=1.58\\\\\n", "\\end{equation*}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
mne-tools/mne-tools.github.io
0.18/_downloads/583215f6772d7b8b68be563fd3c069e8/plot_decimate_head_surface.ipynb
1
1926
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n# Decimating scalp surface\n\n\nThis can be useful to reduce computation time when\nusing a cloud of digitization points for coordinate alignment\ninstead of e.g. EEG-cap positions.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Authors: Denis Engemann <[email protected]>\n# Alexandre Gramfort <[email protected]>\n#\n# License: BSD (3-clause)\n\nimport mne\nfrom mne.surface import decimate_surface # noqa\n\nprint(__doc__)\n\npath = mne.datasets.sample.data_path()\nsurf = mne.read_bem_surfaces(path + '/subjects/sample/bem/sample-head.fif')[0]\n\npoints, triangles = surf['rr'], surf['tris']\n\n# reduce to 30000 triangles:\npoints_dec, triangles_dec = decimate_surface(points, triangles,\n n_triangles=30000)\n\nfrom mayavi import mlab # noqa\n\nhead_col = (0.95, 0.83, 0.83) # light pink\n\np, t = points_dec, triangles_dec\nmlab.triangular_mesh(p[:, 0], p[:, 1], p[:, 2], t, color=head_col)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
danxhuber/isoclassify
examples/grid.ipynb
1
848581
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "# NB: the recommended way to run isoclassify is through the command-line interface (CLI)\n", "# using examples such as given in examples/examples.csv (see README)\n", "# below is an example to run isoclassify from ipython, which requires some hacking by \n", "# pre-defining functions but allows direct interaction with posteriors. " ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "# required packages\n", "%matplotlib inline\n", "%reload_ext autoreload\n", "%autoreload 2\n", "import os \n", "import copy\n", "import glob\n", "import h5py,pdb\n", "\n", "import numpy as np\n", "from matplotlib import pylab as plt\n", "import pandas as pd\n", "import astropy.units as units\n", "from astropy.coordinates import SkyCoord\n", "#from dustmaps.bayestar import BayestarWebQuery\n", "import mwdust\n", "\n", "from isoclassify.direct import classify as classify_direct\n", "from isoclassify.grid import classify as classify_grid\n", "from isoclassify import DATADIR" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "# load models\n", "fn = os.path.join(DATADIR,'mesa.h5')\n", "modfile = h5py.File(fn,'r', driver='core', backing_store=False)\n", "model = {'age':np.array(modfile['age']),\\\n", "'mass':np.array(modfile['mass']),\\\n", "'feh_init':np.array(modfile['feh']),\\\n", "'feh':np.array(modfile['feh_act']),\\\n", "'teff':np.array(modfile['teff']),\\\n", "'logg':np.array(modfile['logg']),\\\n", "'rad':np.array(modfile['rad']),\\\n", "'lum':np.array(modfile['rad']),\\\n", "'rho':np.array(modfile['rho']),\\\n", "'dage':np.array(modfile['dage']),\\\n", "'dmass':np.array(modfile['dmass']),\\\n", "'dfeh':np.array(modfile['dfeh']),\\\n", "'eep':np.array(modfile['eep']),\\\n", "'bmag':np.array(modfile['bmag']),\\\n", "'vmag':np.array(modfile['vmag']),\\\n", "'btmag':np.array(modfile['btmag']),\\\n", "'vtmag':np.array(modfile['vtmag']),\\\n", "'gmag':np.array(modfile['gmag']),\\\n", "'rmag':np.array(modfile['rmag']),\\\n", "'imag':np.array(modfile['imag']),\\\n", "'zmag':np.array(modfile['zmag']),\\\n", "'jmag':np.array(modfile['jmag']),\\\n", "'hmag':np.array(modfile['hmag']),\\\n", "'kmag':np.array(modfile['kmag']),\\\n", "'bpmag':np.array(modfile['bpmag']),\\\n", "'gamag':np.array(modfile['gamag']),\\\n", "'rpmag':np.array(modfile['rpmag']),\\\n", "'fdnu':np.array(modfile['fdnu']),\\\n", "'avs':np.zeros(len(np.array(modfile['gamag']))),\\\n", "'dis':np.zeros(len(np.array(modfile['gamag'])))}\n", "\n", "#ebf.read(os.path.join(DATADIR,'mesa.ebf'))\n", "# prelims to manipulate some model variables (to be automated soon ...)\n", "#pdb.set_trace()\n", "model['rho'] = np.log10(model['rho'])\n", "model['lum'] = model['rad']**2*(model['teff']/5777.)**4\n", "# next line turns off Dnu scaling relation corrections\n", "model['fdnu'][:]=1.\n", "model['avs']=np.zeros(len(model['teff']))\n", "model['dis']=np.zeros(len(model['teff']))" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "# define class that contains observables\n", "x = classify_grid.obsdata()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "# add [Teff, logg, FeH] and [sigma_Teff, sigma_logg, sigma_FeH] \n", "x.addspec([5777.,4.44,0.0],[60.,0.07,0.04])" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "teff 257001\n", "feh 14977\n", "number of models used within non-phot obsconstraints: 14977\n", "number of models after phot constraints: 14977\n", "----\n", "teff 5768.624354788214 58.10091430997545 60.15133990556478\n", "logg 4.43697021849073 0.05299628935991674 0.06306437288384004\n", "feh -0.009671365982173219 0.037906422093616984 0.040483903258584086\n", "rad 0.9820724068131902 0.07312319134061085 0.05717691947618131\n", "mass 0.9845562689011795 0.025677085855159598 0.025857090742937072\n", "rho 1.002592028231527 0.20084883201961667 0.19387247944371855\n", "lum 0.9682258048311181 0.15924542041454393 0.12472827025199218\n", "age 3.9413932408048744 2.4405568042075956 2.285348147636607\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 576x864 with 16 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x864 with 8 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# perform classification based on those inputs\n", "paras = classify_grid.classify(input=x, model=model, dustmodel=0,plot=1,band='kmag')" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(0.9820724068131902, 0.07312319134061085, 0.05717691947618131)" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# print results for radius\n", "paras.rad,paras.radep,paras.radem" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[<matplotlib.lines.Line2D at 0x7fb63b6247c0>]" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# plot the radius posterior\n", "plt.plot(paras.radpx,paras.radpy)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "# now let's add some more observables, e.g. a parallax \n", "x.addplx(0.07,0.007)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [], "source": [ "# and some JHK photometry\n", "x.addjhk([4.38,4.04,4.00],[0.03,0.03,0.03])" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [], "source": [ "# using photometry requires some treatment of reddening and extinction. the following functions\n", "# required for this\n", "\n", "def query_dustmodel_coords(ra,dec,dust):\n", " if dust == 'allsky':\n", " reddenMap = mwdust.Combined19()\n", " ext = extinction('green19')\n", " if dust == 'green19':\n", " reddenMap = mwdust.Green19()\n", " ext = extinction('green19')\n", " if dust == 'zero':\n", " reddenMap = mwdust.Zero()\n", " ext = extinction('cardelli')\n", " if dust == 'none':\n", " reddenMap = 0\n", " ext = extinction('cardelli')\n", " print('Fitting for reddening.')\n", " return reddenMap,ext\n", "\n", " sightLines = SkyCoord(ra*units.deg,dec*units.deg,frame='icrs')\n", " sightLines = sightLines.transform_to('galactic')\n", "\n", " PACKAGEDIR='../isoclassify/'\n", "\n", " distanceSamples = np.loadtxt(f\"{PACKAGEDIR}/data/distance-samples-green19.txt\",delimiter=',')*1000.\n", "\n", " reddenContainer = reddenMap(sightLines.l.value,sightLines.b.value,distanceSamples/1000.)\n", "\n", " del reddenMap # To clear reddenMap from memory\n", "\n", " dustModelDF = pd.DataFrame({'ra': [ra], 'dec': [dec]})\n", "\n", " for index in range(len(reddenContainer)):\n", " dustModelDF['av_'+str(round(distanceSamples[index],6))] = reddenContainer[index]\n", "\n", " return dustModelDF,ext\n", "\n", "def extinction(law):\n", "\n", " PACKAGEDIR='../isoclassify/'\n", " if (law == 'cardelli'):\n", " out = {}\n", "\n", " with open(f\"{PACKAGEDIR}/data/extinction-vector-cardelli-iso.txt\") as f:\n", "\n", " for line in f:\n", " (key,val) = line.split(',')\n", " out[key] = float(val)\n", "\n", " if (law == 'schlafly11'):\n", " out = {}\n", "\n", " with open(f\"{PACKAGEDIR}/data/extinction-vector-schlafly11-iso.txt\") as f:\n", "\n", " for line in f:\n", " (key,val) = line.split(',')\n", " out[key] = float(val)\n", "\n", " if (law == 'schlafly16'):\n", " # see http://argonaut.skymaps.info/usage under \"Gray Component\". this is a lower limit.\n", " grayoffset=0.063\n", " out = {}\n", "\n", " with open(f\"{PACKAGEDIR}/data/extinction-vector-schlafly16-iso.txt\") as f:\n", "\n", " for line in f:\n", " (key,val) = line.split(',')\n", " out[key] = float(val)+grayoffset\n", "\n", " if (law == 'green19'):\n", " out = {}\n", "\n", " with open(f\"{PACKAGEDIR}/data/extinction-vector-green19-iso.txt\") as f:\n", "\n", " for line in f:\n", " (key,val) = line.split(',')\n", " out[key] = float(val)\n", " return out\n" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "# if we don't want to use a reddening map, isoclassify fits for Av. However, we need to \n", "# define an extinction law\n", "ext = extinction('cardelli')" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "teff 257001\n", "feh 14977\n", "number of models used within non-phot obsconstraints: 14977\n", "0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "16\n", "17\n", "18\n", "19\n", "20\n", "21\n", "22\n", "23\n", "24\n", "25\n", "26\n", "27\n", "28\n", "29\n", "30\n", "31\n", "32\n", "33\n", "34\n", "35\n", "36\n", "37\n", "38\n", "39\n", "40\n", "41\n", "42\n", "43\n", "44\n", "45\n", "46\n", "47\n", "48\n", "49\n", "50\n", "51\n", "52\n", "53\n", "54\n", "55\n", "56\n", "57\n", "58\n", "59\n", "60\n", "61\n", "62\n", "63\n", "64\n", "65\n", "66\n", "67\n", "68\n", "69\n", "70\n", "71\n", "72\n", "73\n", "74\n", "75\n", "76\n", "77\n", "78\n", "79\n", "80\n", "81\n", "82\n", "83\n", "84\n", "85\n", "86\n", "87\n", "88\n", "89\n", "90\n", "91\n", "92\n", "93\n", "94\n", "95\n", "96\n", "97\n", "98\n", "99\n", "100\n", "101\n", "102\n", "103\n", "104\n", "105\n", "106\n", "107\n", "108\n", "109\n", "110\n", "111\n", "112\n", "113\n", "114\n", "115\n", "116\n", "117\n", "118\n", "119\n", "120\n", "121\n", "122\n", "123\n", "124\n", "125\n", "126\n", "127\n", "128\n", "129\n", "number of models incl reddening: 1947010\n", "number of models after phot constraints: 1946903\n", "----\n", "teff 5773.058926042997 58.24354415388734 58.05324867343006\n", "logg 4.422174612776466 0.05084105989811327 0.05360106550532606\n", "feh -0.006734747409025113 0.036545952557266365 0.04169167136180368\n", "rad 1.000246766778209 0.06254909647888751 0.055182064316577684\n", "mass 0.9856915426007612 0.02636117118808523 0.025945726567004224\n", "rho 0.9528780397266335 0.17974941968900027 0.15916538937262614\n", "lum 1.0039831371047487 0.14186466777045803 0.11584162182741187\n", "age 4.455855268260199 2.13888655658017 2.1950054675845347\n", "avs 0.06599965261008116 0.21078660598306131 0.1969606996362096\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 576x864 with 18 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x864 with 8 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# perform classification\n", "paras = classify_grid.classify(input=x, model=model, dustmodel=0,plot=1,ext=ext,band='kmag')" ] }, { "cell_type": "code", "execution_count": 42, "metadata": {}, "outputs": [], "source": [ "# if we want to use a reddening map, we'll need to add coordinates\n", "x.addcoords(243.9052932171665,-08.3694394)" ] }, { "cell_type": "code", "execution_count": 44, "metadata": {}, "outputs": [], "source": [ "# and define a dustmodel. this is the \"allsky\" model by Bovy\n", "dustmodel = query_dustmodel_coords(x.ra,x.dec,'allsky')" ] }, { "cell_type": "code", "execution_count": 45, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "teff 257001\n", "feh 14977\n", "number of models used within non-phot obsconstraints: 14977\n", "0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "16\n", "17\n", "18\n", "19\n", "20\n", "21\n", "22\n", "23\n", "24\n", "25\n", "26\n", "27\n", "28\n", "29\n", "30\n", "31\n", "32\n", "33\n", "34\n", "35\n", "36\n", "37\n", "38\n", "39\n", "40\n", "41\n", "42\n", "43\n", "44\n", "45\n", "46\n", "47\n", "48\n", "49\n", "50\n", "51\n", "52\n", "53\n", "54\n", "55\n", "56\n", "57\n", "58\n", "59\n", "60\n", "61\n", "62\n", "63\n", "64\n", "65\n", "66\n", "67\n", "68\n", "69\n", "70\n", "71\n", "72\n", "73\n", "74\n", "75\n", "76\n", "77\n", "78\n", "79\n", "80\n", "81\n", "82\n", "83\n", "84\n", "85\n", "86\n", "87\n", "88\n", "89\n", "90\n", "91\n", "92\n", "93\n", "94\n", "95\n", "96\n", "97\n", "98\n", "99\n", "100\n", "101\n", "102\n", "103\n", "104\n", "105\n", "106\n", "107\n", "108\n", "109\n", "110\n", "111\n", "112\n", "113\n", "114\n", "115\n", "116\n", "117\n", "118\n", "119\n", "120\n", "121\n", "122\n", "123\n", "124\n", "125\n", "126\n", "127\n", "128\n", "129\n", "number of models incl reddening: 1947010\n", "number of models after phot constraints: 1946903\n", "----\n", "teff 5773.058926042997 58.24354415388734 58.05324867343006\n", "logg 4.422174612776466 0.05084105989811327 0.05360106550532606\n", "feh -0.006734747409025113 0.036545952557266365 0.04169167136180368\n", "rad 1.000246766778209 0.06254909647888751 0.055182064316577684\n", "mass 0.9856915426007612 0.02636117118808523 0.025945726567004224\n", "rho 0.9528780397266335 0.17974941968900027 0.15916538937262614\n", "lum 1.0039831371047487 0.14186466777045803 0.11584162182741187\n", "age 4.455855268260199 2.13888655658017 2.1950054675845347\n", "avs 0.06599965261008116 0.21078660598306131 0.1969606996362096\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 576x864 with 18 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x864 with 8 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# redo fit, this time using the dustmodel\n", "paras = classify_grid.classify(input=x, model=model, dustmodel=dustmodel,plot=1,ext=ext,band='kmag')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.3" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
GoogleCloudPlatform/asl-ml-immersion
notebooks/recommendation_systems/solutions/3_als_bqml_hybrid.ipynb
1
17664
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Hybrid Recommendations with the Movie Lens Dataset" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "__Note:__ It is recommended that you complete the companion __als_bqml.ipynb__ notebook before continuing with this __als_bqml_hybrid.ipynb__ notebook. This is, however, not a requirement for this lab as you have the option to bring over the dataset + trained model. If you already have the movielens dataset and trained model you can skip the \"Import the dataset and trained model\" section.\n", "\n", "## Learning Objectives\n", "1. Know extract user and product factors from a BigQuery Matrix Factorizarion Model\n", "2. Know how to format inputs for a BigQuery Hybrid Recommendation Model\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "PROJECT = !(gcloud config get-value core/project)\n", "PROJECT = PROJECT[0]\n", "\n", "%env PROJECT=$PROJECT" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Import the dataset and trained model\n", "In the previous notebook, you imported 20 million movie recommendations and trained an ALS model with BigQuery ML.\n", "\n", "We are going to use the same tables, but if this is a new environment, please run the below commands to copy over the clean data." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First create the BigQuery dataset and copy over the data" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!bq mk movielens" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bash\n", "rm -r bqml_data\n", "mkdir bqml_data\n", "cd bqml_data\n", "curl -O 'http://files.grouplens.org/datasets/movielens/ml-20m.zip'\n", "unzip ml-20m.zip\n", "yes | bq rm -r $PROJECT:movielens\n", "bq --location=US mk --dataset \\\n", " --description 'Movie Recommendations' \\\n", " $PROJECT:movielens\n", "bq --location=US load --source_format=CSV \\\n", " --autodetect movielens.ratings ml-20m/ratings.csv\n", "bq --location=US load --source_format=CSV \\\n", " --autodetect movielens.movies_raw ml-20m/movies.csv" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And create a cleaned `movielens.movies` table." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "CREATE OR REPLACE TABLE movielens.movies AS\n", " SELECT * REPLACE(SPLIT(genres, \"|\") AS genres)\n", " FROM movielens.movies_raw" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next, copy over the trained recommendation model. Note that if you're project is in the EU you will need to change the location from US to EU below. Note that as of the time of writing you cannot copy models across regions with `bq cp`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bash\n", "bq --location=US cp \\\n", "cloud-training-demos:movielens.recommender \\\n", "movielens.recommender" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next, ensure the model still works by invoking predictions for movie recommendations:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "SELECT * FROM\n", "ML.PREDICT(MODEL `movielens.recommender`, (\n", " SELECT \n", " movieId, title, 903 AS userId\n", " FROM movielens.movies, UNNEST(genres) g\n", " WHERE g = 'Comedy'\n", "))\n", "ORDER BY predicted_rating DESC\n", "LIMIT 5" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Incorporating user and movie information \n", "The matrix factorization approach does not use any information about users or movies beyond what is available from the ratings matrix. However, we will often have user information (such as the city they live, their annual income, their annual expenditure, etc.) and we will almost always have more information about the products in our catalog. How do we incorporate this information in our recommendation model?\n", "\n", "The answer lies in recognizing that the user factors and product factors that result from the matrix factorization approach end up being a concise representation of the information about users and products available from the ratings matrix. We can concatenate this information with other information we have available and train a regression model to predict the rating.\n", "### Obtaining user and product factors\n", "We can get the user factors or product factors from ML.WEIGHTS. For example to get the product factors for movieId=96481 and user factors for userId=54192, we would do:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "SELECT \n", " processed_input,\n", " feature,\n", " TO_JSON_STRING(factor_weights) AS factor_weights,\n", " intercept\n", "FROM ML.WEIGHTS(MODEL `movielens.recommender`)\n", "WHERE\n", " (processed_input = 'movieId' AND feature = '96481')\n", " OR (processed_input = 'userId' AND feature = '54192')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Multiplying these weights and adding the intercept is how we get the predicted rating for this combination of movieId and userId in the matrix factorization approach.\n", "\n", "These weights also serve as a low-dimensional representation of the movie and user behavior. We can create a regression model to predict the rating given the user factors, product factors, and any other information we know about our users and products.\n", "### Creating input features\n", "The MovieLens dataset does not have any user information, and has very little information about the movies themselves. To illustrate the concept, therefore, let’s create some synthetic information about users:\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "CREATE OR REPLACE TABLE movielens.users AS\n", "SELECT\n", " userId,\n", " RAND() * COUNT(rating) AS loyalty,\n", " CONCAT(SUBSTR(CAST(userId AS STRING), 0, 2)) AS postcode\n", "FROM\n", " movielens.ratings\n", "GROUP BY userId" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Input features about users can be obtained by joining the user table with the ML weights and selecting all the user information and the user factors from the weights array.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "WITH userFeatures AS (\n", " SELECT \n", " u.*,\n", " (SELECT ARRAY_AGG(weight) FROM UNNEST(factor_weights)) AS user_factors\n", " FROM movielens.users u\n", " JOIN ML.WEIGHTS(MODEL movielens.recommender) w\n", " ON processed_input = 'userId' AND feature = CAST(u.userId AS STRING)\n", ")\n", "\n", "SELECT * FROM userFeatures\n", "LIMIT 5" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Similarly, we can get product features for the movies data, except that we have to decide how to handle the genre since a movie could have more than one genre. If we decide to create a separate training row for each genre, then we can construct the product features using." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "WITH productFeatures AS (\n", " SELECT \n", " p.* EXCEPT(genres),\n", " g, (SELECT ARRAY_AGG(weight) FROM UNNEST(factor_weights))\n", " AS product_factors\n", " FROM movielens.movies p, UNNEST(genres) g\n", " JOIN ML.WEIGHTS(MODEL movielens.recommender) w\n", " ON processed_input = 'movieId' AND feature = CAST(p.movieId AS STRING)\n", ")\n", "\n", "SELECT * FROM productFeatures\n", "LIMIT 5" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Combining these two WITH clauses and pulling in the rating corresponding the movieId-userId combination (if it exists in the ratings table), we can create the training dataset.\n", "\n", "**TODO 1**: Combine the above two queries to get the user factors and product factor for each rating." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "CREATE OR REPLACE TABLE movielens.hybrid_dataset AS\n", "\n", " WITH userFeatures AS (\n", " SELECT \n", " u.*,\n", " (SELECT ARRAY_AGG(weight) FROM UNNEST(factor_weights))\n", " AS user_factors\n", " FROM movielens.users u\n", " JOIN ML.WEIGHTS(MODEL movielens.recommender) w\n", " ON processed_input = 'userId' AND feature = CAST(u.userId AS STRING)\n", " ),\n", "\n", " productFeatures AS (\n", " SELECT \n", " p.* EXCEPT(genres),\n", " g, (SELECT ARRAY_AGG(weight) FROM UNNEST(factor_weights))\n", " AS product_factors\n", " FROM movielens.movies p, UNNEST(genres) g\n", " JOIN ML.WEIGHTS(MODEL movielens.recommender) w\n", " ON processed_input = 'movieId' AND feature = CAST(p.movieId AS STRING)\n", " )\n", "\n", " SELECT\n", " p.* EXCEPT(movieId),\n", " u.* EXCEPT(userId),\n", " rating \n", " FROM productFeatures p, userFeatures u\n", " JOIN movielens.ratings r\n", " ON r.movieId = p.movieId AND r.userId = u.userId" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "One of the rows of this table looks like this:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "SELECT *\n", "FROM movielens.hybrid_dataset\n", "LIMIT 1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Essentially, we have a couple of attributes about the movie, the product factors array corresponding to the movie, a couple of attributes about the user, and the user factors array corresponding to the user. These form the inputs to our “hybrid” recommendations model that builds off the matrix factorization model and adds in metadata about users and movies.\n", "### Training hybrid recommendation model\n", "At the time of writing, BigQuery ML can not handle arrays as inputs to a regression model. Let’s, therefore, define a function to convert arrays to a struct where the array elements are its fields:\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "CREATE OR REPLACE FUNCTION movielens.arr_to_input_16_users(u ARRAY<FLOAT64>)\n", "RETURNS \n", " STRUCT<\n", " u1 FLOAT64,\n", " u2 FLOAT64,\n", " u3 FLOAT64,\n", " u4 FLOAT64,\n", " u5 FLOAT64,\n", " u6 FLOAT64,\n", " u7 FLOAT64,\n", " u8 FLOAT64,\n", " u9 FLOAT64,\n", " u10 FLOAT64,\n", " u11 FLOAT64,\n", " u12 FLOAT64,\n", " u13 FLOAT64,\n", " u14 FLOAT64,\n", " u15 FLOAT64,\n", " u16 FLOAT64\n", " > AS (STRUCT(\n", " u[OFFSET(0)],\n", " u[OFFSET(1)],\n", " u[OFFSET(2)],\n", " u[OFFSET(3)],\n", " u[OFFSET(4)],\n", " u[OFFSET(5)],\n", " u[OFFSET(6)],\n", " u[OFFSET(7)],\n", " u[OFFSET(8)],\n", " u[OFFSET(9)],\n", " u[OFFSET(10)],\n", " u[OFFSET(11)],\n", " u[OFFSET(12)],\n", " u[OFFSET(13)],\n", " u[OFFSET(14)],\n", " u[OFFSET(15)]\n", "));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "which gives:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "SELECT movielens.arr_to_input_16_users(u).*\n", "FROM (SELECT\n", " [0., 1., 2., 3., 4., 5., 6., 7., 8., 9., 10., 11., 12., 13., 14., 15.] AS u)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can create a similar function named movielens.arr_to_input_16_products to convert the product factor array into named columns.\n", "\n", "**TODO 2**: Create a function that returns named columns from a size 16 product factor array." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "CREATE OR REPLACE FUNCTION movielens.arr_to_input_16_products(p ARRAY<FLOAT64>)\n", "RETURNS \n", " STRUCT<\n", " p1 FLOAT64,\n", " p2 FLOAT64,\n", " p3 FLOAT64,\n", " p4 FLOAT64,\n", " p5 FLOAT64,\n", " p6 FLOAT64,\n", " p7 FLOAT64,\n", " p8 FLOAT64,\n", " p9 FLOAT64,\n", " p10 FLOAT64,\n", " p11 FLOAT64,\n", " p12 FLOAT64,\n", " p13 FLOAT64,\n", " p14 FLOAT64,\n", " p15 FLOAT64,\n", " p16 FLOAT64\n", " > AS (STRUCT(\n", " p[OFFSET(0)],\n", " p[OFFSET(1)],\n", " p[OFFSET(2)],\n", " p[OFFSET(3)],\n", " p[OFFSET(4)],\n", " p[OFFSET(5)],\n", " p[OFFSET(6)],\n", " p[OFFSET(7)],\n", " p[OFFSET(8)],\n", " p[OFFSET(9)],\n", " p[OFFSET(10)],\n", " p[OFFSET(11)],\n", " p[OFFSET(12)],\n", " p[OFFSET(13)],\n", " p[OFFSET(14)],\n", " p[OFFSET(15)]\n", "));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Then, we can tie together metadata about users and products with the user factors and product factors obtained from the matrix factorization approach to create a regression model to predict the rating:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%bigquery --project $PROJECT\n", "CREATE OR REPLACE MODEL movielens.recommender_hybrid \n", "OPTIONS(model_type='linear_reg', input_label_cols=['rating'])\n", "AS\n", "\n", "SELECT\n", " * EXCEPT(user_factors, product_factors),\n", " movielens.arr_to_input_16_users(user_factors).*,\n", " movielens.arr_to_input_16_products(product_factors).*\n", "FROM\n", " movielens.hybrid_dataset" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "There is no point looking at the evaluation metrics of this model because the user information we used to create the training dataset was fake (not the RAND() in the creation of the loyalty column) -- we did this exercise in order to demonstrate how it could be done. And of course, we could train a dnn_regressor model and optimize the hyperparameters if we want a more sophisticated model. But if we are going to go that far, it might be better to consider using [Auto ML tables](https://cloud.google.com/automl-tables).\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Copyright 2022 Google Inc. Licensed under the Apache License, Version 2.0 (the \"License\"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "environment": { "kernel": "python3", "name": "tf2-gpu.2-8.m92", "type": "gcloud", "uri": "gcr.io/deeplearning-platform-release/tf2-gpu.2-8:m92" }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.12" } }, "nbformat": 4, "nbformat_minor": 4 }
apache-2.0