content
stringlengths
1
1.04M
--******************************************************************************-- -- Author: Weihao Ming -- -- Date: 2014-01-13 -- -- Module: EE3A1 RISC Microprocessor -- -- Description: Re-order buffer. Placing before instruction decoder -- -- and automatic re-order instruction for -- -- microprocessor. -- --******************************************************************************-- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; ENTITY reorderBuffer IS PORT( in1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); out1: OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clk: IN STD_LOGIC); END ENTITY reorderBuffer; ARCHITECTURE rtl OF reorderBuffer IS TYPE buffer_array IS ARRAY( 0 TO 5) OF STD_LOGIC_VECTOR ( 31 DOWNTO 0); SIGNAL buffer_data: buffer_array:= (X"00000000", X"00000000", X"00000000", -- 6 addresses buffer. X"00000000", X"00000000", X"00000000"); BEGIN PROCESS(clk) variable i: integer := 2; -- i is for pre-running instruction. variable j: integer := 0; -- j is for post-running instruction. variable c: integer := 0; -- 0 for incomplete output. 1 for complete. variable output1 : STD_LOGIC_VECTOR(31 DOWNTO 0) := X"00000000"; -- Temperaryly store output. variable buffer_temp : buffer_array := buffer_data; -- Copy all the data in buffer to BEGIN -- re-order it. and pushing everything IF(rising_edge(clk)) THEN -- forward to fullfill empty address. i := 2; j := 0; c := 0; WHILE ( i < 6 ) LOOP -- Storing incoming instruction in an empty address. IF( buffer_data(i) /= X"00000000")THEN i := i + 1; ELSE buffer_data(i) <= in1; buffer_temp(i) := in1; i := 0; EXIT; END IF; END LOOP; i := 2; j := 0; c := 0; WHILE ( i < 6 ) LOOP IF( buffer_data(0) = X"00000000") -- If previous two instructions are both no-op, AND (buffer_data(1) = X"00000000") THEN -- then output first no no-op instruction. IF(buffer_data(i) /= X"00000000") THEN out1 <= buffer_data(i); buffer_data(i) <= X"00000000"; buffer_temp(i) := X"00000000"; output1 := buffer_data(i); i := 2; c := 1; EXIT; ELSE i := i+1; END IF; ELSIF (buffer_data(1)(15 DOWNTO 11) = buffer_data(2)(25 DOWNTO 21)) THEN -- If current reading is previous written, out1 <= buffer_data(2); -- then output to meet multiplexer funtion. output1 := buffer_data(2); buffer_data(2) <= X"00000000"; buffer_temp(2) := X"00000000"; i :=2; c :=1; EXIT; ELSE j := 0; -- Check if there is data hazard. if so, then reorder. WHILE ( j < 3 ) LOOP IF(buffer_data(j) /= X"00000000") THEN IF( buffer_data(i)(25 DOWNTO 21) /= buffer_data(j)(15 DOWNTO 11)) THEN IF(buffer_data(i)(20 DOWNTO 16) /= buffer_data(j)(15 DOWNTO 11)) THEN IF(buffer_data(i) /= X"00000000") THEN out1 <= buffer_data(i); output1 := buffer_data(i); buffer_data(i) <= X"00000000"; buffer_temp(i) := X"00000000"; i := 2; j := 0; c := 1; EXIT; ELSE j := j+1; END IF; ELSE j := j+1; END IF; ELSE j := j+1; END IF; ELSE j := j + 1; END IF; END LOOP; END IF; IF ( c = 0) THEN i := i + 1; ELSE i := 2; j := 0; EXIT; END IF; END LOOP; IF ( c = 0) THEN -- If there will be data harzard for all buffer instruction, out1 <= X"00000000"; -- then output no-op. output1 := X"00000000"; c := 1; END IF; buffer_data(0) <= buffer_data(1); buffer_data(1) <= output1; i := 2; WHILE( i < 5) LOOP -- Pushing buffer data forward to fullfill empty address. IF(buffer_temp(i) = X"00000000") THEN buffer_temp(i) := buffer_temp(i+1); buffer_temp(i+1) := X"00000000"; END IF; i := i+1; END LOOP; i:=2; WHILE( i < 6) LOOP -- Writing temperary data to address. buffer_data(i) <= buffer_temp(i); i := i+1; END LOOP; END IF; END PROCESS; END ARCHITECTURE rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: -- File: sysmon_unisim.vhd -- Author: Jan Andersson - Gaisler Research -- Description: Xilinx System Monitor ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library unisim; use unisim.SYSMON; -- pragma translate_on ------------------------------------------------------------------------------- -- Virtex 5 System Monitor ------------------------------------------------------------------------------- entity sysmon_virtex5 is generic ( INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt"); port ( alm : out std_logic_vector(2 downto 0); busy : out std_ulogic; channel : out std_logic_vector(4 downto 0); do : out std_logic_vector(15 downto 0); drdy : out std_ulogic; eoc : out std_ulogic; eos : out std_ulogic; jtagbusy : out std_ulogic; jtaglocked : out std_ulogic; jtagmodified : out std_ulogic; ot : out std_ulogic; convst : in std_ulogic; convstclk : in std_ulogic; daddr : in std_logic_vector(6 downto 0); dclk : in std_ulogic; den : in std_ulogic; di : in std_logic_vector(15 downto 0); dwe : in std_ulogic; reset : in std_ulogic; vauxn : in std_logic_vector(15 downto 0); vauxp : in std_logic_vector(15 downto 0); vn : in std_ulogic; vp : in std_ulogic); end sysmon_virtex5; architecture struct of sysmon_virtex5 is component SYSMON generic ( INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt" ); port ( ALM : out std_logic_vector(2 downto 0); BUSY : out std_ulogic; CHANNEL : out std_logic_vector(4 downto 0); DO : out std_logic_vector(15 downto 0); DRDY : out std_ulogic; EOC : out std_ulogic; EOS : out std_ulogic; JTAGBUSY : out std_ulogic; JTAGLOCKED : out std_ulogic; JTAGMODIFIED : out std_ulogic; OT : out std_ulogic; CONVST : in std_ulogic; CONVSTCLK : in std_ulogic; DADDR : in std_logic_vector(6 downto 0); DCLK : in std_ulogic; DEN : in std_ulogic; DI : in std_logic_vector(15 downto 0); DWE : in std_ulogic; RESET : in std_ulogic; VAUXN : in std_logic_vector(15 downto 0); VAUXP : in std_logic_vector(15 downto 0); VN : in std_ulogic; VP : in std_ulogic ); end component; begin -- struct sysmon0 : SYSMON generic map (INIT_40 => INIT_40, INIT_41 => INIT_41, INIT_42 => INIT_42, INIT_43 => INIT_43, INIT_44 => INIT_44, INIT_45 => INIT_45, INIT_46 => INIT_46, INIT_47 => INIT_47, INIT_48 => INIT_48, INIT_49 => INIT_49, INIT_4A => INIT_4A, INIT_4B => INIT_4B, INIT_4C => INIT_4C, INIT_4D => INIT_4D, INIT_4E => INIT_4E, INIT_4F => INIT_4F, INIT_50 => INIT_50, INIT_51 => INIT_51, INIT_52 => INIT_52, INIT_53 => INIT_53, INIT_54 => INIT_54, INIT_55 => INIT_55, INIT_56 => INIT_56, INIT_57 => INIT_57, SIM_MONITOR_FILE => SIM_MONITOR_FILE) port map (alm => alm, busy => busy, channel => channel, do => do, drdy => drdy, eoc => eoc, eos => eos, jtagbusy => jtagbusy, jtaglocked => jtaglocked, jtagmodified => jtagmodified, ot => ot, convst => convst, convstclk => convstclk, daddr => daddr, dclk => dclk, den => den, di => di, dwe => dwe, reset => reset, vauxn => vauxn, vauxp => vauxp, vn => vn, vp => vp); end struct;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY multiplier IS GENERIC( WIDTH : integer := 16 ); PORT( multiplicant : IN std_logic_vector (WIDTH-1 DOWNTO 0); multiplier : IN std_logic_vector (WIDTH-1 DOWNTO 0); product : OUT std_logic_vector (WIDTH+WIDTH-1 DOWNTO 0); -- result twocomp : IN std_logic ); END multiplier ; architecture rtl of multiplier is function rectify (r : in std_logic_vector (WIDTH-1 downto 0); -- Rectifier for signed multiplication twoc : in std_logic) -- Signed/Unsigned return std_logic_vector is variable rec_v : std_logic_vector (WIDTH-1 downto 0); begin if ((r(WIDTH-1) and twoc)='1') then rec_v := not(r); else rec_v := r; end if; return (rec_v + (r(WIDTH-1) and twoc)); end; signal multiplicant_s : std_logic_vector (WIDTH-1 downto 0); signal multiplier_s : std_logic_vector (WIDTH-1 downto 0); signal product_s : std_logic_vector (WIDTH+WIDTH-1 downto 0); -- Result signal sign_s : std_logic; begin multiplicant_s <= rectify(multiplicant,twocomp); multiplier_s <= rectify(multiplier,twocomp); sign_s <= multiplicant(WIDTH-1) xor multiplier(WIDTH-1); -- sign product product_s <= multiplicant_s * multiplier_s; product <= ((not(product_s)) + '1') when (sign_s and twocomp)='1' else product_s; end rtl;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY multiplier IS GENERIC( WIDTH : integer := 16 ); PORT( multiplicant : IN std_logic_vector (WIDTH-1 DOWNTO 0); multiplier : IN std_logic_vector (WIDTH-1 DOWNTO 0); product : OUT std_logic_vector (WIDTH+WIDTH-1 DOWNTO 0); -- result twocomp : IN std_logic ); END multiplier ; architecture rtl of multiplier is function rectify (r : in std_logic_vector (WIDTH-1 downto 0); -- Rectifier for signed multiplication twoc : in std_logic) -- Signed/Unsigned return std_logic_vector is variable rec_v : std_logic_vector (WIDTH-1 downto 0); begin if ((r(WIDTH-1) and twoc)='1') then rec_v := not(r); else rec_v := r; end if; return (rec_v + (r(WIDTH-1) and twoc)); end; signal multiplicant_s : std_logic_vector (WIDTH-1 downto 0); signal multiplier_s : std_logic_vector (WIDTH-1 downto 0); signal product_s : std_logic_vector (WIDTH+WIDTH-1 downto 0); -- Result signal sign_s : std_logic; begin multiplicant_s <= rectify(multiplicant,twocomp); multiplier_s <= rectify(multiplier,twocomp); sign_s <= multiplicant(WIDTH-1) xor multiplier(WIDTH-1); -- sign product product_s <= multiplicant_s * multiplier_s; product <= ((not(product_s)) + '1') when (sign_s and twocomp)='1' else product_s; end rtl;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY multiplier IS GENERIC( WIDTH : integer := 16 ); PORT( multiplicant : IN std_logic_vector (WIDTH-1 DOWNTO 0); multiplier : IN std_logic_vector (WIDTH-1 DOWNTO 0); product : OUT std_logic_vector (WIDTH+WIDTH-1 DOWNTO 0); -- result twocomp : IN std_logic ); END multiplier ; architecture rtl of multiplier is function rectify (r : in std_logic_vector (WIDTH-1 downto 0); -- Rectifier for signed multiplication twoc : in std_logic) -- Signed/Unsigned return std_logic_vector is variable rec_v : std_logic_vector (WIDTH-1 downto 0); begin if ((r(WIDTH-1) and twoc)='1') then rec_v := not(r); else rec_v := r; end if; return (rec_v + (r(WIDTH-1) and twoc)); end; signal multiplicant_s : std_logic_vector (WIDTH-1 downto 0); signal multiplier_s : std_logic_vector (WIDTH-1 downto 0); signal product_s : std_logic_vector (WIDTH+WIDTH-1 downto 0); -- Result signal sign_s : std_logic; begin multiplicant_s <= rectify(multiplicant,twocomp); multiplier_s <= rectify(multiplier,twocomp); sign_s <= multiplicant(WIDTH-1) xor multiplier(WIDTH-1); -- sign product product_s <= multiplicant_s * multiplier_s; product <= ((not(product_s)) + '1') when (sign_s and twocomp)='1' else product_s; end rtl;
library ieee; use ieee.std_logic_1164.all; entity FA10_tb is end FA10_tb; architecture tb of FA10_tb is component FA10 port( A : in std_logic_vector(9 downto 0); B : in std_logic_vector(9 downto 0); Sout : out std_logic_vector(9 downto 0); Cout : out std_logic ); end component; signal A : std_logic_vector(9 downto 0); signal B : std_logic_vector(9 downto 0); signal Sout : std_logic_vector(9 downto 0); signal Cout : std_logic; begin mapping: FA10 port map(A,B,Sout,Cout); -- A(0)<='0'; -- A(1)<='0'; -- A(2)<='0'; -- A(3)<='0'; -- A(4)<='0'; -- A(5)<='0'; -- A(6)<='0'; -- A(7)<='0'; -- A(8)<='0'; -- A(9)<='0'; -- B(0)<='0'; -- B(1)<='0'; -- B(2)<='0'; -- B(3)<='0'; -- B(4)<='0'; -- B(5)<='0'; -- B(6)<='0'; -- B(7)<='0'; -- B(8)<='0'; -- B(9)<='0'; process begin A(0)<='0'; wait for 1 ns; A(0)<='1'; wait for 1 ns; end process; process begin A(1)<='0'; wait for 2 ns; A(1)<='1'; wait for 2 ns; end process; process begin A(2)<='0'; wait for 4 ns; A(2)<='1'; wait for 4 ns; end process; process begin A(3)<='0'; wait for 8 ns; A(3)<='1'; wait for 8 ns; end process; process begin A(4)<='0'; wait for 16 ns; A(4)<='1'; wait for 16 ns; end process; process begin A(5)<='0'; wait for 32 ns; A(5)<='1'; wait for 32 ns; end process; process begin A(6)<='0'; wait for 64 ns; A(6)<='1'; wait for 64 ns; end process; process begin A(7)<='0'; wait for 128 ns; A(7)<='1'; wait for 128 ns; end process; process begin A(8)<='0'; wait for 256 ns; A(8)<='1'; wait for 256 ns; end process; process begin A(9)<='0'; wait for 512 ns; A(9)<='1'; wait for 512 ns; end process; process begin B(0)<='0'; wait for 1024 ns; B(0)<='1'; wait for 1024 ns; end process; process begin B(1)<='0'; wait for 2048 ns; B(1)<='1'; wait for 2048 ns; end process; process begin B(2)<='0'; wait for 4096 ns; B(2)<='1'; wait for 4096 ns; end process; process begin B(3)<='0'; wait for 8192 ns; B(3)<='1'; wait for 8192 ns; end process; process begin B(4)<='0'; wait for 16384 ns; B(4)<='1'; wait for 16384 ns; end process; process begin B(5)<='0'; wait for 32768 ns; B(5)<='1'; wait for 32768 ns; end process; process begin B(6)<='0'; wait for 65536 ns; B(6)<='1'; wait for 65536 ns; end process; process begin B(7)<='0'; wait for 131072 ns; B(7)<='1'; wait for 131072 ns; end process; process begin B(8)<='0'; wait for 262144 ns; B(8)<='1'; wait for 262144 ns; end process; process begin B(9)<='0'; wait for 524288 ns; B(9)<='1'; wait for 524288 ns; end process; end tb; configuration cfg_tb of FA10_tb is for tb end for; end cfg_tb;
--------------------------------------------------------------------- -- TITLE: Pipeline -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 6/24/02 -- FILENAME: pipeline.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Controls the three stage pipeline by delaying the signals: -- a_bus, b_bus, alu/shift/mult_func, c_source, and rs_index. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; --Note: sigD <= sig after rising_edge(clk) entity pipeline is port(clk : in std_logic; reset : in std_logic; a_bus : in std_logic_vector(31 downto 0); a_busD : out std_logic_vector(31 downto 0); b_bus : in std_logic_vector(31 downto 0); b_busD : out std_logic_vector(31 downto 0); alu_func : in alu_function_type; alu_funcD : out alu_function_type; shift_func : in shift_function_type; shift_funcD : out shift_function_type; mult_func : in mult_function_type; mult_funcD : out mult_function_type; reg_dest : in std_logic_vector(31 downto 0); reg_destD : out std_logic_vector(31 downto 0); rd_index : in std_logic_vector(5 downto 0); rd_indexD : out std_logic_vector(5 downto 0); rs_index : in std_logic_vector(5 downto 0); rt_index : in std_logic_vector(5 downto 0); pc_source : in pc_source_type; mem_source : in mem_source_type; a_source : in a_source_type; b_source : in b_source_type; c_source : in c_source_type; c_bus : in std_logic_vector(31 downto 0); pause_any : in std_logic; pause_pipeline : out std_logic); end; --entity pipeline architecture logic of pipeline is signal rd_index_reg : std_logic_vector(5 downto 0); signal reg_dest_reg : std_logic_vector(31 downto 0); signal reg_dest_delay : std_logic_vector(31 downto 0); signal c_source_reg : c_source_type; signal pause_enable_reg : std_logic; begin --When operating in three stage pipeline mode, the following signals --are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func, --c_source, and rd_index. pipeline3: process(clk, reset, a_bus, b_bus, alu_func, shift_func, mult_func, rd_index, rd_index_reg, pause_any, pause_enable_reg, rs_index, rt_index, pc_source, mem_source, a_source, b_source, c_source, c_source_reg, reg_dest, reg_dest_reg, reg_dest_delay, c_bus) variable pause_mult_clock : std_logic; variable freeze_pipeline : std_logic; begin if (pc_source /= FROM_INC4 and pc_source /= FROM_OPCODE25_0) or mem_source /= MEM_FETCH or (mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) then pause_mult_clock := '1'; else pause_mult_clock := '0'; end if; freeze_pipeline := not (pause_mult_clock and pause_enable_reg) and pause_any; pause_pipeline <= pause_mult_clock and pause_enable_reg; rd_indexD <= rd_index_reg; if c_source_reg = C_FROM_ALU then reg_dest_delay <= c_bus; --delayed by 1 clock cycle via a_busD & b_busD else reg_dest_delay <= reg_dest_reg; --need to delay 1 clock cycle from reg_dest end if; reg_destD <= reg_dest_delay; if reset = '1' then a_busD <= ZERO; b_busD <= ZERO; alu_funcD <= ALU_NOTHING; shift_funcD <= SHIFT_NOTHING; mult_funcD <= MULT_NOTHING; reg_dest_reg <= ZERO; c_source_reg <= "000"; rd_index_reg <= "000000"; pause_enable_reg <= '0'; elsif rising_edge(clk) then if freeze_pipeline = '0' then if (rs_index = "000000" or rs_index /= rd_index_reg) or (a_source /= A_FROM_REG_SOURCE or pause_enable_reg = '0') then a_busD <= a_bus; else a_busD <= reg_dest_delay; --rs from previous operation (bypass stage) end if; if (rt_index = "000000" or rt_index /= rd_index_reg) or (b_source /= B_FROM_REG_TARGET or pause_enable_reg = '0') then b_busD <= b_bus; else b_busD <= reg_dest_delay; --rt from previous operation end if; alu_funcD <= alu_func; shift_funcD <= shift_func; mult_funcD <= mult_func; reg_dest_reg <= reg_dest; c_source_reg <= c_source; rd_index_reg <= rd_index; end if; if pause_enable_reg = '0' and pause_any = '0' then pause_enable_reg <= '1'; --enable pause_pipeline elsif pause_mult_clock = '1' then pause_enable_reg <= '0'; --disable pause_pipeline end if; end if; end process; --pipeline3 end; --logic
-- -- Grab bag of miscellaneous VHDL-2008 syntax -- entity vhdl2008 is end entity; package genpack is generic ( x : integer := 5; y : boolean ); -- OK generic map ( x => 5, y => false ); -- OK constant c : bit_vector(1 to x) := (1 to x => '1'); end package; package genpack2 is generic ( x : integer := 5; y : boolean ); -- OK function add_x_if_y ( arg : integer ) return integer; end package; package body genpack2 is function add_x_if_y ( arg : integer ) return integer is begin if y then return arg + x; else return arg; end if; end function; end package body; package primary_genpack2 is new work.genpack2 generic map (4, false); -- OK architecture test of vhdl2008 is type my_utype is (a, b, c); type my_utype_vector is array (natural range <>) of my_utype; function resolved (s : my_utype_vector) return my_utype; subtype my_type is resolved my_utype; subtype my_type_vector is (resolved) my_utype_vector; -- OK type my_logical_vec is array (natural range <>) of bit; type my_bool is (true, false); package my_genpack2 is new work.genpack2 generic map (1, true); -- OK begin process is variable b : bit; variable v : my_logical_vec(1 to 3); begin b := or v; -- OK if or v = '1' then end if; -- OK b := and v; -- OK b := xor v; -- OK b := xnor v; -- OK b := nand v; -- OK b := nor v; -- OK end process; process is variable b : bit; variable v : my_logical_vec(1 to 3); begin b := b ?= '1'; -- OK b := b ?/= '1'; -- OK b := b ?< '0'; -- OK b := b ?> '0'; -- OK b := b ?<= '1'; -- OK b := b ?>= '1'; -- OK b := v ?= "101"; -- OK b := v ?/= "111"; -- OK end process; process is variable b : bit; variable i : integer; function "??"(x : integer) return boolean; begin if b then end if; -- OK if b xor '1' then end if; -- OK while b and '1' loop end loop; -- OK if i + 1 then end if; -- OK if now + 1 ns then end if; -- Error while true loop exit when b or '1'; -- OK next when b or '1'; -- OK end loop; wait until b xor '0'; -- OK assert b nor '1'; -- OK assert ?? 1; -- OK end process; /* This is a comment */ /* Comments /* do not nest */ process is variable x, y : integer; begin x := 1 when y > 2 else 5; -- OK end process; process is variable x : string(7 downto 0); begin x := 8x"0"; -- OK x := 6x"a"; -- OK x := 4x"4"; -- OK x := 2x"4"; -- Error x := 0x"5"; -- Error x := 18x"383fe"; -- OK x := 0b"0000"; -- OK x := d"5"; -- OK x := 5d"25"; -- OK x := 120d"83298148949012041209428481024019511"; -- Error x := uo"5"; -- OK x := 5sb"11"; -- OK x := 2sb"1111110"; -- OK x := 2sb"10110101"; -- Error x := 4x"0f"; -- OK x := Uo"2C"; -- OK x := d"C4"; -- Error x := 8x"-"; -- OK x := 12d"13"; -- OK end process; b2: block is signal s : integer; begin process is begin s <= 1 when s < 0 else 5; -- OK end process; end block; process is type int_vec2 is array (natural range <>) of integer_vector; -- OK constant a : int_vec2(1 to 3)(1 to 2) := ( -- OK (1, 2), (3, 4), (5, 6) ); begin assert a(1)(1) = 1; -- OK end process; b3: block is signal s : integer; begin process is begin s <= force 1; -- OK s <= force out 1; -- OK s <= force in 2; -- OK s <= release; -- OK s <= release out; -- OK end process; end block; process is variable x : bit_vector(1 to 3); begin case? x is -- OK when "010" => null; when others => null; end case?; case? x is when others => null; end case; -- Error case x is when others => null; end case ?; -- Error end process; b4: block is procedure foo (x : integer_vector; y : integer) is variable a : x'subtype; -- OK variable b : integer'subtype; -- OK variable c : b4'subtype; -- Error variable d : x'element; -- OK variable e : y'element; -- Error variable f : b4'element; -- Error begin end procedure; begin end block; b5: block is function gen1 generic (n : integer) (x : integer) return integer is begin -- OK return 1; end function; function gen2 generic (n : integer) -- OK parameter (x : integer) return integer; function gen3 generic (type t; p : t) (x : t) return integer; -- Ok function my_gen1 is new gen1 generic map (5); -- OK begin end block; b6: block is constant c1 : string := to_string(100); -- OK begin end block; b7: block is signal s : integer; signal b : bit; begin s <= 1 when b else 2; -- OK s <= 2 when '1' else 6; -- OK process is variable v : integer; begin v := 1 when b else 5; -- OK s <= 5 when b else 7; -- OK end process; end block; g1: if g1a: true generate -- OK elsif g2: false generate begin end g2; else generate end generate; g1: if true generate end g1; -- Error else foo: generate end bar; -- Error end generate; end architecture;
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Module Name: tcp_extract_header - Behavioral -- -- Description: Extract the TCP header fields -- ------------------------------------------------------------------------------------ -- FPGA_Webserver from https://github.com/hamsternz/FPGA_Webserver ------------------------------------------------------------------------------------ -- The MIT License (MIT) -- -- Copyright (c) 2015 Michael Alan Field <[email protected]> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -- THE SOFTWARE. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity tcp_extract_header is port( clk : in std_logic; data_in : in std_logic_vector(7 downto 0) := (others => '0'); data_valid_in : in std_logic := '0'; data_out : out std_logic_vector(7 downto 0) := (others => '0'); data_valid_out : out std_logic := '0'; tcp_hdr_valid : out std_logic := '0'; tcp_src_port : out std_logic_vector(15 downto 0) := (others => '0'); tcp_dst_port : out std_logic_vector(15 downto 0) := (others => '0'); tcp_seq_num : out std_logic_vector(31 downto 0) := (others => '0'); tcp_ack_num : out std_logic_vector(31 downto 0) := (others => '0'); tcp_window : out std_logic_vector(15 downto 0) := (others => '0'); tcp_flag_urg : out std_logic := '0'; tcp_flag_ack : out std_logic := '0'; tcp_flag_psh : out std_logic := '0'; tcp_flag_rst : out std_logic := '0'; tcp_flag_syn : out std_logic := '0'; tcp_flag_fin : out std_logic := '0'; tcp_checksum : out std_logic_vector(15 downto 0) := (others => '0'); tcp_urgent_ptr : out std_logic_vector(15 downto 0) := (others => '0')); end tcp_extract_header; architecture Behavioral of tcp_extract_header is signal i_tcp_hdr_valid : std_logic := '0'; signal i_tcp_src_port : std_logic_vector(15 downto 0) := (others => '0'); signal i_tcp_dst_port : std_logic_vector(15 downto 0) := (others => '0'); signal i_tcp_seq_num : std_logic_vector(31 downto 0) := (others => '0'); signal i_tcp_ack_num : std_logic_vector(31 downto 0) := (others => '0'); signal i_tcp_window : std_logic_vector(15 downto 0) := (others => '0'); signal i_tcp_flag_urg : std_logic := '0'; signal i_tcp_flag_ack : std_logic := '0'; signal i_tcp_flag_psh : std_logic := '0'; signal i_tcp_flag_rst : std_logic := '0'; signal i_tcp_flag_syn : std_logic := '0'; signal i_tcp_flag_fin : std_logic := '0'; signal i_tcp_checksum : std_logic_vector(15 downto 0) := (others => '0'); signal i_tcp_urgent_ptr : std_logic_vector(15 downto 0) := (others => '0'); signal byte_hdr_len : unsigned(10 downto 0) := (others => '0'); signal data_count : unsigned(10 downto 0) := (others => '0'); signal count : unsigned( 4 downto 0) := (others => '0'); begin tcp_hdr_valid <= i_tcp_hdr_valid; tcp_src_port <= i_tcp_src_port; tcp_dst_port <= i_tcp_dst_port; tcp_seq_num <= i_tcp_seq_num; tcp_ack_num <= i_tcp_ack_num; tcp_window <= i_tcp_window; tcp_flag_urg <= i_tcp_flag_urg; tcp_flag_ack <= i_tcp_flag_ack; tcp_flag_psh <= i_tcp_flag_psh; tcp_flag_rst <= i_tcp_flag_rst; tcp_flag_syn <= i_tcp_flag_syn; tcp_flag_fin <= i_tcp_flag_fin; tcp_checksum <= i_tcp_checksum; tcp_urgent_ptr <= i_tcp_urgent_ptr; process(clk) begin if rising_edge(clk) then data_valid_out <= '0'; data_out <= (others => '0'); i_tcp_hdr_valid <= '0'; if data_valid_in = '1' then case count is when "00000" => i_tcp_src_port(15 downto 8) <= data_in; when "00001" => i_tcp_src_port( 7 downto 0) <= data_in; when "00010" => i_tcp_dst_port(15 downto 8) <= data_in; when "00011" => i_tcp_dst_port( 7 downto 0) <= data_in; when "00100" => i_tcp_seq_num(31 downto 24) <= data_in; when "00101" => i_tcp_seq_num(23 downto 16) <= data_in; when "00110" => i_tcp_seq_num(15 downto 8) <= data_in; when "00111" => i_tcp_seq_num( 7 downto 0) <= data_in; when "01000" => i_tcp_ack_num(31 downto 24) <= data_in; when "01001" => i_tcp_ack_num(23 downto 16) <= data_in; when "01010" => i_tcp_ack_num(15 downto 8) <= data_in; when "01011" => i_tcp_ack_num( 7 downto 0) <= data_in; when "01100" => byte_hdr_len(5 downto 2) <= unsigned(data_in( 7 downto 4)); when "01101" => i_tcp_flag_urg <= data_in(5); i_tcp_flag_ack <= data_in(4); i_tcp_flag_psh <= data_in(3); i_tcp_flag_rst <= data_in(2); i_tcp_flag_syn <= data_in(1); i_tcp_flag_fin <= data_in(0); when "01110" => i_tcp_window(15 downto 8) <= data_in; when "01111" => i_tcp_window( 7 downto 0) <= data_in; when "10000" => i_tcp_checksum(15 downto 8) <= data_in; when "10001" => i_tcp_checksum( 7 downto 0) <= data_in; when "10010" => i_tcp_urgent_ptr(15 downto 8) <= data_in; when "10011" => i_tcp_urgent_ptr( 7 downto 0) <= data_in; when others => if data_count = byte_hdr_len then data_valid_out <= data_valid_in; data_out <= data_in; i_tcp_hdr_valid <= '1'; elsif data_count > byte_hdr_len then data_valid_out <= data_valid_in; data_out <= data_in; i_tcp_hdr_valid <= '0'; end if; end case; if count /= "11111" then count <= count+1; end if; data_count <= data_count + 1; else -- For when TCP packets have no data if data_count = byte_hdr_len and byte_hdr_len /= 0 then i_tcp_hdr_valid <= '1'; end if; data_valid_out <= '0'; data_out <= data_in; count <= (others => '0'); data_count <= (others => '0'); end if; end if; end process; end Behavioral;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:26:49 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBCU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: CU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBCU IS END TBCU; ARCHITECTURE behavior OF TBCU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT CU PORT( Instruction : IN std_logic_vector(31 downto 0); ALUOP : OUT std_logic_vector(5 downto 0) ); END COMPONENT; --Inputs signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal ALUOP : std_logic_vector(5 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: CU PORT MAP ( Instruction => Instruction, ALUOP => ALUOP ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000000"; wait for 100 ns; Instruction <= "00001000000000000000000000000000"; wait for 100 ns; Instruction <= "00000000100000000000000000000000"; wait for 100 ns; Instruction <= "00001111100000000000000000000000"; wait for 100 ns; -- insert stimulus here wait; end process; END;
------------------------------------------------------------------------------- -- -- Copyright (C) 2009, 2010 Dr. Juergen Sauermann -- -- This code is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this code (see the file named COPYING). -- If not, see http://www.gnu.org/licenses/. -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- Module Name: Register - Behavioral -- Create Date: 12:37:55 10/28/2009 -- Description: a register pair of a CPU. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity reg_16 is port ( I_CLK : in std_logic; I_D : in std_logic_vector (15 downto 0); I_WE : in std_logic_vector ( 1 downto 0); Q : out std_logic_vector (15 downto 0)); end reg_16; architecture Behavioral of reg_16 is signal L : std_logic_vector (15 downto 0) := X"7777"; begin process(I_CLK) begin if (rising_edge(I_CLK)) then if (I_WE(1) = '1') then L(15 downto 8) <= I_D(15 downto 8); end if; if (I_WE(0) = '1') then L( 7 downto 0) <= I_D( 7 downto 0); end if; end if; end process; Q <= L; end Behavioral;
------------------------------------------------------------------------------- -- -- Copyright (C) 2009, 2010 Dr. Juergen Sauermann -- -- This code is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this code (see the file named COPYING). -- If not, see http://www.gnu.org/licenses/. -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- Module Name: Register - Behavioral -- Create Date: 12:37:55 10/28/2009 -- Description: a register pair of a CPU. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity reg_16 is port ( I_CLK : in std_logic; I_D : in std_logic_vector (15 downto 0); I_WE : in std_logic_vector ( 1 downto 0); Q : out std_logic_vector (15 downto 0)); end reg_16; architecture Behavioral of reg_16 is signal L : std_logic_vector (15 downto 0) := X"7777"; begin process(I_CLK) begin if (rising_edge(I_CLK)) then if (I_WE(1) = '1') then L(15 downto 8) <= I_D(15 downto 8); end if; if (I_WE(0) = '1') then L( 7 downto 0) <= I_D( 7 downto 0); end if; end if; end process; Q <= L; end Behavioral;
------------------------------------------------------------------------------- -- -- Copyright (C) 2009, 2010 Dr. Juergen Sauermann -- -- This code is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this code (see the file named COPYING). -- If not, see http://www.gnu.org/licenses/. -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- Module Name: Register - Behavioral -- Create Date: 12:37:55 10/28/2009 -- Description: a register pair of a CPU. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity reg_16 is port ( I_CLK : in std_logic; I_D : in std_logic_vector (15 downto 0); I_WE : in std_logic_vector ( 1 downto 0); Q : out std_logic_vector (15 downto 0)); end reg_16; architecture Behavioral of reg_16 is signal L : std_logic_vector (15 downto 0) := X"7777"; begin process(I_CLK) begin if (rising_edge(I_CLK)) then if (I_WE(1) = '1') then L(15 downto 8) <= I_D(15 downto 8); end if; if (I_WE(0) = '1') then L( 7 downto 0) <= I_D( 7 downto 0); end if; end if; end process; Q <= L; end Behavioral;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:02:15 09/10/2016 -- Design Name: -- Module Name: C:/Users/Yoshio/git/ecorun/ecorun_fi_hardware/fi_timer/FiTimer/TestStepper.vhd -- Project Name: FiTimer -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Stepper -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TestStepper IS END TestStepper; ARCHITECTURE behavior OF TestStepper IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Stepper PORT( iac_pulse : IN std_logic; iac_clockwise : IN std_logic; iac_out : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal iac_pulse : std_logic := '0'; signal iac_clockwise : std_logic := '0'; --Outputs signal iac_out : std_logic_vector(7 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Stepper PORT MAP ( iac_pulse => iac_pulse, iac_clockwise => iac_clockwise, iac_out => iac_out ); -- Clock process definitions -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here iac_clockwise <= '1'; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; iac_pulse <= '1'; wait for 10 ns; iac_pulse <= '0'; wait for 10 ns; wait; end process; END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:49:32 06/15/2015 -- Design Name: -- Module Name: Stepper - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity Stepper is port( iac_pulse : in std_logic := '0'; iac_clockwise : in std_logic := '0'; iac_out : out std_logic_vector(7 downto 0) := (others => '0') ); end Stepper; architecture Behavioral of Stepper is signal phase : std_logic_vector(1 downto 0) := (others => '0'); begin process(iac_pulse) begin if (falling_edge(iac_pulse)) then if (iac_clockwise = '1') then phase <= phase + 1; else phase <= phase - 1; end if; end if; if (iac_pulse = '1') then case phase is when "00" => iac_out <= "10010000"; when "01" => iac_out <= "00001001"; when "10" => iac_out <= "01100000"; when "11" => iac_out <= "00000110"; when others => iac_out <= (others => '0'); end case; else iac_out <= (others => '0'); end if; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; entity cmp_975 is port ( ne : out std_logic; in1 : in std_logic_vector(31 downto 0); in0 : in std_logic_vector(31 downto 0) ); end cmp_975; architecture augh of cmp_975 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in1 /= in0 else '1'; -- Set the outputs ne <= not(tmp); end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_975 is port ( ne : out std_logic; in1 : in std_logic_vector(31 downto 0); in0 : in std_logic_vector(31 downto 0) ); end cmp_975; architecture augh of cmp_975 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in1 /= in0 else '1'; -- Set the outputs ne <= not(tmp); end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: svga2ch7301c -- File: svga2ch7301c.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel -- CH7301C DVI transmitter. Multiplexes data and generates clocks. -- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB -- template designs. -- -- This multiplexer has been developed for use with the Chrontel CH7301C DVI -- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: -- -- IDF Description -- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) -- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) -- 2 8-bit multiplexed RGB input (16-bit color, 565) -- 3 8-bit multiplexed RGB input (15-bit color, 555) -- -- This core assumes a 100 MHz input clock on the 'clk' input. -- -- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth -- to decide if multiplexing should be done according to IDF 0 or IDF 2. -- vago.bitdepth = "11" gives IDF 0, others give IDF2. -- The 'idf' generic is not used when the 'dynamic' generic is non-zero. -- Note that if dynamic selection is enabled you will need to reconfigure -- the DVI transmitter when the VGA core changes bit depth. -- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; -- pragma translate_off library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity svga2ch7301c is generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk : in std_ulogic; vgao : in apbvga_out_type; vgaclk : in std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end svga2ch7301c; architecture rtl of svga2ch7301c is component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; signal nvgaclk : std_ulogic; signal vcc, gnd : std_logic; signal d0, d1 : std_logic_vector(11 downto 0); signal red, green, blue : std_logic_vector(7 downto 0); signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic; signal clkval : std_logic_vector(1 downto 0); begin -- rtl vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- RGB data multiplexer ----------------------------------------------------------------------------- red <= vgao.video_out_r; green <= vgao.video_out_g; blue <= vgao.video_out_b; static: if dynamic = 0 generate idf0: if (idf = 0) generate d0 <= green(3 downto 0) & blue(7 downto 0); d1 <= red(7 downto 0) & green(7 downto 4); end generate; idf1: if (idf = 1) generate d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); end generate; idf2: if (idf = 2) generate d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate; idf3: if (idf = 3) generate d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate idf3; -- DDR regs dataregs: for i in 11 downto (4*(idf/2)) generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; nvgaclk <= not vgaclk; nostatic: if dynamic /= 0 generate d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else green(4 downto 2) & blue(7 downto 3) & "0000"; d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else red(7 downto 3) & green(7 downto 5) & "0000"; dataregs: for i in 11 downto 0 generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; ----------------------------------------------------------------------------- -- Sync signals ----------------------------------------------------------------------------- process (vgaclk) begin -- process if rising_edge(vgaclk) then hsync <= vgao.hsync; vsync <= vgao.vsync; de <= vgao.blank; end if; end process; ----------------------------------------------------------------------------- -- Clock generation ----------------------------------------------------------------------------- ddroreg_p : ddr_oreg generic map (tech) port map (q => dclk_p, c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => vcc, d2 => gnd, r => gnd, s => gnd); ddroreg_n : ddr_oreg generic map (tech) port map (q => dclk_n, c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => gnd, d2 => vcc, r => gnd, s => gnd); end rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: svga2ch7301c -- File: svga2ch7301c.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel -- CH7301C DVI transmitter. Multiplexes data and generates clocks. -- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB -- template designs. -- -- This multiplexer has been developed for use with the Chrontel CH7301C DVI -- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: -- -- IDF Description -- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) -- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) -- 2 8-bit multiplexed RGB input (16-bit color, 565) -- 3 8-bit multiplexed RGB input (15-bit color, 555) -- -- This core assumes a 100 MHz input clock on the 'clk' input. -- -- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth -- to decide if multiplexing should be done according to IDF 0 or IDF 2. -- vago.bitdepth = "11" gives IDF 0, others give IDF2. -- The 'idf' generic is not used when the 'dynamic' generic is non-zero. -- Note that if dynamic selection is enabled you will need to reconfigure -- the DVI transmitter when the VGA core changes bit depth. -- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; -- pragma translate_off library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity svga2ch7301c is generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk : in std_ulogic; vgao : in apbvga_out_type; vgaclk : in std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end svga2ch7301c; architecture rtl of svga2ch7301c is component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; signal nvgaclk : std_ulogic; signal vcc, gnd : std_logic; signal d0, d1 : std_logic_vector(11 downto 0); signal red, green, blue : std_logic_vector(7 downto 0); signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic; signal clkval : std_logic_vector(1 downto 0); begin -- rtl vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- RGB data multiplexer ----------------------------------------------------------------------------- red <= vgao.video_out_r; green <= vgao.video_out_g; blue <= vgao.video_out_b; static: if dynamic = 0 generate idf0: if (idf = 0) generate d0 <= green(3 downto 0) & blue(7 downto 0); d1 <= red(7 downto 0) & green(7 downto 4); end generate; idf1: if (idf = 1) generate d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); end generate; idf2: if (idf = 2) generate d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate; idf3: if (idf = 3) generate d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate idf3; -- DDR regs dataregs: for i in 11 downto (4*(idf/2)) generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; nvgaclk <= not vgaclk; nostatic: if dynamic /= 0 generate d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else green(4 downto 2) & blue(7 downto 3) & "0000"; d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else red(7 downto 3) & green(7 downto 5) & "0000"; dataregs: for i in 11 downto 0 generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; ----------------------------------------------------------------------------- -- Sync signals ----------------------------------------------------------------------------- process (vgaclk) begin -- process if rising_edge(vgaclk) then hsync <= vgao.hsync; vsync <= vgao.vsync; de <= vgao.blank; end if; end process; ----------------------------------------------------------------------------- -- Clock generation ----------------------------------------------------------------------------- ddroreg_p : ddr_oreg generic map (tech) port map (q => dclk_p, c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => vcc, d2 => gnd, r => gnd, s => gnd); ddroreg_n : ddr_oreg generic map (tech) port map (q => dclk_n, c1 => vgaclk, c2 => nvgaclk, ce => vcc, d1 => gnd, d2 => vcc, r => gnd, s => gnd); end rtl;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY COLOR_BRIDGE IS PORT( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; BRG_EN : IN STD_LOGIC; COLOR : IN STD_LOGIC_VECTOR(3 DOWNTO 0); R : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); G : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); B : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COLOR_BRIDGE; ARCHITECTURE main OF COLOR_BRIDGE IS BEGIN PROCESS(CLK, RST) BEGIN IF(RST = '1') THEN R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; ELSIF(CLK'EVENT AND CLK = '1') THEN IF(BRG_EN = '1') THEN CASE COLOR IS --BLACK WHEN x"0" => R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; --DARK RED WHEN x"1" => R <= "0111111111"; G <= "0000000000"; B <= "0000000000"; --DARK GREEN WHEN x"2" => R <= "0000000000"; G <= "0111111111"; B <= "0000000000"; --DARK YELLOW WHEN x"3" => R <= "0111111111"; G <= "0111111111"; B <= "0000000000"; --DARK BLUE WHEN x"4" => R <= "0000000000"; G <= "0000000000"; B <= "0111111111"; --PURPLE WHEN x"5" => R <= "0111111111"; G <= "0000000000"; B <= "0111111111"; --GREENISH BLUE WHEN x"6" => R <= "0000000000"; G <= "0111111111"; B <= "0111111111"; --LIGHT GRAY WHEN x"7" => R <= "1011111111"; G <= "1011111111"; B <= "1011111111"; --GRAY WHEN x"8" => R <= "0111111111"; G <= "0111111111"; B <= "0111111111"; --RED WHEN x"9" => R <= "1111111111"; G <= "0000000000"; B <= "0000000000"; --GREEN WHEN x"A" => R <= "0000000000"; G <= "1111111111"; B <= "0000000000"; --YELLOW WHEN x"B" => R <= "1111111111"; G <= "1111111111"; B <= "0000000000"; --BLUE WHEN x"C" => R <= "0000000000"; G <= "0000000000"; B <= "1111111111"; --PINK WHEN x"D" => R <= "1111111111"; G <= "0000000000"; B <= "1111111111"; --SKY BLUE WHEN x"E" => R <= "0000000000"; G <= "1111111111"; B <= "1111111111"; --WHITE WHEN x"F" => R <= "1111111111"; G <= "1111111111"; B <= "1111111111"; WHEN OTHERS => END CASE; ELSE R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; END IF; END IF; END PROCESS; END main;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY COLOR_BRIDGE IS PORT( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; BRG_EN : IN STD_LOGIC; COLOR : IN STD_LOGIC_VECTOR(3 DOWNTO 0); R : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); G : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); B : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COLOR_BRIDGE; ARCHITECTURE main OF COLOR_BRIDGE IS BEGIN PROCESS(CLK, RST) BEGIN IF(RST = '1') THEN R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; ELSIF(CLK'EVENT AND CLK = '1') THEN IF(BRG_EN = '1') THEN CASE COLOR IS --BLACK WHEN x"0" => R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; --DARK RED WHEN x"1" => R <= "0111111111"; G <= "0000000000"; B <= "0000000000"; --DARK GREEN WHEN x"2" => R <= "0000000000"; G <= "0111111111"; B <= "0000000000"; --DARK YELLOW WHEN x"3" => R <= "0111111111"; G <= "0111111111"; B <= "0000000000"; --DARK BLUE WHEN x"4" => R <= "0000000000"; G <= "0000000000"; B <= "0111111111"; --PURPLE WHEN x"5" => R <= "0111111111"; G <= "0000000000"; B <= "0111111111"; --GREENISH BLUE WHEN x"6" => R <= "0000000000"; G <= "0111111111"; B <= "0111111111"; --LIGHT GRAY WHEN x"7" => R <= "1011111111"; G <= "1011111111"; B <= "1011111111"; --GRAY WHEN x"8" => R <= "0111111111"; G <= "0111111111"; B <= "0111111111"; --RED WHEN x"9" => R <= "1111111111"; G <= "0000000000"; B <= "0000000000"; --GREEN WHEN x"A" => R <= "0000000000"; G <= "1111111111"; B <= "0000000000"; --YELLOW WHEN x"B" => R <= "1111111111"; G <= "1111111111"; B <= "0000000000"; --BLUE WHEN x"C" => R <= "0000000000"; G <= "0000000000"; B <= "1111111111"; --PINK WHEN x"D" => R <= "1111111111"; G <= "0000000000"; B <= "1111111111"; --SKY BLUE WHEN x"E" => R <= "0000000000"; G <= "1111111111"; B <= "1111111111"; --WHITE WHEN x"F" => R <= "1111111111"; G <= "1111111111"; B <= "1111111111"; WHEN OTHERS => END CASE; ELSE R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; END IF; END IF; END PROCESS; END main;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY COLOR_BRIDGE IS PORT( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; BRG_EN : IN STD_LOGIC; COLOR : IN STD_LOGIC_VECTOR(3 DOWNTO 0); R : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); G : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); B : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COLOR_BRIDGE; ARCHITECTURE main OF COLOR_BRIDGE IS BEGIN PROCESS(CLK, RST) BEGIN IF(RST = '1') THEN R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; ELSIF(CLK'EVENT AND CLK = '1') THEN IF(BRG_EN = '1') THEN CASE COLOR IS --BLACK WHEN x"0" => R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; --DARK RED WHEN x"1" => R <= "0111111111"; G <= "0000000000"; B <= "0000000000"; --DARK GREEN WHEN x"2" => R <= "0000000000"; G <= "0111111111"; B <= "0000000000"; --DARK YELLOW WHEN x"3" => R <= "0111111111"; G <= "0111111111"; B <= "0000000000"; --DARK BLUE WHEN x"4" => R <= "0000000000"; G <= "0000000000"; B <= "0111111111"; --PURPLE WHEN x"5" => R <= "0111111111"; G <= "0000000000"; B <= "0111111111"; --GREENISH BLUE WHEN x"6" => R <= "0000000000"; G <= "0111111111"; B <= "0111111111"; --LIGHT GRAY WHEN x"7" => R <= "1011111111"; G <= "1011111111"; B <= "1011111111"; --GRAY WHEN x"8" => R <= "0111111111"; G <= "0111111111"; B <= "0111111111"; --RED WHEN x"9" => R <= "1111111111"; G <= "0000000000"; B <= "0000000000"; --GREEN WHEN x"A" => R <= "0000000000"; G <= "1111111111"; B <= "0000000000"; --YELLOW WHEN x"B" => R <= "1111111111"; G <= "1111111111"; B <= "0000000000"; --BLUE WHEN x"C" => R <= "0000000000"; G <= "0000000000"; B <= "1111111111"; --PINK WHEN x"D" => R <= "1111111111"; G <= "0000000000"; B <= "1111111111"; --SKY BLUE WHEN x"E" => R <= "0000000000"; G <= "1111111111"; B <= "1111111111"; --WHITE WHEN x"F" => R <= "1111111111"; G <= "1111111111"; B <= "1111111111"; WHEN OTHERS => END CASE; ELSE R <= "0000000000"; G <= "0000000000"; B <= "0000000000"; END IF; END IF; END PROCESS; END main;
library ieee; use ieee.std_logic_1164.all; entity issue is port (i_foo : in std_logic; o_foo : out std_logic; clock : in std_logic); end entity issue; architecture beh of issue is begin process (clock) variable v_foo : std_logic := i_foo; begin -- works without the if if rising_edge (clock) then v_foo := v_foo xor v_foo; o_foo <= v_foo; end if; end process; end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity BiStableLatch is port( Q : out std_logic; nQ : out std_logic ); end BiStableLatch; architecture structual of BiStableLatch is component NOT1 is port( a: in std_logic; z: out std_logic); end component; component REP is port( a: in std_logic; z: out std_logic); end component; signal pointQ, pointnQ : std_logic; begin M1 : NOT1 port map (pointQ, pointnQ); M2 : REP port map (pointnQ, nQ); M3 : NOT1 port map (pointnQ, pointQ); M4 : REP port map (pointQ, Q); end structual;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity frame_buffer is port ( clkA : in std_logic; clkB : in std_logic; enA : in std_logic; enB : in std_logic; weA : in std_logic; weB : in std_logic; addrA : in std_logic_vector(11 downto 0); addrB : in std_logic_vector(10 downto 0); diA : in std_logic_vector(3 downto 0); diB : in std_logic_vector(7 downto 0); doA : out std_logic_vector(3 downto 0); doB : out std_logic_vector(7 downto 0) ); end frame_buffer; architecture behavioral of frame_buffer is function log2 (val: INTEGER) return natural is variable res : natural; begin for i in 0 to 31 loop if (val <= (2**i)) then res := i; exit; end if; end loop; return res; end function log2; constant minWIDTH : integer := 4; constant maxWIDTH : integer := 8; constant maxSIZE : integer := 4096; constant RATIO : integer := maxWIDTH / minWIDTH; -- An asymmetric RAM is modeled in a similar way as a symmetric RAM, with an -- array of array object. Its aspect ratio corresponds to the port with the -- lower data width (larger depth) type ramType is array (0 to maxSIZE-1) of std_logic_vector(minWIDTH-1 downto 0); -- You need to declare <ram> as a shared variable when : -- - the RAM has two write ports, -- - the RAM has only one write port whose data width is maxWIDTH -- In all other cases, <ram> can be a signal. shared variable ram : ramType := (others => (others => '0')); --signal ram : ramType := (others => (others => '0')); signal readA : std_logic_vector(3 downto 0):= (others => '0'); signal readB : std_logic_vector(7 downto 0):= (others => '0'); signal regA : std_logic_vector(3 downto 0):= (others => '0'); signal regB : std_logic_vector(7 downto 0):= (others => '0'); begin process (clkA) begin if rising_edge(clkA) then if enA = '1' then if weA = '1' then ram(conv_integer(addrA)) := diA; readA <= diA; else readA <= ram(conv_integer(addrA)); end if; end if; regA <= readA; end if; end process; process (clkB) begin if rising_edge(clkB) then if enB = '1' then for i in 0 to RATIO-1 loop if weB = '1' then ram(conv_integer(addrB)) := diB(3 downto 0); end if; -- The read statement below is placed after the write statement on purpose -- to ensure write-first synchronization through the variable mechanism readB((i+1)*4-1 downto i*4) <= ram(conv_integer(addrB & conv_std_logic_vector(i,log2(RATIO)))); end loop; end if; regB <= readB; end if; end process; doA <= regA; doB <= regB; end behavioral;
-- DATASHEET: https://drive.google.com/open?id=0BxW0H68Pf2sKWXYxVkhYYUw2dVE --timer mode reset is needed before timer starts. -- clock : input -- reset : input -- data_in[]: input params [5], input address_base [22] -- params : input [3] -- read_params : input -- write_params : input -- reconfig : input -- reset_timer : input -- read_source : input [2] -- data_out[]: ouput [29] -- busy : output -- -- -- For Cyclone III devices, mapping to each parameter type and corresponding parameter bit width is defined as follows: -- *000 - Master State Machine Current State Mode (Read Only) - width of 2. Values are defined as follows: -- 00 Factory mode -- 01 Application mode -- 11 Application mode with Master State Machine -- User Watchdog Timer Enabled -- 001 - Force early CONF_DONE (Cd_early) check - width of 1. -- *010 - Watchdog Timeout Value - width of 29 when reading and width of 12 when writing. Note that the 12 bits for writing are the upper 12 bits of the 29-bit Watchdog Timeout Value. -- *011 - Watchdog Enable - width of 1 -- *100 - Boot Address- width of 24 when reading and width of -- 22 when writing. Note that the boot address should be written to the upper 22 bits of the 24-bit Boot Address. -- *101 - (illegal value) -- *110 - Force the internal oscillator as startup state machine clock (Osc_int) option bit - width of 1 -- *111 - Reconfiguration trigger conditions (Read Only) - -- width of 5. Trigger bits are defined as follows: -- Bit 4: nconfig_source: external configuration reset (nCONFIG) assertion -- Bit 3: crcerror_source: CRC error during applicationconfiguration -- Bit 2: nstatus_source: nSTATUS asserted by an external device as the result of an error -- Bit 1: wdtimer_source: User Watchdog Timer timeout -- Bit 0: runconfig_source: configuration reset triggered from logic array -- All parameters can be written in Factory configuration mode only -- --Usage. -- write params at address 0x0 then write Data or read Data -- Write Data at address 0x1 (wd timer value, boot address, we enable ,etc -- Write anything to ox2 to start RECONFIG -- Write anything to 0x3 to reset WD timer -- Read from params at address 0x0 --WBADR[5:2] param library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity wb_rsu is generic ( dat_sz : natural := 32 ); port ( clk_i : in std_logic; rst_i : in std_logic; -- -- Whishbone Interface -- dat_i : in std_logic_vector((dat_sz - 1) downto 0); dat_o : out std_logic_vector((dat_sz - 1) downto 0); adr_i : in std_logic_vector(3 downto 0); cyc_i : in std_logic; sel_i : in std_logic_vector(3 downto 0); we_i : in std_logic; ack_o : out std_logic; err_o : out std_logic; rty_o : out std_logic; halt_o: out std_logic; stb_i : in std_logic; -- -- RSU Interface/ALTREMOTE_UPDATE ip design -- rsu_clock : out std_logic; rsu_reset : out std_logic; rsu_datain : out std_logic_vector (21 downto 0); rsu_dataout: in std_logic_vector(28 downto 0); rsu_params : out std_logic_vector(2 downto 0); rsu_readparams: out std_logic; rsu_writeparams: out std_logic; rsu_reconfig: out std_logic; rsu_resettimer: out std_logic; rsu_busy : in std_logic; rsu_readsource: out std_logic_vector(1 downto 0) ); end wb_rsu; architecture Behavioral of wb_rsu is -- Internal Signals signal reg_params : std_logic_vector(2 downto 0); signal reg_readparams : std_logic; signal reg_writeparams : std_logic; signal reg_data_in : std_logic_vector(21 downto 0); TYPE State_type IS (START, READSOURCE, READPARAMS, WRITEPARAMS, BUSY, BUSY2, WAIT1, WAIT2); -- Define the states SIGNAL State : State_Type; -- Create a signal that uses -- the different states BEGIN -- always rsu_datain <= dat_i(21 downto 0); --rsu_readsource <= "00"; -- always reading current state. rsu_clock <= clk_i; rsu_reset <= rst_i; err_o <= '0'; rty_o <= '0'; PROCESS (clk_i, rst_i) BEGIN If (rst_i = '1') THEN -- Upon reset, set the state to A rsu_readsource <= "11"; State <= START; ELSIF rising_edge(clk_i) THEN -- if there is a rising edge of the -- clock, then do the stuff below rsu_params <= reg_params; rsu_readparams <= reg_readparams; rsu_writeparams <= reg_writeparams; rsu_reconfig <= '0'; reg_writeparams <= '0'; reg_readparams <= '0'; rsu_resettimer <= '0'; ack_o <= '0'; halt_o <= '0'; -- The CASE statement checks the value of the State variable, -- and based on the value and any other control signals, changes -- to a new state. CASE State IS -- If the current state is A and P is set to 1, then the -- next state is B WHEN START => if (stb_i = '1') then -- ack_o <= '1'; ack_o <= '0'; halt_o <= '0'; rsu_reconfig <= '0'; reg_writeparams <= '0'; reg_readparams <= '0'; rsu_resettimer <= '0'; -------------------------------------------------------------------- -------------------------------------------------------------------- --WRITE -------------------------------------------------------------------- -------------------------------------------------------------------- if (we_i = '1') then --write: case adr_i is -------------------------------------------------------------------- when "0000" =>-- Master State machine (RO) -- not WRITE to this address/do nothing ack_o <= '0'; halt_o <= '0'; State <= START; -------------------------------------------------------------------- when "0001" =>-- Force early CONF_DONE check (RW) reg_params <= adr_i(2 downto 0); reg_data_in <= "000000000000000000000" & dat_i(0); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "0010" =>-- Watchdog Timer Value (RW) [Read: 29bits, Write: 12bits] reg_params <= adr_i(2 downto 0); reg_data_in <= "0000000000" & dat_i(11 downto 0); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "0011" =>-- Watchdog Enable (RW) reg_params <= adr_i(2 downto 0); reg_data_in <= "000000000000000000000" & dat_i(0); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "0100" =>-- Boot Address (RW) [Read: 24bits, Write: 22bits] reg_params <= adr_i(2 downto 0); reg_data_in <= dat_i(21 downto 0); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "0101" =>-- NA --reg_params <= adr_i(5 downto 2); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "0110" =>-- Force internal OSC for state machine reg_params <= adr_i(2 downto 0); reg_data_in <= "000000000000000000000" & dat_i(0); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "0111" =>-- Reconfigure trigger condition (RO) 5 bits --reg_params <= adr_i(5 downto 2); reg_writeparams <= '1'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= WRITEPARAMS; -------------------------------------------------------------------- when "1000" =>-- read_source rsu_readsource <= dat_i(1 downto 0); reg_writeparams <= '0'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; ack_o <= '1'; State <= READSOURCE; -------------------------------------------------------------------- when "1001" =>-- reconfig rsu_reconfig <= '1'; reg_writeparams <= '0'; reg_readparams <= '0'; rsu_resettimer <= '0'; ack_o <= '0'; halt_o <= '0'; State <= START; -------------------------------------------------------------------- when "1010" =>-- reset WD timer rsu_reconfig <= '0'; reg_writeparams <= '0'; reg_readparams <= '0'; rsu_resettimer <= '1'; ack_o <= '0'; halt_o <= '0'; State <= START; -------------------------------------------------------------------- when others => --dat_o <= (others => '-'); end case; else --Read case adr_i is -------------------------------------------------------------------- when "0000" =>-- Master State machine (RO) reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- when "0001" =>-- Force early CONF_DONE check (RW) reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- when "0010" =>-- Watchdog Timer Value (RW) [Read: 29bits, Write: 12bits] reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- when "0011" =>-- Watchdog Enable (RW) reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- when "0100" =>-- Boot Address (RW) [Read: 24bits, Write: 22bits] reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- when "0101" =>-- NA --reg_params <= adr_i(5 downto 2); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= START; -------------------------------------------------------------------- when "0110" =>-- Force internal OSC for state machine reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- when "0111" =>-- Reconfigure trigger condition (RO) 5 bits reg_params <= adr_i(2 downto 0); reg_writeparams <= '0'; reg_readparams <= '1'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; State <= READPARAMS; -------------------------------------------------------------------- -- when "1000" =>-- read_source -- dat_o <= "000000000000000000000000000000" & rsu_readsource; -- --read_source <= dat_i(1 downto 0); -- reg_writeparams <= '0'; -- reg_readparams <= '0'; -- rsu_reconfig <= '0'; -- rsu_resettimer <= '0'; -- State <= START; when others => dat_o <= (others => '-'); ack_o <= '0'; halt_o <= '0'; State <= START; end case; end if; -- we_i else -- stb=0 ack_o <= '0'; halt_o <= '0'; State <= START; end if; -- stb - WHEN READSOURCE => reg_writeparams <= '0'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; halt_o <= '0'; ack_o <= '1'; State <= WAIT2; WHEN WRITEPARAMS => reg_writeparams <= '0'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; halt_o <= '1'; ack_o <= '0'; State <= BUSY; WHEN READPARAMS => reg_writeparams <= '0'; reg_readparams <= '0'; rsu_reconfig <= '0'; rsu_resettimer <= '0'; halt_o <= '1'; ack_o <= '0'; State <= BUSY; WHEN BUSY => -- busy reading or writing. halt_o <= '1'; ack_o <= '0'; State <= BUSY2; WHEN BUSY2 => -- busy reading or writing. ack_o <= '0'; IF rsu_busy='1' THEN State <= BUSY2; halt_o <= '1'; ack_o <= '0'; ELSE halt_o <= '0'; ack_o <= '1'; State <= WAIT1; case reg_params is -------------------------------------------------------------------- when "000" =>-- Master State machine (RO) dat_o <= "000000000000000000000000000000" & rsu_dataout(1 downto 0); -------------------------------------------------------------------- when "001" =>-- Force early CONF_DONE check (RW) dat_o <= "0000000000000000000000000000000"& rsu_dataout(0); -------------------------------------------------------------------- when "010" =>-- Watchdog Timer Value (RW) [Read: 29bits, Write: 12bits] dat_o <= "000"&rsu_dataout(28 downto 0); -------------------------------------------------------------------- when "011" =>-- Watchdog Enable (RW) dat_o <= "0000000000000000000000000000000"&rsu_dataout(0); -------------------------------------------------------------------- when "100" =>-- Boot Address (RW) [Read: 24bits, Write: 22bits] dat_o <= "00000000"&rsu_dataout(23 downto 0); -------------------------------------------------------------------- when "101" =>-- NA dat_o <= (others => '-'); -------------------------------------------------------------------- when "110" =>-- Force internal OSC for state machine dat_o <= "0000000000000000000000000000000"&rsu_dataout(0); -------------------------------------------------------------------- when "111" =>-- Reconfigure trigger condition (RO) 5 bits dat_o <= "000000000000000000000000000"&rsu_dataout(4 downto 0); -------------------------------------------------------------------- when others => dat_o <= (others => '-'); end case; END IF; --State <= START; WHEN WAIT1 => halt_o <= '0'; ack_o <= '0'; State <= WAIT2; WHEN WAIT2 => halt_o <= '0'; ack_o <= '0'; State <= START; WHEN others => State <= START; END CASE; END IF; END PROCESS; end Behavioral; --WAIT1
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:33:34 11/21/2012 -- Design Name: -- Module Name: MemoriaDeInstrucciones - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MemoriaDeDatos is --generic( P: integer:=32; -- ancho de palabra 32 bits -- N: integer:=32; -- nº de palabras 32 de 32 --M: integer:= 64; -- grupos de 4 palabras (2^32 / 4)=1073741824 --tam_addr: integer:=5); -- ancho dirección 2^5=32 port( Clock: in std_logic; ADDR, write_addr: in std_logic_vector(4 downto 0); DR : out std_logic_vector(31 downto 0); DW: in std_logic_vector(31 downto 0); R, W: in std_logic; reg0: out std_logic_vector(31 downto 0); reg1: out std_logic_vector(31 downto 0); reg2: out std_logic_vector(31 downto 0); reg3: out std_logic_vector(31 downto 0) ); end MemoriaDeDatos; architecture Behavioral of MemoriaDeDatos is type mem_type is array (0 to 31) of std_logic_vector(31 downto 0); signal tmp_mem: mem_type:=( "00000000000000010000000000100000",--suma "00000000010000110000000000100000", "00000000100001010000000000100000", "00000000110001110000000000100000", "00000001000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100010",--resta "00000000000000010000000000100010", "00000000000000010000000000100010", "00000000000000010000000000100101",--or "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100100",--and "00010000000000000000000000000011",--beq "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000", "00000000000000010000000000100000"); begin --registros reg0 <= tmp_mem(conv_integer(0)); reg1 <= tmp_mem(conv_integer(1)); reg2 <= tmp_mem(conv_integer(2)); reg3 <= tmp_mem(conv_integer(3)); -- Lectura process(Clock) begin if (Clock'event and Clock='1') then if(R = '1') then DR <= tmp_mem(conv_integer(ADDR)); else DR <= (DR' range => 'Z'); end if; end if; end process; -- Escritura process(Clock) begin if (Clock'event and Clock='1') then if(W = '1') then tmp_mem(conv_integer(write_addr))<= DW; end if; end if; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity priority_encoder is generic( encoded_word_size : integer := 2 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end entity priority_encoder; architecture rtl of priority_encoder is signal any_previous : std_logic_vector(2**encoded_word_size-1 downto 0); signal highest_bit_only : std_logic_vector(2**encoded_word_size-1 downto 0); type encoded_sig_type is array(2**encoded_word_size-1 downto 0) of std_logic_vector(encoded_word_size-1 downto 0); signal encoded_sig : encoded_sig_type; begin any_previous(2**encoded_word_size-1) <= input(2**encoded_word_size-1); encoded_sig(2**encoded_word_size-1) <= std_logic_vector(to_unsigned(2**encoded_word_size-1, encoded_word_size)); encode: for i in 2**encoded_word_size-2 downto 0 generate begin any_previous(i) <= input(i) or any_previous(i+1); encoded_sig(i) <= std_logic_vector(to_unsigned(i, encoded_word_size)) when any_previous(i+1) = '0' else encoded_sig(i+1); end generate; output <= encoded_sig(0); end architecture rtl;
-- 1 bit synchronizer based of n flip-flops for clock-domain crossings. -- drive constant `data_in` to use as a reset synchronizer -- -- Original author: Colm Ryan -- -- Copyright (c) 2016 Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; entity synchronizer is generic ( RESET_VALUE : std_logic := '0'; -- reset value of all flip-flops in the chain NUM_FLIP_FLOPS : natural := 2 -- number of flip-flops in the synchronizer chain ); port( rst : in std_logic; -- asynchronous, high-active clk : in std_logic; -- destination clock data_in : in std_logic; data_out : out std_logic ); end synchronizer; architecture arch of synchronizer is --synchronizer chain of flip-flops signal sync_chain : std_logic_vector(NUM_FLIP_FLOPS-1 downto 0) := (others => RESET_VALUE); -- Xilinx XST: disable shift-register LUT (SRL) extraction attribute shreg_extract : string; attribute shreg_extract of sync_chain : signal is "no"; -- Vivado: set ASYNC_REG to specify registers receive asynchronous data -- also acts as DONT_TOUCH attribute ASYNC_REG : string; attribute ASYNC_REG of sync_chain : signal is "TRUE"; begin main : process(clk, rst) begin if rst = '1' then sync_chain <= (others => RESET_VALUE); elsif rising_edge(clk) then sync_chain <= sync_chain(sync_chain'high-1 downto 0) & data_in; end if; end process; data_out <= sync_chain(sync_chain'high); end architecture;
Library IEEE; use IEEE.STD_LOGIC_1164.all; -- this block decode the Non-Return-to-Zero Inverted encoded data on USB bus. ENTITY NRZIdecode is port(clk, rst, data0: IN STD_LOGIC; -- data0 = data+, data1 = data-, clk goes to normal clock enable: IN STD_LOGIC; -- when this line is high (for a clock cycle), the decoder will be updated for the current value on the BUS. Thus this line should only be high after a successful data shift in. EOP: IN STD_LOGIC; -- END of Packet, tell the block to stop itself. data_out: OUT STD_LOGIC -- the decoded data. ); end NRZIdecode; architecture NRZI of NRZIdecode is signal data0_buf0: STD_LOGIC; --signal nxt_data_out: STD_LOGIC; begin seq1: process(clk, rst, data0, enable) begin if rst = '0' then data_out <= '1'; -- PAY attention here! go back to IDLE, not 0 data0_buf0 <= '1'; -- so as the data buffer. elsif (clk'event and clk = '1') then if EOP = '1' then data0_buf0 <= '1'; -- idle high else if data0 = data0_buf0 then data_out <= '1'; -- NRZI decoding by XOR logic on every clock cycle. else data_out <= '0'; -- NRZI decoding by XOR logic on every clock cycle. end if; end if; end if; if enable = '1' then data0_buf0 <= data0; -- update stored value end if; end process; end architecture;
entity func_test3 is generic (NBITS: natural := 6); end entity; architecture fum of func_test3 is type remains is (r0, r1, r2, r3, r4); -- remainder values function mod5 (dividend: bit_vector) return boolean is type remain_array is array (NBITS downto 0) of remains; type branch is array (remains, bit) of remains; constant br_table: branch := ( r0 => ('0' => r0, '1' => r1), r1 => ('0' => r2, '1' => r3), r2 => ('0' => r4, '1' => r0), r3 => ('0' => r1, '1' => r2), r4 => ('0' => r3, '1' => r4) ); variable remaind: remains := r0; variable tbit: bit_vector (NBITS - 1 downto 0) := dividend; begin for i in dividend'length - 1 downto 0 loop remaind := br_table(remaind,tbit(i)); end loop; return remaind = r0; end function; begin assert mod5("101000"); end architecture;
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 25.10.2012 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen EN_BIT_0 : out std_logic; --Ausgangsvariable EN_BIT_1 : out std_logic; --Ausgangsvariable EN_BIT_2 : out std_logic; --Ausgangsvariable EN_BIT_3 : out std_logic; --Ausgangsvariable EN_BIT_4 : out std_logic; --Ausgangsvariable EN_BIT_5 : out std_logic; --Ausgangsvariable EN_BIT_6 : out std_logic; --Ausgangsvariable EN_BIT_7 : out std_logic; --Ausgangsvariable EN_BIT_8 : out std_logic; --Ausgangsvariable BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl ); end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13); --19 signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten constant CNTT01 : std_logic_vector := x"00A2C"; constant CNTT02 : std_logic_vector := x"01E84"; constant CNTT03 : std_logic_vector := x"032DC"; constant CNTT04 : std_logic_vector := x"04735"; constant CNTT05 : std_logic_vector := x"05B8B"; constant CNTT06 : std_logic_vector := x"06FE4"; constant CNTT07 : std_logic_vector := x"08441"; constant CNTT08 : std_logic_vector := x"09872"; constant CNTT09 : std_logic_vector := x"0ACEE"; constant CNTT10 : std_logic_vector := x"0C147"; constant CNTT11 : std_logic_vector := x"0D59F"; constant CNTT12 : std_logic_vector := x"0EE09"; constant CNTT13 : std_logic_vector := x"0FA3E"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; IL_OL_PROC: process (InAB_S, SV) begin case SV is when ST_CTRL_00 => -- VAS00 COUNT <= x"00000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; if (InAB_S = '1') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (n_COUNT >= CNTS30) --156250 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (n_COUNT >= CNTT01) --2604 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; --Error end if; when ST_CTRL_05 => if (ERROR_QUIT = '0') -- Error nicht bestätigt then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Fehlerschleife else --ERROR_QUIT = '1' -- VAS00 n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand end if; when ST_CTRL_06 => if (n_COUNT >= CNTT02) --7812 then -- VAS03 n_COUNT <= COUNT+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (n_COUNT >= CNTT03) --13020 then -- VAS04 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (n_COUNT >= CNTT04) --18229 then -- VAS05 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (n_COUNT >= CNTT05) --23435 then -- VAS06 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (n_COUNT >= CNTT06) --28644 then -- VAS07 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (n_COUNT >= CNTT07) --33854 then -- VAS08 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (n_COUNT >= CNTT08) --39062 then -- VAS09 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (n_COUNT >= CNTT09) --44270 then -- VAS10 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (n_COUNT >= CNTT10) --49479 then -- VAS11 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (n_COUNT >= CNTT11) --54687 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit else --InAB_S = '1' -- VAS12 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (n_COUNT >= CNTT12) --60937 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Zaehlschleife end if; when ST_CTRL_12 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1 end if; when ST_CTRL_13 => if (n_COUNT >= CNTT13) --64062 then -- VAS00 n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2 end if; when others => n_SV <= ST_CTRL_00; end case; end process; STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); end process; end Behavioral;
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 25.10.2012 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen EN_BIT_0 : out std_logic; --Ausgangsvariable EN_BIT_1 : out std_logic; --Ausgangsvariable EN_BIT_2 : out std_logic; --Ausgangsvariable EN_BIT_3 : out std_logic; --Ausgangsvariable EN_BIT_4 : out std_logic; --Ausgangsvariable EN_BIT_5 : out std_logic; --Ausgangsvariable EN_BIT_6 : out std_logic; --Ausgangsvariable EN_BIT_7 : out std_logic; --Ausgangsvariable EN_BIT_8 : out std_logic; --Ausgangsvariable BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl ); end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13); --19 signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten constant CNTT01 : std_logic_vector := x"00A2C"; constant CNTT02 : std_logic_vector := x"01E84"; constant CNTT03 : std_logic_vector := x"032DC"; constant CNTT04 : std_logic_vector := x"04735"; constant CNTT05 : std_logic_vector := x"05B8B"; constant CNTT06 : std_logic_vector := x"06FE4"; constant CNTT07 : std_logic_vector := x"08441"; constant CNTT08 : std_logic_vector := x"09872"; constant CNTT09 : std_logic_vector := x"0ACEE"; constant CNTT10 : std_logic_vector := x"0C147"; constant CNTT11 : std_logic_vector := x"0D59F"; constant CNTT12 : std_logic_vector := x"0EE09"; constant CNTT13 : std_logic_vector := x"0FA3E"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; IL_OL_PROC: process (InAB_S, SV) begin case SV is when ST_CTRL_00 => -- VAS00 COUNT <= x"00000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; if (InAB_S = '1') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (n_COUNT >= CNTS30) --156250 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (n_COUNT >= CNTT01) --2604 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; --Error end if; when ST_CTRL_05 => if (ERROR_QUIT = '0') -- Error nicht bestätigt then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Fehlerschleife else --ERROR_QUIT = '1' -- VAS00 n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand end if; when ST_CTRL_06 => if (n_COUNT >= CNTT02) --7812 then -- VAS03 n_COUNT <= COUNT+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (n_COUNT >= CNTT03) --13020 then -- VAS04 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (n_COUNT >= CNTT04) --18229 then -- VAS05 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (n_COUNT >= CNTT05) --23435 then -- VAS06 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (n_COUNT >= CNTT06) --28644 then -- VAS07 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (n_COUNT >= CNTT07) --33854 then -- VAS08 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (n_COUNT >= CNTT08) --39062 then -- VAS09 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (n_COUNT >= CNTT09) --44270 then -- VAS10 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (n_COUNT >= CNTT10) --49479 then -- VAS11 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (n_COUNT >= CNTT11) --54687 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit else --InAB_S = '1' -- VAS12 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (n_COUNT >= CNTT12) --60937 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Zaehlschleife end if; when ST_CTRL_12 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1 end if; when ST_CTRL_13 => if (n_COUNT >= CNTT13) --64062 then -- VAS00 n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2 end if; when others => n_SV <= ST_CTRL_00; end case; end process; STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); end process; end Behavioral;
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 25.10.2012 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen EN_BIT_0 : out std_logic; --Ausgangsvariable EN_BIT_1 : out std_logic; --Ausgangsvariable EN_BIT_2 : out std_logic; --Ausgangsvariable EN_BIT_3 : out std_logic; --Ausgangsvariable EN_BIT_4 : out std_logic; --Ausgangsvariable EN_BIT_5 : out std_logic; --Ausgangsvariable EN_BIT_6 : out std_logic; --Ausgangsvariable EN_BIT_7 : out std_logic; --Ausgangsvariable EN_BIT_8 : out std_logic; --Ausgangsvariable BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl ); end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13); --19 signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten constant CNTT01 : std_logic_vector := x"00A2C"; constant CNTT02 : std_logic_vector := x"01E84"; constant CNTT03 : std_logic_vector := x"032DC"; constant CNTT04 : std_logic_vector := x"04735"; constant CNTT05 : std_logic_vector := x"05B8B"; constant CNTT06 : std_logic_vector := x"06FE4"; constant CNTT07 : std_logic_vector := x"08441"; constant CNTT08 : std_logic_vector := x"09872"; constant CNTT09 : std_logic_vector := x"0ACEE"; constant CNTT10 : std_logic_vector := x"0C147"; constant CNTT11 : std_logic_vector := x"0D59F"; constant CNTT12 : std_logic_vector := x"0EE09"; constant CNTT13 : std_logic_vector := x"0FA3E"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; IL_OL_PROC: process (InAB_S, SV) begin case SV is when ST_CTRL_00 => -- VAS00 COUNT <= x"00000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; if (InAB_S = '1') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (n_COUNT >= CNTS30) --156250 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (n_COUNT >= CNTT01) --2604 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; --Error end if; when ST_CTRL_05 => if (ERROR_QUIT = '0') -- Error nicht bestätigt then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Fehlerschleife else --ERROR_QUIT = '1' -- VAS00 n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand end if; when ST_CTRL_06 => if (n_COUNT >= CNTT02) --7812 then -- VAS03 n_COUNT <= COUNT+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (n_COUNT >= CNTT03) --13020 then -- VAS04 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (n_COUNT >= CNTT04) --18229 then -- VAS05 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (n_COUNT >= CNTT05) --23435 then -- VAS06 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (n_COUNT >= CNTT06) --28644 then -- VAS07 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (n_COUNT >= CNTT07) --33854 then -- VAS08 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (n_COUNT >= CNTT08) --39062 then -- VAS09 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (n_COUNT >= CNTT09) --44270 then -- VAS10 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (n_COUNT >= CNTT10) --49479 then -- VAS11 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (n_COUNT >= CNTT11) --54687 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit else --InAB_S = '1' -- VAS12 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (n_COUNT >= CNTT12) --60937 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Zaehlschleife end if; when ST_CTRL_12 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1 end if; when ST_CTRL_13 => if (n_COUNT >= CNTT13) --64062 then -- VAS00 n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2 end if; when others => n_SV <= ST_CTRL_00; end case; end process; STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); end process; end Behavioral;
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 25.10.2012 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen EN_BIT_0 : out std_logic; --Ausgangsvariable EN_BIT_1 : out std_logic; --Ausgangsvariable EN_BIT_2 : out std_logic; --Ausgangsvariable EN_BIT_3 : out std_logic; --Ausgangsvariable EN_BIT_4 : out std_logic; --Ausgangsvariable EN_BIT_5 : out std_logic; --Ausgangsvariable EN_BIT_6 : out std_logic; --Ausgangsvariable EN_BIT_7 : out std_logic; --Ausgangsvariable EN_BIT_8 : out std_logic; --Ausgangsvariable BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl ); end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13); --19 signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten constant CNTT01 : std_logic_vector := x"00A2C"; constant CNTT02 : std_logic_vector := x"01E84"; constant CNTT03 : std_logic_vector := x"032DC"; constant CNTT04 : std_logic_vector := x"04735"; constant CNTT05 : std_logic_vector := x"05B8B"; constant CNTT06 : std_logic_vector := x"06FE4"; constant CNTT07 : std_logic_vector := x"08441"; constant CNTT08 : std_logic_vector := x"09872"; constant CNTT09 : std_logic_vector := x"0ACEE"; constant CNTT10 : std_logic_vector := x"0C147"; constant CNTT11 : std_logic_vector := x"0D59F"; constant CNTT12 : std_logic_vector := x"0EE09"; constant CNTT13 : std_logic_vector := x"0FA3E"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; IL_OL_PROC: process (InAB_S, SV) begin case SV is when ST_CTRL_00 => -- VAS00 COUNT <= x"00000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; if (InAB_S = '1') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (n_COUNT >= CNTS30) --156250 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (n_COUNT >= CNTT01) --2604 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; --Error end if; when ST_CTRL_05 => if (ERROR_QUIT = '0') -- Error nicht bestätigt then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Fehlerschleife else --ERROR_QUIT = '1' -- VAS00 n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand end if; when ST_CTRL_06 => if (n_COUNT >= CNTT02) --7812 then -- VAS03 n_COUNT <= COUNT+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (n_COUNT >= CNTT03) --13020 then -- VAS04 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (n_COUNT >= CNTT04) --18229 then -- VAS05 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (n_COUNT >= CNTT05) --23435 then -- VAS06 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (n_COUNT >= CNTT06) --28644 then -- VAS07 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (n_COUNT >= CNTT07) --33854 then -- VAS08 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (n_COUNT >= CNTT08) --39062 then -- VAS09 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (n_COUNT >= CNTT09) --44270 then -- VAS10 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (n_COUNT >= CNTT10) --49479 then -- VAS11 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (n_COUNT >= CNTT11) --54687 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit else --InAB_S = '1' -- VAS12 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (n_COUNT >= CNTT12) --60937 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Zaehlschleife end if; when ST_CTRL_12 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1 end if; when ST_CTRL_13 => if (n_COUNT >= CNTT13) --64062 then -- VAS00 n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2 end if; when others => n_SV <= ST_CTRL_00; end case; end process; STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); end process; end Behavioral;
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 25.10.2012 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen EN_BIT_0 : out std_logic; --Ausgangsvariable EN_BIT_1 : out std_logic; --Ausgangsvariable EN_BIT_2 : out std_logic; --Ausgangsvariable EN_BIT_3 : out std_logic; --Ausgangsvariable EN_BIT_4 : out std_logic; --Ausgangsvariable EN_BIT_5 : out std_logic; --Ausgangsvariable EN_BIT_6 : out std_logic; --Ausgangsvariable EN_BIT_7 : out std_logic; --Ausgangsvariable EN_BIT_8 : out std_logic; --Ausgangsvariable BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl ); end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13); --19 signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten constant CNTT01 : std_logic_vector := x"00A2C"; constant CNTT02 : std_logic_vector := x"01E84"; constant CNTT03 : std_logic_vector := x"032DC"; constant CNTT04 : std_logic_vector := x"04735"; constant CNTT05 : std_logic_vector := x"05B8B"; constant CNTT06 : std_logic_vector := x"06FE4"; constant CNTT07 : std_logic_vector := x"08441"; constant CNTT08 : std_logic_vector := x"09872"; constant CNTT09 : std_logic_vector := x"0ACEE"; constant CNTT10 : std_logic_vector := x"0C147"; constant CNTT11 : std_logic_vector := x"0D59F"; constant CNTT12 : std_logic_vector := x"0EE09"; constant CNTT13 : std_logic_vector := x"0FA3E"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; IL_OL_PROC: process (InAB_S, SV) begin case SV is when ST_CTRL_00 => -- VAS00 COUNT <= x"00000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; if (InAB_S = '1') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (n_COUNT >= CNTS30) --156250 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (n_COUNT >= CNTT01) --2604 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; --Error end if; when ST_CTRL_05 => if (ERROR_QUIT = '0') -- Error nicht bestätigt then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Fehlerschleife else --ERROR_QUIT = '1' -- VAS00 n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand end if; when ST_CTRL_06 => if (n_COUNT >= CNTT02) --7812 then -- VAS03 n_COUNT <= COUNT+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (n_COUNT >= CNTT03) --13020 then -- VAS04 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (n_COUNT >= CNTT04) --18229 then -- VAS05 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (n_COUNT >= CNTT05) --23435 then -- VAS06 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (n_COUNT >= CNTT06) --28644 then -- VAS07 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (n_COUNT >= CNTT07) --33854 then -- VAS08 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (n_COUNT >= CNTT08) --39062 then -- VAS09 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (n_COUNT >= CNTT09) --44270 then -- VAS10 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (n_COUNT >= CNTT10) --49479 then -- VAS11 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (n_COUNT >= CNTT11) --54687 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit else --InAB_S = '1' -- VAS12 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (n_COUNT >= CNTT12) --60937 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Zaehlschleife end if; when ST_CTRL_12 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1 end if; when ST_CTRL_13 => if (n_COUNT >= CNTT13) --64062 then -- VAS00 n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2 end if; when others => n_SV <= ST_CTRL_00; end case; end process; STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); end process; end Behavioral;
-- PROFI_9P6_50MHZ_REC_BYTE -- PROFIBUS MONITOR -- Ersteller: Martin Harndt -- Erstellt: 09.10.2012 -- Bearbeiter: mharndt -- Geaendert: 25.10.2012 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CTRL_9P6_50MHZ_VHDL is Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen EN_BIT_0 : out std_logic; --Ausgangsvariable EN_BIT_1 : out std_logic; --Ausgangsvariable EN_BIT_2 : out std_logic; --Ausgangsvariable EN_BIT_3 : out std_logic; --Ausgangsvariable EN_BIT_4 : out std_logic; --Ausgangsvariable EN_BIT_5 : out std_logic; --Ausgangsvariable EN_BIT_6 : out std_logic; --Ausgangsvariable EN_BIT_7 : out std_logic; --Ausgangsvariable EN_BIT_8 : out std_logic; --Ausgangsvariable BIT_VALUE : out std_logic; --Ausgangsvariable, Bitwert BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig CLK : in std_logic; --Taktvariable CLK_IO : in std_logic; --Tanktvariable, --Ein- und Ausgangsregister IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich RESET : in std_logic; --1: Initialzustand annehmen DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl DISPL2_n_SV : out std_logic_vector (3 downto 0) --Folgezustand Zahl2, binärzahl ); end CTRL_9P6_50MHZ_VHDL; architecture Behavioral of CTRL_9P6_50MHZ_VHDL is type TYPE_STATE is (ST_CTRL_00, --Zustaende ST_CTRL_01, ST_CTRL_02, ST_CTRL_03, ST_CTRL_04, ST_CTRL_05, ST_CTRL_06, ST_CTRL_07, ST_CTRL_08, ST_CTRL_09, ST_CTRL_0A, --10 ST_CTRL_0B, --11 ST_CTRL_0C, --12 ST_CTRL_0D, --13 ST_CTRL_0E, --14 ST_CTRL_0F, --15 ST_CTRL_10, --16 ST_CTRL_11, --17 ST_CTRL_12, --18 ST_CTRL_13); --19 signal SV : TYPE_STATE; --Zustandsvariable signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master signal COUNT : std_logic_vector (19 downto 0); --Zaehler, Vektor, 20 Bit signal n_COUNT : std_logic_vector (19 downto 0); --Zaehler, neuer Wert, Vektor, 20 Bit signal COUNT_M : std_logic_vector (19 downto 0); --Zaehler, Ausgang Master, Vektor, 20 Bit signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär signal InAB_S : std_logic; --Eingangsvariable --Zwischengespeichert im Eingangsregister signal not_CLK : std_logic; --negierte Taktvariable signal not_CLK_IO: std_logic; --negierte Taktvariable --Ein- und Ausgangsregister constant CNTS30 : std_logic_vector := x"2625A"; --Konstanten constant CNTT01 : std_logic_vector := x"00A2C"; constant CNTT02 : std_logic_vector := x"01E84"; constant CNTT03 : std_logic_vector := x"032DC"; constant CNTT04 : std_logic_vector := x"04735"; constant CNTT05 : std_logic_vector := x"05B8B"; constant CNTT06 : std_logic_vector := x"06FE4"; constant CNTT07 : std_logic_vector := x"08441"; constant CNTT08 : std_logic_vector := x"09872"; constant CNTT09 : std_logic_vector := x"0ACEE"; constant CNTT10 : std_logic_vector := x"0C147"; constant CNTT11 : std_logic_vector := x"0D59F"; constant CNTT12 : std_logic_vector := x"0EE09"; constant CNTT13 : std_logic_vector := x"0FA3E"; begin NOT_CLK_PROC: process (CLK) --negieren Taktvariable begin not_CLK <= not CLK; end process; NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible --Ein- und Ausgangsregister begin not_CLK_IO <= not CLK_IO; end process; IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister begin if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister then InAB_S <= InAB; end if; end process; SREG_M_PROC: process (RESET, n_SV, n_COUNT, CLK) --Master begin if (RESET ='1') then SV_M <= ST_CTRL_00; else if (CLK'event and CLK = '1') then if (IN_NEXT_STATE = '1') then SV_M <= n_SV; COUNT_M <= n_COUNT; else SV_M <= SV_M; COUNT_M <= COUNT_M; end if; end if; end if; end process; SREG_S_PROC: process (RESET, SV_M, COUNT_M, not_CLK) --Slave begin if (RESET = '1') then SV <= ST_CTRL_00; else if (not_CLK'event and not_CLK = '1') then SV <= SV_M; COUNT <= COUNT_M; end if; end if; end process; IL_OL_PROC: process (InAB_S, SV) begin case SV is when ST_CTRL_00 => -- VAS00 COUNT <= x"00000"; -- Zaehler nullen EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; if (InAB_S = '1') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; -- Zustandsuebgergang else n_SV <= ST_CTRL_00; --InAB = '0' end if; when ST_CTRL_01 => if (n_COUNT >= CNTS30) --156250 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; -- Zustandsuebgergang else --n_COUNT < CNTS30 --VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_01; --Zaehlschleife end if; when ST_CTRL_02 => if (InAB_S = '0') then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' --VAS01 n_COUNT <= COUNT+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?) EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_02; --warte tsyn30 ab end if; when ST_CTRL_03 => if (n_COUNT >= CNTT01) --2604 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_04; -- Zustandsuebgergang else --n_COUNT < CNTT01 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; --Zaehlschleife end if; when ST_CTRL_04 => if (InAB_S = '0') -- Startbit erkannt then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; -- Zustandsuebgergang else --InAB_S = '1' -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; --Error end if; when ST_CTRL_05 => if (ERROR_QUIT = '0') -- Error nicht bestätigt then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Fehlerschleife else --ERROR_QUIT = '1' -- VAS00 n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand end if; when ST_CTRL_06 => if (n_COUNT >= CNTT02) --7812 then -- VAS03 n_COUNT <= COUNT+1; EN_BIT_0 <= '1'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; -- Zustandsuebgergang else --n_COUNT < CNTT02 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_06; --Zaehlschleife end if; when ST_CTRL_07 => if (n_COUNT >= CNTT03) --13020 then -- VAS04 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '1'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; -- Zustandsuebgergang else --n_COUNT < CNTT03 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_07; --Zaehlschleife end if; when ST_CTRL_08 => if (n_COUNT >= CNTT04) --18229 then -- VAS05 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '1'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; -- Zustandsuebgergang else --n_COUNT < CNTT04 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_08; --Zaehlschleife end if; when ST_CTRL_09 => if (n_COUNT >= CNTT05) --23435 then -- VAS06 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '1'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; -- Zustandsuebgergang else --n_COUNT < CNTT05 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_09; --Zaehlschleife end if; when ST_CTRL_0A => if (n_COUNT >= CNTT06) --28644 then -- VAS07 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '1'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT06 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0A; --Zaehlschleife end if; when ST_CTRL_0B => if (n_COUNT >= CNTT07) --33854 then -- VAS08 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '1'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; -- Zustandsuebgergang else --n_COUNT < CNTT07 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; --Zaehlschleife end if; when ST_CTRL_0C => if (n_COUNT >= CNTT08) --39062 then -- VAS09 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '1'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0B; -- Zustandsuebgergang else --n_COUNT < CNTT08 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0C; --Zaehlschleife end if; when ST_CTRL_0D => if (n_COUNT >= CNTT09) --44270 then -- VAS10 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '1'; EN_BIT_8 <= '0'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; -- Zustandsuebgergang else --n_COUNT < CNTT09 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0D; --Zaehlschleife end if; when ST_CTRL_0E => if (n_COUNT >= CNTT10) --49479 then -- VAS11 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '1'; BIT_VALUE <= InAB_S; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; -- Zustandsuebgergang else --n_COUNT < CNTT10 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0E; --Zaehlschleife end if; when ST_CTRL_0F => if (n_COUNT >= CNTT11) --54687 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_10; -- Zustandsuebgergang else --n_COUNT < CNTT11 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_0F; --Zaehlschleife end if; when ST_CTRL_10 => if (InAB_S = '0') then -- VAS02 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '1'; n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit else --InAB_S = '1' -- VAS12 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '1'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Stoppbit erkannt end if; when ST_CTRL_11 => if (n_COUNT >= CNTT12) --60937 then -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; -- Zustandsuebgergang else -- n_COUNT < CNTT12 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_11; --Zaehlschleife end if; when ST_CTRL_12 => if (InAB_S = '0') -- Startbit gefunden then -- VAS00 COUNT <= x"00000"; -- Zaehler Neustart EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_03; -- Zustandsuebgergang else -- InAB_S = '1' -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_13; --Zaehlschleife Teil 1 end if; when ST_CTRL_13 => if (n_COUNT >= CNTT13) --64062 then -- VAS00 n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?) else -- n_COUNT < CNTT13 -- VAS01 n_COUNT <= COUNT+1; EN_BIT_0 <= '0'; EN_BIT_1 <= '0'; EN_BIT_2 <= '0'; EN_BIT_3 <= '0'; EN_BIT_4 <= '0'; EN_BIT_5 <= '0'; EN_BIT_6 <= '0'; EN_BIT_7 <= '0'; EN_BIT_8 <= '0'; BIT_VALUE <= '0'; BYTE_OK <= '0'; CTRL_ERROR <= '0'; n_SV <= ST_CTRL_12; --Zaehlschleife Teil 2 end if; when others => n_SV <= ST_CTRL_00; end case; end process; STATE_DISPL_PROC: process (SV, n_SV) -- Zustandsanzeige begin LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8); DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0 DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1 DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2 DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3 DISPL2_SV(0) <= LONG_STATE_SV(4); --usw. DISPL2_SV(1) <= LONG_STATE_SV(5); DISPL2_SV(2) <= LONG_STATE_SV(6); DISPL2_SV(3) <= LONG_STATE_SV(7); DISPL1_n_SV(0) <= LONG_STATE_n_SV(0); DISPL1_n_SV(1) <= LONG_STATE_n_SV(1); DISPL1_n_SV(2) <= LONG_STATE_n_SV(2); DISPL1_n_SV(3) <= LONG_STATE_n_SV(3); DISPL2_n_SV(0) <= LONG_STATE_n_SV(4); DISPL2_n_SV(1) <= LONG_STATE_n_SV(5); DISPL2_n_SV(2) <= LONG_STATE_n_SV(6); DISPL2_n_SV(3) <= LONG_STATE_n_SV(7); end process; end Behavioral;
--======================================================================== -- mytypes.vhd :: Nova global type definitions -- -- (c) Scott L. Baker, Sierra Circuit Design --======================================================================== library IEEE; use IEEE.std_logic_1164.ALL; package my_types IS --======================================= -- opcode formats --======================================= type OP_FORMAT_TYPE is ( ALU_FORMAT, MEM_FORMAT, LDA_FORMAT, STA_FORMAT, IOU_FORMAT, EXT_FORMAT, UII_FORMAT ); --======================================= -- Addressing modes --======================================= type ADDR_MODE_TYPE is ( ADM_OK, ADM_EA ); --======================================= -- ALU operations --======================================= type ALU_OP_TYPE is ( COM, -- complement NEG, -- negate INC, -- increment DEC, -- decrement ADC, -- add complement SUB, -- subtract ADD, -- add ANA, -- logical and TA -- transfer A ); --======================================= -- Shifter control --======================================= type SHIFT_CTL_TYPE is ( NOP, -- no shift LEFT, -- shift left RIGHT, -- shift right SWAP -- swap hi and lo bytes ); --======================================= -- Carry control --======================================= type CARRY_CTL_TYPE is ( NOP, -- no change CLEAR, -- clear carry SET, -- set carry INVERT -- invert carry ); --======================================= -- Skip control --======================================= type SKIP_CTL_TYPE is ( NOP, -- no skip SKP, -- skip SKC, -- skip if carry zero SNC, -- skip if carry non-zero SZR, -- skip if result zero SNR, -- skip if result non-zero SEZ, -- skip if either zero SBN, -- skip if both non-zero SKPBN, -- skip if busy is set SKPBZ, -- skip if busy is zero SKPDN, -- skip if done is set SKPDZ, -- skip if done is zero SKPIE, -- skip if Int enabled SKPID, -- skip if Int disabled SKPPF, -- skip if power failed SKPPO -- skip if power OK ); --======================================= -- Program flow-control functions --======================================= type FLOW_CTL_TYPE is ( JMP, -- jump to address JSR, -- jump to subroutine ISZ, -- incr and skip if zero DSZ -- decr and skip if zero ); --======================================= -- address index control --======================================= type IDX_CTL_TYPE is ( ZPG, -- page zero REL, -- PC relative IDX2, -- index reg 2 IDX3 -- index reg 3 ); --======================================= -- address index control --======================================= type XFER_CTL_TYPE is ( NOP, -- no I/O transfer DIA, -- data in from buffer A DOA, -- data out to buffer A DIB, -- data in from buffer B DOB, -- data out to buffer B DIC, -- data in from buffer C DOC, -- data out to buffer C SKP -- skip on condition ); --======================================= -- I/O Unit control --======================================= type IOU_CTL_TYPE is ( NOP, -- no operation SBCD, -- set busy; clear done CBCD, -- clear busy and done PULSE -- issue a pulse ); --======================================= -- Extended opcodes --======================================= type EXT_OP_TYPE is ( MUL, -- unsigned multiply DIV, -- unsigned divide MULS, -- signed multiply DIVS, -- signed divide SAV, -- save registers to stack RET, -- return from subroutine PSHA, -- push accumulator POPA, -- push accumulator MTSP, -- move to stack pointer MFSP, -- move from stack pointer MTFP, -- move to frame pointer MFFP, -- move from frame pointer LDB, -- load byte STB, -- store byte NOP -- no operation ); --======================================= -- Address adder operations --======================================= type SX_OP_TYPE is ( REL, -- Relative INC2, -- Increment by 2 INC1, -- Increment by 1 DEC1 -- Decrement by 1 ); END my_types;
-- NEED RESULT: ARCH00094.P1: Multi transport transactions occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00094.P2: Multi transport transactions occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094.P3: Multi transport transactions occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: One transport transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00094: Old transactions were removed on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00094: One transport transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: Old transactions were removed on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: One transport transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: Old transactions were removed on signal asg with selected name on LHS failed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00094 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00094(ARCH00094) -- ENT00094_Test_Bench(ARCH00094_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00094 is port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_st_rec1 : inout st_rec1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec1 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec1.f2 <= transport c_st_rec1_2.f2 after 10 ns, c_st_rec1_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00094.P1" , "Multi transport transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= transport c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1.f2 <= transport c_st_rec1_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00094" , "One transport transaction occurred on signal " & "asg with selected name on LHS", correct ) ; test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- procedure Proc2 ( signal s_st_rec2 : inout st_rec2 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec2 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec2.f2 <= transport c_st_rec2_2.f2 after 10 ns, c_st_rec2_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00094.P2" , "Multi transport transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= transport c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2.f2 <= transport c_st_rec2_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00094" , "One transport transaction occurred on signal " & "asg with selected name on LHS", correct ) ; test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc2 ; -- procedure Proc3 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns, c_st_rec3_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00094.P3" , "Multi transport transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f2 <= transport c_st_rec3_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00094" , "One transport transaction occurred on signal " & "asg with selected name on LHS", correct ) ; test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc3 ; -- -- end ENT00094 ; -- architecture ARCH00094 of ENT00094 is begin PGEN_CHKP_1 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_rec1 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_rec1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_rec1, counter, correct, savtime, chk_st_rec1 ) ; end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_rec2 = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_rec2 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc2 ( s_st_rec2, counter, correct, savtime, chk_st_rec2 ) ; end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_rec3 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc3 ( s_st_rec3, counter, correct, savtime, chk_st_rec3 ) ; end process P3 ; -- -- end ARCH00094 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00094_Test_Bench is signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00094_Test_Bench ; -- architecture ARCH00094_Test_Bench of ENT00094_Test_Bench is begin L1: block component UUT port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00094 ( ARCH00094 ) ; begin CIS1 : UUT port map ( s_st_rec1 , s_st_rec2 , s_st_rec3 ) ; end block L1 ; end ARCH00094_Test_Bench ;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Tolga Sel -- -- Create Date: 12:48:57 11/02/2015 -- Design Name: -- Module Name: /home/ga69kaw/vhdl_system_design_lab/workspace/Exercise1/direct_implementation/tb_xorop.vhd -- Project Name: direct_implementation -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: xorop -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY tb_xorop IS END tb_xorop; ARCHITECTURE behavior OF tb_xorop IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT xorop PORT( A : IN std_logic_vector(15 downto 0); B : IN std_logic_vector(15 downto 0); O : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal A : std_logic_vector(15 downto 0) := (others => '0'); signal B : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal O : std_logic_vector(15 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name -- constant <clock>_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: xorop PORT MAP ( A => A, B => B, O => O ); A <= "0000000000000000", "1111111000111111" after 300ns; B <= "0111011101110111", "0000000000000000" after 300ns; end behavior; -- -- Clock process definitions -- <clock>_process :process -- begin -- <clock> <= '0'; -- wait for <clock>_period/2; -- <clock> <= '1'; -- wait for <clock>_period/2; -- end process; -- -- -- -- Stimulus process -- stim_proc: process -- begin -- -- hold reset state for 100 ns. -- wait for 100 ns; -- -- wait for <clock>_period*10; -- -- -- insert stimulus here -- -- wait; -- end process; --END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Tolga Sel -- -- Create Date: 12:48:57 11/02/2015 -- Design Name: -- Module Name: /home/ga69kaw/vhdl_system_design_lab/workspace/Exercise1/direct_implementation/tb_xorop.vhd -- Project Name: direct_implementation -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: xorop -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY tb_xorop IS END tb_xorop; ARCHITECTURE behavior OF tb_xorop IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT xorop PORT( A : IN std_logic_vector(15 downto 0); B : IN std_logic_vector(15 downto 0); O : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal A : std_logic_vector(15 downto 0) := (others => '0'); signal B : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal O : std_logic_vector(15 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name -- constant <clock>_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: xorop PORT MAP ( A => A, B => B, O => O ); A <= "0000000000000000", "1111111000111111" after 300ns; B <= "0111011101110111", "0000000000000000" after 300ns; end behavior; -- -- Clock process definitions -- <clock>_process :process -- begin -- <clock> <= '0'; -- wait for <clock>_period/2; -- <clock> <= '1'; -- wait for <clock>_period/2; -- end process; -- -- -- -- Stimulus process -- stim_proc: process -- begin -- -- hold reset state for 100 ns. -- wait for 100 ns; -- -- wait for <clock>_period*10; -- -- -- insert stimulus here -- -- wait; -- end process; --END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Tolga Sel -- -- Create Date: 12:48:57 11/02/2015 -- Design Name: -- Module Name: /home/ga69kaw/vhdl_system_design_lab/workspace/Exercise1/direct_implementation/tb_xorop.vhd -- Project Name: direct_implementation -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: xorop -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY tb_xorop IS END tb_xorop; ARCHITECTURE behavior OF tb_xorop IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT xorop PORT( A : IN std_logic_vector(15 downto 0); B : IN std_logic_vector(15 downto 0); O : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal A : std_logic_vector(15 downto 0) := (others => '0'); signal B : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal O : std_logic_vector(15 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name -- constant <clock>_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: xorop PORT MAP ( A => A, B => B, O => O ); A <= "0000000000000000", "1111111000111111" after 300ns; B <= "0111011101110111", "0000000000000000" after 300ns; end behavior; -- -- Clock process definitions -- <clock>_process :process -- begin -- <clock> <= '0'; -- wait for <clock>_period/2; -- <clock> <= '1'; -- wait for <clock>_period/2; -- end process; -- -- -- -- Stimulus process -- stim_proc: process -- begin -- -- hold reset state for 100 ns. -- wait for 100 ns; -- -- wait for <clock>_period*10; -- -- -- insert stimulus here -- -- wait; -- end process; --END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Tolga Sel -- -- Create Date: 12:48:57 11/02/2015 -- Design Name: -- Module Name: /home/ga69kaw/vhdl_system_design_lab/workspace/Exercise1/direct_implementation/tb_xorop.vhd -- Project Name: direct_implementation -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: xorop -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY tb_xorop IS END tb_xorop; ARCHITECTURE behavior OF tb_xorop IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT xorop PORT( A : IN std_logic_vector(15 downto 0); B : IN std_logic_vector(15 downto 0); O : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal A : std_logic_vector(15 downto 0) := (others => '0'); signal B : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal O : std_logic_vector(15 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name -- constant <clock>_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: xorop PORT MAP ( A => A, B => B, O => O ); A <= "0000000000000000", "1111111000111111" after 300ns; B <= "0111011101110111", "0000000000000000" after 300ns; end behavior; -- -- Clock process definitions -- <clock>_process :process -- begin -- <clock> <= '0'; -- wait for <clock>_period/2; -- <clock> <= '1'; -- wait for <clock>_period/2; -- end process; -- -- -- -- Stimulus process -- stim_proc: process -- begin -- -- hold reset state for 100 ns. -- wait for 100 ns; -- -- wait for <clock>_period*10; -- -- -- insert stimulus here -- -- wait; -- end process; --END;
-- $Id: sys_conf_ba3_msim.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2018- by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Package Name: sys_conf_ba4_msim -- Description: Definitions for tb_sramif2migui_core (bawidth=3;btyp=msim) -- -- Dependencies: - -- Tool versions: viv 2017.2; ghdl 0.34 -- Revision History: -- Date Rev Version Comment -- 2018-11-16 1069 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; package sys_conf is -- define constants -------------------------------------------------------- constant c_btyp_msim : string := "MSIM"; constant c_btyp_bram : string := "BRAM"; -- configure --------------------------------------------------------------- constant sys_conf_mawidth : positive := 28; constant sys_conf_bawidth : positive := 3; -- 64 bit data path constant sys_conf_sawidth : positive := 19; -- msim memory size constant sys_conf_rawidth : positive := 19; -- bram memory size constant sys_conf_rdelay : positive := 1; -- bram read delay constant sys_conf_btyp : string := c_btyp_msim; end package sys_conf;
------------------------------------------------------------------------------- -- -- $Id$ -- -- This program is free software; you can redistribute it and/or -- modify it under the terms of the GNU General Public License -- as published by the Free Software Foundation; either version 2 -- of the License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA -- 02111-1307, USA. -- -- Written by Michael Walle <[email protected]>, 2010. -- Based on fjmem_config_pack_spartan3-p.vhd by Arnim Laeuger. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package fjmem_config_pack is ----------------------------------------------------------------------------- -- Specify the active levels of trst_i, shift_i and res_i -- constant trst_act_level_c : std_logic := '1'; constant shift_act_level_c : std_logic := '1'; constant res_act_level_c : std_logic := '1'; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Adapt the number of used blocks and the number of bits that are -- required for the block field (2 ** num_block_field_c >= num_blocks_c) -- -- number of used blocks constant num_blocks_c : natural := 2; -- number of bits for block field constant num_block_field_c : natural := 1; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Don't change the array type -- type block_desc_t is record addr_width : natural; data_width : natural; end record; type block_array_t is array (natural range 0 to num_blocks_c-1) of block_desc_t; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Fill in the array for all your used blocks -- constant blocks_c : block_array_t := ((addr_width => 24, -- block #0, FLASH data_width => 16), (addr_width => 8, -- block #1, dummy data_width => 8) ); -- -- And specify the maximum address and data width -- constant max_addr_width_c : natural := 24; constant max_data_width_c : natural := 16; -- ----------------------------------------------------------------------------- end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Wed Oct 18 11:23:39 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ srio_gen2_0_stub.vhdl -- Design : srio_gen2_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( sys_clkp : in STD_LOGIC; sys_clkn : in STD_LOGIC; sys_rst : in STD_LOGIC; log_clk_out : out STD_LOGIC; phy_clk_out : out STD_LOGIC; gt_clk_out : out STD_LOGIC; gt_pcs_clk_out : out STD_LOGIC; drpclk_out : out STD_LOGIC; refclk_out : out STD_LOGIC; clk_lock_out : out STD_LOGIC; cfg_rst_out : out STD_LOGIC; log_rst_out : out STD_LOGIC; buf_rst_out : out STD_LOGIC; phy_rst_out : out STD_LOGIC; gt_pcs_rst_out : out STD_LOGIC; gt0_qpll_clk_out : out STD_LOGIC; gt0_qpll_out_refclk_out : out STD_LOGIC; srio_rxn0 : in STD_LOGIC; srio_rxp0 : in STD_LOGIC; srio_rxn1 : in STD_LOGIC; srio_rxp1 : in STD_LOGIC; srio_txn0 : out STD_LOGIC; srio_txp0 : out STD_LOGIC; srio_txn1 : out STD_LOGIC; srio_txp1 : out STD_LOGIC; s_axis_iotx_tvalid : in STD_LOGIC; s_axis_iotx_tready : out STD_LOGIC; s_axis_iotx_tlast : in STD_LOGIC; s_axis_iotx_tdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axis_iotx_tkeep : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_iotx_tuser : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axis_iorx_tvalid : out STD_LOGIC; m_axis_iorx_tready : in STD_LOGIC; m_axis_iorx_tlast : out STD_LOGIC; m_axis_iorx_tdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axis_iorx_tkeep : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_iorx_tuser : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_maintr_rst : in STD_LOGIC; s_axi_maintr_awvalid : in STD_LOGIC; s_axi_maintr_awready : out STD_LOGIC; s_axi_maintr_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_maintr_wvalid : in STD_LOGIC; s_axi_maintr_wready : out STD_LOGIC; s_axi_maintr_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_maintr_bvalid : out STD_LOGIC; s_axi_maintr_bready : in STD_LOGIC; s_axi_maintr_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_maintr_arvalid : in STD_LOGIC; s_axi_maintr_arready : out STD_LOGIC; s_axi_maintr_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_maintr_rvalid : out STD_LOGIC; s_axi_maintr_rready : in STD_LOGIC; s_axi_maintr_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_maintr_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); sim_train_en : in STD_LOGIC; force_reinit : in STD_LOGIC; phy_mce : in STD_LOGIC; phy_link_reset : in STD_LOGIC; phy_rcvd_mce : out STD_LOGIC; phy_rcvd_link_reset : out STD_LOGIC; phy_debug : out STD_LOGIC_VECTOR ( 223 downto 0 ); gtrx_disperr_or : out STD_LOGIC; gtrx_notintable_or : out STD_LOGIC; port_error : out STD_LOGIC; port_timeout : out STD_LOGIC_VECTOR ( 23 downto 0 ); srio_host : out STD_LOGIC; port_decode_error : out STD_LOGIC; deviceid : out STD_LOGIC_VECTOR ( 15 downto 0 ); idle2_selected : out STD_LOGIC; phy_lcl_master_enable_out : out STD_LOGIC; buf_lcl_response_only_out : out STD_LOGIC; buf_lcl_tx_flow_control_out : out STD_LOGIC; buf_lcl_phy_buf_stat_out : out STD_LOGIC_VECTOR ( 5 downto 0 ); phy_lcl_phy_next_fm_out : out STD_LOGIC_VECTOR ( 5 downto 0 ); phy_lcl_phy_last_ack_out : out STD_LOGIC_VECTOR ( 5 downto 0 ); phy_lcl_phy_rewind_out : out STD_LOGIC; phy_lcl_phy_rcvd_buf_stat_out : out STD_LOGIC_VECTOR ( 5 downto 0 ); phy_lcl_maint_only_out : out STD_LOGIC; port_initialized : out STD_LOGIC; link_initialized : out STD_LOGIC; idle_selected : out STD_LOGIC; mode_1x : out STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "sys_clkp,sys_clkn,sys_rst,log_clk_out,phy_clk_out,gt_clk_out,gt_pcs_clk_out,drpclk_out,refclk_out,clk_lock_out,cfg_rst_out,log_rst_out,buf_rst_out,phy_rst_out,gt_pcs_rst_out,gt0_qpll_clk_out,gt0_qpll_out_refclk_out,srio_rxn0,srio_rxp0,srio_rxn1,srio_rxp1,srio_txn0,srio_txp0,srio_txn1,srio_txp1,s_axis_iotx_tvalid,s_axis_iotx_tready,s_axis_iotx_tlast,s_axis_iotx_tdata[63:0],s_axis_iotx_tkeep[7:0],s_axis_iotx_tuser[31:0],m_axis_iorx_tvalid,m_axis_iorx_tready,m_axis_iorx_tlast,m_axis_iorx_tdata[63:0],m_axis_iorx_tkeep[7:0],m_axis_iorx_tuser[31:0],s_axi_maintr_rst,s_axi_maintr_awvalid,s_axi_maintr_awready,s_axi_maintr_awaddr[31:0],s_axi_maintr_wvalid,s_axi_maintr_wready,s_axi_maintr_wdata[31:0],s_axi_maintr_bvalid,s_axi_maintr_bready,s_axi_maintr_bresp[1:0],s_axi_maintr_arvalid,s_axi_maintr_arready,s_axi_maintr_araddr[31:0],s_axi_maintr_rvalid,s_axi_maintr_rready,s_axi_maintr_rdata[31:0],s_axi_maintr_rresp[1:0],sim_train_en,force_reinit,phy_mce,phy_link_reset,phy_rcvd_mce,phy_rcvd_link_reset,phy_debug[223:0],gtrx_disperr_or,gtrx_notintable_or,port_error,port_timeout[23:0],srio_host,port_decode_error,deviceid[15:0],idle2_selected,phy_lcl_master_enable_out,buf_lcl_response_only_out,buf_lcl_tx_flow_control_out,buf_lcl_phy_buf_stat_out[5:0],phy_lcl_phy_next_fm_out[5:0],phy_lcl_phy_last_ack_out[5:0],phy_lcl_phy_rewind_out,phy_lcl_phy_rcvd_buf_stat_out[5:0],phy_lcl_maint_only_out,port_initialized,link_initialized,idle_selected,mode_1x"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "srio_gen2_v4_0_5,Vivado 2015.1.0"; begin end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1038.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c06s04b00x00p02n01i01038pkg is type THREE is range 1 to 3; type A2 is array (THREE, THREE) of BOOLEAN; function Af2 (g : integer) return A2; end c06s04b00x00p02n01i01038pkg; package body c06s04b00x00p02n01i01038pkg is function Af2 (g : integer) return A2 is variable vaf1 : A2; begin return Vaf1; end Af2; end c06s04b00x00p02n01i01038pkg; use work.c06s04b00x00p02n01i01038pkg.all; ENTITY c06s04b00x00p02n01i01038ent IS generic (g : integer := 2); port (PT: BOOLEAN) ; attribute AT2 : A2; attribute AT2 of PT : signal is Af2(g) ; END c06s04b00x00p02n01i01038ent; ARCHITECTURE c06s04b00x00p02n01i01038arch OF c06s04b00x00p02n01i01038ent IS BEGIN TESTING: PROCESS variable V: BOOLEAN; BEGIN V := PT'AT2(2, 3); assert NOT(V=false) report "***PASSED TEST: c06s04b00x00p02n01i01038" severity NOTE; assert (V=false) report "***FAILED TEST: c06s04b00x00p02n01i01038 - Indexed name be an attribute name test failed." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p02n01i01038arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1038.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c06s04b00x00p02n01i01038pkg is type THREE is range 1 to 3; type A2 is array (THREE, THREE) of BOOLEAN; function Af2 (g : integer) return A2; end c06s04b00x00p02n01i01038pkg; package body c06s04b00x00p02n01i01038pkg is function Af2 (g : integer) return A2 is variable vaf1 : A2; begin return Vaf1; end Af2; end c06s04b00x00p02n01i01038pkg; use work.c06s04b00x00p02n01i01038pkg.all; ENTITY c06s04b00x00p02n01i01038ent IS generic (g : integer := 2); port (PT: BOOLEAN) ; attribute AT2 : A2; attribute AT2 of PT : signal is Af2(g) ; END c06s04b00x00p02n01i01038ent; ARCHITECTURE c06s04b00x00p02n01i01038arch OF c06s04b00x00p02n01i01038ent IS BEGIN TESTING: PROCESS variable V: BOOLEAN; BEGIN V := PT'AT2(2, 3); assert NOT(V=false) report "***PASSED TEST: c06s04b00x00p02n01i01038" severity NOTE; assert (V=false) report "***FAILED TEST: c06s04b00x00p02n01i01038 - Indexed name be an attribute name test failed." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p02n01i01038arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1038.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c06s04b00x00p02n01i01038pkg is type THREE is range 1 to 3; type A2 is array (THREE, THREE) of BOOLEAN; function Af2 (g : integer) return A2; end c06s04b00x00p02n01i01038pkg; package body c06s04b00x00p02n01i01038pkg is function Af2 (g : integer) return A2 is variable vaf1 : A2; begin return Vaf1; end Af2; end c06s04b00x00p02n01i01038pkg; use work.c06s04b00x00p02n01i01038pkg.all; ENTITY c06s04b00x00p02n01i01038ent IS generic (g : integer := 2); port (PT: BOOLEAN) ; attribute AT2 : A2; attribute AT2 of PT : signal is Af2(g) ; END c06s04b00x00p02n01i01038ent; ARCHITECTURE c06s04b00x00p02n01i01038arch OF c06s04b00x00p02n01i01038ent IS BEGIN TESTING: PROCESS variable V: BOOLEAN; BEGIN V := PT'AT2(2, 3); assert NOT(V=false) report "***PASSED TEST: c06s04b00x00p02n01i01038" severity NOTE; assert (V=false) report "***FAILED TEST: c06s04b00x00p02n01i01038 - Indexed name be an attribute name test failed." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p02n01i01038arch;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 27 15:47:58 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/ZyboIP/examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_clk_wiz_0_0/system_clk_wiz_0_0_sim_netlist.vhdl -- Design : system_clk_wiz_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz is port ( clk_out1 : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz : entity is "system_clk_wiz_0_0_clk_wiz"; end system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz; architecture STRUCTURE of system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz is signal clk_in1_system_clk_wiz_0_0 : STD_LOGIC; signal clk_out1_system_clk_wiz_0_0 : STD_LOGIC; signal clkfbout_buf_system_clk_wiz_0_0 : STD_LOGIC; signal clkfbout_system_clk_wiz_0_0 : STD_LOGIC; signal reset_high : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_system_clk_wiz_0_0, O => clkfbout_buf_system_clk_wiz_0_0 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_system_clk_wiz_0_0 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_out1_system_clk_wiz_0_0, O => clk_out1 ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 36.500000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 8.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 36.500000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 5, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_system_clk_wiz_0_0, CLKFBOUT => clkfbout_system_clk_wiz_0_0, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_system_clk_wiz_0_0, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_out1_system_clk_wiz_0_0, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => reset_high ); mmcm_adv_inst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => resetn, O => reset_high ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_clk_wiz_0_0 is port ( clk_out1 : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_clk_wiz_0_0 : entity is true; end system_clk_wiz_0_0; architecture STRUCTURE of system_clk_wiz_0_0 is begin inst: entity work.system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz port map ( clk_in1 => clk_in1, clk_out1 => clk_out1, locked => locked, resetn => resetn ); end STRUCTURE;
entity snum04 is port (ok : out boolean); end snum04; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture behav of snum04 is -- add uns nat constant a1 : unsigned (7 downto 0) := x"1d"; constant b1 : unsigned (3 downto 0) := x"5"; constant r1 : unsigned (11 downto 0) := a1 * b1; signal er1 : unsigned (11 downto 0) := x"091"; begin -- ok <= r1 = x"20"; ok <= r1 = er1; end behav;
entity sub is generic ( W : natural ); port ( o : out bit_vector(1 to 2); p : in bit_vector(1 to W) ); end entity; architecture test of sub is begin end architecture; ------------------------------------------------------------------------------- entity directmap3 is end entity; architecture test of directmap3 is constant K : natural := 4; signal o1, o2 : bit; signal p : bit_vector(1 to 3); begin u: entity work.sub generic map ( K - 1 ) port map ( o(1) => o1, o(2) => o2, p => p ); end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; USE work.fLink_definitions.ALL; entity loopbackDevice_v1_0_S00_AXI is generic ( -- Users to add parameters here unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- User parameters ends -- Do not modify the parameters beyond this line -- Width of ID for for write address, write data, read address and read data C_S_AXI_ID_WIDTH : integer := 1; -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 12 ); port ( -- Users to add ports here -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write Address ID S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Write address S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Burst length. The burst length gives the exact number of transfers in a burst S_AXI_AWLEN : in std_logic_vector(7 downto 0); -- Burst size. This signal indicates the size of each transfer in the burst S_AXI_AWSIZE : in std_logic_vector(2 downto 0); -- Burst type. The burst type and the size information, -- determine how the address for each transfer within the burst is calculated. S_AXI_AWBURST : in std_logic_vector(1 downto 0); -- Write address valid. This signal indicates that -- the channel is signaling valid write address and -- control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that -- the slave is ready to accept an address and associated -- control signals. S_AXI_AWREADY : out std_logic; -- Write Data S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte -- lanes hold valid data. There is one write strobe -- bit for each eight bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write last. This signal indicates the last transfer -- in a write burst. S_AXI_WLAST : in std_logic; -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Response ID tag. This signal is the ID tag of the -- write response. S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response valid. This signal indicates that the -- channel is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address ID. This signal is the identification -- tag for the read address group of signals. S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Read address. This signal indicates the initial -- address of a read burst transaction. S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Burst length. The burst length gives the exact number of transfers in a burst S_AXI_ARLEN : in std_logic_vector(7 downto 0); -- Burst size. This signal indicates the size of each transfer in the burst S_AXI_ARSIZE : in std_logic_vector(2 downto 0); -- Burst type. The burst type and the size information, -- determine how the address for each transfer within the burst is calculated. S_AXI_ARBURST : in std_logic_vector(1 downto 0); -- Quality of Service, QoS identifier sent for each -- read transaction. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that -- the slave is ready to accept an address and associated -- control signals. S_AXI_ARREADY : out std_logic; -- Read ID tag. This signal is the identification tag -- for the read data group of signals generated by the slave. S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Read Data S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of -- the read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read last. This signal indicates the last transfer -- in a read burst. S_AXI_RLAST : out std_logic; -- Read valid. This signal indicates that the channel -- is signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end loopbackDevice_v1_0_S00_AXI; architecture arch_imp of loopbackDevice_v1_0_S00_AXI is -- AXI4FULL signals signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rlast : std_logic; signal axi_rvalid : std_logic; -- aw_wrap_en determines wrap boundary and enables wrapping signal aw_wrap_en : std_logic; -- ar_wrap_en determines wrap boundary and enables wrapping signal ar_wrap_en : std_logic; -- aw_wrap_size is the size of the write transfer, the -- write address wraps to a lower address if upper address -- limit is reached signal aw_wrap_size : integer; -- ar_wrap_size is the size of the read transfer, the -- read address wraps to a lower address if upper address -- limit is reached signal ar_wrap_size : integer; -- The axi_awv_awr_flag flag marks the presence of write address valid signal axi_awv_awr_flag : std_logic; --The axi_arv_arr_flag flag marks the presence of read address valid signal axi_arv_arr_flag : std_logic; -- The axi_awlen_cntr internal write address counter to keep track of beats in a burst transaction signal axi_awlen_cntr : std_logic_vector(7 downto 0); --The axi_arlen_cntr internal read address counter to keep track of beats in a burst transaction signal axi_arlen_cntr : std_logic_vector(7 downto 0); signal axi_arburst : std_logic_vector(2-1 downto 0); signal axi_awburst : std_logic_vector(2-1 downto 0); signal axi_arlen : std_logic_vector(8-1 downto 0); signal axi_awlen : std_logic_vector(8-1 downto 0); --local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH --ADDR_LSB is used for addressing 32/64 bit registers/memories --ADDR_LSB = 2 for 32 bits (n downto 2) --ADDR_LSB = 3 for 42 bits (n downto 3) constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 3; constant USER_NUM_MEM: integer := 1; constant low : std_logic_vector (C_S_AXI_ADDR_WIDTH - 1 downto 0) := (OTHERS => '0'); CONSTANT c_usig_typdef_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address*4,C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_mem_size_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address*4,C_S_AXI_ADDR_WIDTH)); CONSTANT c_number_of_channels_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address*4,C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_unique_id_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address*4,C_S_AXI_ADDR_WIDTH)); CONSTANT c_usig_register_address : STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 DOWNTO 0) := STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_std_registers*4,C_S_AXI_ADDR_WIDTH)); CONSTANT id : STD_LOGIC_VECTOR(15 DOWNTO 0) := x"0013"; CONSTANT subtype_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS=>'0'); CONSTANT interface_version : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS=>'0'); CONSTANT number_of_channels : INTEGER range 0 to 100 := 8; TYPE t_mem_reg IS ARRAY(number_of_channels-1 downto 0) OF STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); CONSTANT REG_RESET : t_mem_reg := ((OTHERS=> (OTHERS=>'0'))); SIGNAL mem_reg : t_mem_reg := REG_RESET; SIGNAL mem_reg_next : t_mem_reg := REG_RESET; ------------------------------------------------ ---- Signals for user logic memory space example -------------------------------------------------- signal mem_address : std_logic_vector(OPT_MEM_ADDR_BITS downto 0); signal mem_select : std_logic_vector(USER_NUM_MEM-1 downto 0); type word_array is array (0 to USER_NUM_MEM-1) of std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal mem_data_out : word_array; signal i : integer; signal j : integer; signal mem_byte_index : integer; type BYTE_RAM_TYPE is array (0 to 15) of std_logic_vector(7 downto 0); begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= (OTHERS => '0'); S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= (OTHERS => '0'); S_AXI_RLAST <= axi_rlast; S_AXI_RVALID <= axi_rvalid; S_AXI_BID <= S_AXI_AWID; S_AXI_RID <= S_AXI_ARID; aw_wrap_size <= ((C_S_AXI_DATA_WIDTH)/8 * to_integer(unsigned(axi_awlen))); ar_wrap_size <= ((C_S_AXI_DATA_WIDTH)/8 * to_integer(unsigned(axi_arlen))); aw_wrap_en <= '1' when (((axi_awaddr AND std_logic_vector(to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))) XOR std_logic_vector(to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))) = low) else '0'; ar_wrap_en <= '1' when (((axi_araddr AND std_logic_vector(to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))) XOR std_logic_vector(to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))) = low) else '0'; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; axi_awv_awr_flag <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and axi_awv_awr_flag = '0' and axi_arv_arr_flag = '0') then -- slave is ready to accept an address and -- associated control signals axi_awv_awr_flag <= '1'; -- used for generation of bresp() and bvalid axi_awready <= '1'; elsif (S_AXI_WLAST = '1' and axi_wready = '1') then -- preparing to accept next address after current write burst tx completion axi_awv_awr_flag <= '0'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); axi_awburst <= (others => '0'); axi_awlen <= (others => '0'); axi_awlen_cntr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and axi_awv_awr_flag = '0') then -- address latching axi_awaddr <= S_AXI_AWADDR(C_S_AXI_ADDR_WIDTH - 1 downto 0); ---- start address of transfer axi_awlen_cntr <= (others => '0'); axi_awburst <= S_AXI_AWBURST; axi_awlen <= S_AXI_AWLEN; elsif((axi_awlen_cntr <= axi_awlen) and axi_wready = '1' and S_AXI_WVALID = '1') then axi_awlen_cntr <= std_logic_vector (unsigned(axi_awlen_cntr) + 1); case (axi_awburst) is when "00" => -- fixed burst -- The write address for all the beats in the transaction are fixed axi_awaddr <= axi_awaddr; ----for awsize = 4 bytes (010) when "01" => --incremental burst -- The write address for all the beats in the transaction are increments by awsize IF(S_AXI_AWSIZE = "000") THEN axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 1); ELSIF(S_AXI_AWSIZE = "001") THEN axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 2); ELSIF(S_AXI_AWSIZE = "010") THEN axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 4); ELSIF(S_AXI_AWSIZE = "011") THEN axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 8); ELSIF(S_AXI_AWSIZE = "100") THEN axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 16); ELSE axi_awaddr <= axi_awaddr; END IF; when "10" => --Wrapping burst -- The write address wraps when the address reaches wrap boundary if (aw_wrap_en = '1') then axi_awaddr <= std_logic_vector (unsigned(axi_awaddr) - (to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))); else axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--awaddr aligned to 4 byte boundary axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) end if; when others => --reserved (incremental burst for example) axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--for awsize = 4 bytes (010) axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); end case; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and axi_awv_awr_flag = '1') then axi_wready <= '1'; -- elsif (axi_awv_awr_flag = '0') then elsif (S_AXI_WLAST = '1' and axi_wready = '1') then axi_wready <= '0'; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; else if (axi_awv_awr_flag = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' and S_AXI_WLAST = '1' ) then axi_bvalid <= '1'; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_arv_arr_flag <= '0'; else if (axi_arready = '0' and S_AXI_ARVALID = '1' and axi_awv_awr_flag = '0' and axi_arv_arr_flag = '0') then axi_arready <= '1'; axi_arv_arr_flag <= '1'; elsif (axi_rvalid = '1' and S_AXI_RREADY = '1' and (axi_arlen_cntr = axi_arlen)) then -- preparing to accept next address after current read completion axi_arv_arr_flag <= '0'; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_araddr latching --This process is used to latch the address when both --S_AXI_ARVALID and S_AXI_RVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_araddr <= (others => '0'); axi_arburst <= (others => '0'); axi_arlen <= (others => '0'); axi_arlen_cntr <= (others => '0'); axi_rlast <= '0'; else if (axi_arready = '0' and S_AXI_ARVALID = '1' and axi_arv_arr_flag = '0') then -- address latching axi_araddr <= S_AXI_ARADDR(C_S_AXI_ADDR_WIDTH - 1 downto 0); ---- start address of transfer axi_arlen_cntr <= (others => '0'); axi_rlast <= '0'; axi_arburst <= S_AXI_ARBURST; axi_arlen <= S_AXI_ARLEN; elsif((axi_arlen_cntr <= axi_arlen) and axi_rvalid = '1' and S_AXI_RREADY = '1') then axi_arlen_cntr <= std_logic_vector (unsigned(axi_arlen_cntr) + 1); axi_rlast <= '0'; case (axi_arburst) is when "00" => -- fixed burst -- The read address for all the beats in the transaction are fixed axi_araddr <= axi_araddr; ----for arsize = 4 bytes (010) when "01" => --incremental burst -- The read address for all the beats in the transaction are increments by awsize IF(S_AXI_ARSIZE = "000") THEN axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 1); ELSIF(S_AXI_ARSIZE = "001") THEN axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 2); ELSIF(S_AXI_ARSIZE = "010") THEN axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 4); ELSIF(S_AXI_ARSIZE = "011") THEN axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 8); ELSIF(S_AXI_ARSIZE = "100") THEN axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto 0)) + 16); ELSE axi_araddr <= axi_araddr; END IF; when "10" => --Wrapping burst -- The read address wraps when the address reaches wrap boundary if (ar_wrap_en = '1') then axi_araddr <= std_logic_vector (unsigned(axi_araddr) - (to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))); else axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1); --araddr aligned to 4 byte boundary axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) end if; when others => --reserved (incremental burst for example) axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--for arsize = 4 bytes (010) axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); end case; elsif((axi_arlen_cntr = axi_arlen) and axi_rlast = '0' and axi_arv_arr_flag = '1') then axi_rlast <= '1'; elsif (S_AXI_RREADY = '1') then axi_rlast <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; else if (axi_arv_arr_flag = '1' and axi_rvalid = '0') then axi_rvalid <= '1'; elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then axi_rvalid <= '0'; end if; end if; end if; end process; --read data process( axi_rvalid,axi_araddr,mem_reg ) is VARIABLE reg_number: INTEGER := 0; begin if (axi_rvalid = '1') then -- output the read dada IF(axi_araddr = c_usig_typdef_address) THEN axi_rdata(31 DOWNTO 16) <= id; axi_rdata(15 DOWNTO 8) <= subtype_id; axi_rdata(7 DOWNTO 0) <= interface_version; ELSIF(axi_araddr = c_usig_mem_size_address)THEN axi_rdata <= (others => '0'); axi_rdata(C_S_AXI_ADDR_WIDTH) <= '1'; ELSIF(axi_araddr = c_number_of_channels_address)THEN axi_rdata <= std_logic_vector(to_unsigned(number_of_channels, axi_rdata'length)); ELSIF(axi_araddr = c_usig_unique_id_address) THEN axi_rdata <= unique_id; ELSIF(axi_araddr >= c_usig_register_address) THEN reg_number := (to_integer(unsigned(axi_araddr)) - to_integer(UNSIGNED(c_usig_register_address)))/4; axi_rdata <= mem_reg(reg_number); ELSE axi_rdata <= (others => '0'); END IF; else axi_rdata <= (others => '0'); end if; end process; process( axi_wready,S_AXI_WVALID,S_AXI_WDATA,mem_reg,axi_awaddr,S_AXI_WSTRB) VARIABLE reg_number: INTEGER := 0; VARIABLE v_mem_reg: t_mem_reg := REG_RESET; BEGIN v_mem_reg := mem_reg; IF(axi_wready = '1') THEN IF(axi_awaddr >= c_usig_register_address) THEN reg_number := (to_integer(unsigned(axi_awaddr)) - to_integer(UNSIGNED(c_usig_register_address)))/4; IF(S_AXI_WSTRB(0) = '1')THEN v_mem_reg(reg_number)(7 DOWNTO 0) := S_AXI_WDATA(7 DOWNTO 0); END IF; IF(S_AXI_WSTRB(1) = '1')THEN v_mem_reg(reg_number)(15 DOWNTO 8) := S_AXI_WDATA(15 DOWNTO 8); END IF; IF(S_AXI_WSTRB(2) = '1')THEN v_mem_reg(reg_number)(23 DOWNTO 16) := S_AXI_WDATA(23 DOWNTO 16); END IF; IF(S_AXI_WSTRB(3) = '1')THEN v_mem_reg(reg_number)(31 DOWNTO 24) := S_AXI_WDATA(31 DOWNTO 24); END IF; END IF; END IF; mem_reg_next <= v_mem_reg; END PROCESS; reg_proc : PROCESS (S_AXI_ACLK) BEGIN IF rising_edge(S_AXI_ACLK) THEN mem_reg <= mem_reg_next; END IF; END PROCESS reg_proc; -- Add user logic here -- User logic ends end arch_imp;
------------------------------------------------------------------------------- -- -- $Id$ -- -- This program is free software; you can redistribute it and/or -- modify it under the terms of the GNU General Public License -- as published by the Free Software Foundation; either version 2 -- of the License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA -- 02111-1307, USA. -- -- Written by Arnim Laeuger <[email protected]>, 2008. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package fjmem_config_pack is ----------------------------------------------------------------------------- -- Specify the active levels of trst_i, shift_i and res_i -- constant trst_act_level_c : std_logic := '1'; constant shift_act_level_c : std_logic := '1'; constant res_act_level_c : std_logic := '1'; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Adapt the number of used blocks and the number of bits that are -- required for the block field (2 ** num_block_field_c >= num_blocks_c) -- -- number of used blocks constant num_blocks_c : natural := 4; -- number of bits for block field constant num_block_field_c : natural := 2; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Don't change the array type -- type block_desc_t is record addr_width : natural; data_width : natural; end record; type block_array_t is array (natural range 0 to num_blocks_c-1) of block_desc_t; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Fill in the array for all your used blocks -- constant blocks_c : block_array_t := ((addr_width => 18, -- block #0 data_width => 16), (addr_width => 18, -- block #1 data_width => 16), (addr_width => 19, -- block #2 data_width => 8), (addr_width => 8, -- block #3 data_width => 8) ); -- -- And specify the maximum address and data width -- constant max_addr_width_c : natural := 19; constant max_data_width_c : natural := 16; -- ----------------------------------------------------------------------------- end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity complemento4 is Port ( entrada : in STD_LOGIC_VECTOR (3 downto 0) := "0000"; sel : in STD_LOGIC := '1'; saida : out STD_LOGIC_VECTOR (3 downto 0) ); end complemento4; architecture Behavioral of complemento4 is signal aux : STD_LOGIC_VECTOR (3 downto 0); begin process (entrada,sel,aux) begin if (sel = '1') then aux <= entrada xor "1111"; else aux <= entrada; end if; end process; saida <= aux; end Behavioral;
--Copyright 2014 by Emmanuel D. Bello <[email protected]> --Laboratorio de Computacion Reconfigurable (LCR) --Universidad Tecnologica Nacional --Facultad Regional Mendoza --Argentina --This file is part of FREAK-on-FPGA. --FREAK-on-FPGA is free software: you can redistribute it and/or modify --it under the terms of the GNU General Public License as published by --the Free Software Foundation, either version 3 of the License, or --(at your option) any later version. --FREAK-on-FPGA is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --GNU General Public License for more details. --You should have received a copy of the GNU General Public License --along with FREAK-on-FPGA. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 14.6 -- \ \ Application : -- / / Filename : xil_A26CnV -- /___/ /\ Timestamp : 04/06/2014 00:33:30 -- \ \ / \ -- \___\/\___\ -- --Command: --Design Name: -- library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.ALL; use work.RetinaParameters.ALL; --library UNISIM; --use UNISIM.Vcomponents.ALL; entity AddressGenerator is port ( busy_in : in std_logic; clk : in std_logic; imgBaseAddr : in std_logic_vector (31 downto 0); kptCoordX : in std_logic_vector (KPT_COORD_BW-1 downto 0);--keypoint's column possition kptCoordY : in std_logic_vector (KPT_COORD_BW-1 downto 0); --keypoint's row possition kptScale : in std_logic_vector(KPT_SCALE_BW-1 downto 0);--keypoint's scale kptOctave : in std_logic_vector(KPT_OCTAVE_BW-1 downto 0);--keypoint's octave request_in : in std_logic; rst : in std_logic; kptScaleOut : out std_logic_vector(KPT_SCALE_BW-1 downto 0);--keypoint's scale addr : out std_logic_vector (31 downto 0); busy_out : out std_logic; request_out : out std_logic ); end AddressGenerator; architecture BEHAVIORAL of AddressGenerator is type points_coord_type is array(11 downto 0) of integer range -23 to 23; type rom_coord_type is array (20 downto 0) of points_coord_type; --coordinates of each point on the retina pattern, from OUTER to INNER constant rom_coord_data : rom_coord_type := ( 0 => (23,0,12,20,-12,20,-23,0,-12,-20,12,-20), 1 => (15,9,0,17,-15,9,-15,-9,0,-17,15,-9), 2 => (13,0,6,11,-6,11,-13,0,-6,-11,6,-11), 3 => (8,4,0,9,-8,4,-8,-4,0,-9,8,-4), 4 => (6,0,3,5,-3,5,-6,0,-3,-5,3,-5), 5 => (3,2,0,4,-3,2,-3,-2,0,-4,3,-2), 6 => (3,0,1,3,-1,3,-3,0,-1,-3,1,-3), 7 => (18,0,9,16,-9,16,-18,0,-9,-16,9,-16), 8 => (12,7,0,14,-12,7,-12,-7,0,-14,12,-7), 9 => (10,0,5,9,-5,9,-10,0,-5,-9,5,-9), 10 => (6,3,0,7,-6,3,-6,-3,0,-7,6,-3), 11 => (5,0,2,4,-2,4,-5,0,-2,-4,2,-4), 12 => (3,2,0,3,-3,2,-3,-2,0,-3,3,-2), 13 => (2,0,1,2,-1,2,-2,0,-1,-2,1,-2), 14 => (15,0,7,13,-7,13,-15,0,-7,-13,7,-13), 15 => (10,5,0,11,-10,6,-10,-5,0,-11,10,-5), 16 => (8,0,4,7,-4,7,-8,0,-4,-7,4,-7), 17 => (5,3,0,6,-5,3,-5,-3,0,-6,5,-3), 18 => (4,0,2,3,-2,3,-4,0,-2,-3,2,-3), 19 => (2,1,0,2,-2,1,-2,-1,0,-2,2,-1), 20 => (2,0,1,2,-1,2,-2,0,-1,-2,1,-2) ); signal point_set_id: integer range 0 to 7;--describes each point set included the center of the retina pattern(the keypoint's possition) signal point_addr: std_logic_vector(31 downto 0); signal select_pair: integer range 0 to 5; type consumer_FSM_states is (INIT, REQ, WAITING, READY); signal s_consumerState: consumer_FSM_states; type producer_FSM_states is (INIT, REQ); signal s_producerState: producer_FSM_states; begin consumer_proc: process(clk) begin if rising_edge(clk) then if rst = '1' then s_consumerState <= INIT; request_out <= '0'; else case s_consumerState is when INIT => if busy_in = '0' then request_out <= '1'; s_consumerState <= REQ; end if; when REQ => if busy_in = '1' then request_out <= '0'; s_consumerState <= WAITING; end if; when WAITING => if busy_in = '0' then s_consumerState <= READY; end if; when READY => if select_pair = 5 and point_set_id = 7 then s_consumerState <= INIT; end if; end case; end if; end if; end process; producer_proc: process(clk) begin if rising_edge(clk) then if rst = '1' then s_producerState <= INIT; busy_out <= '0'; else case s_producerState is when INIT => if request_in = '1' then busy_out <= '1'; s_producerState <= REQ; end if; when REQ => if s_consumerState = READY then busy_out <= '0'; s_producerState <= INIT; end if; end case; end if; end if; end process; addr_generation_proc: process(clk) --variable tmp1: integer range -1023 to 1023; --variable tmp2: integer range -1023*IMAGE_WIDTH to 1023*IMAGE_WIDTH; variable coordArray: points_coord_type; begin if rising_edge(clk) then if rst = '1' then point_set_id <= 0; select_pair <= 5; point_addr <= (others => '0'); kptScaleOut <= (others => '0'); elsif s_consumerState = READY and s_producerState = REQ then if point_set_id = 7 then point_addr <= std_logic_vector( to_unsigned( to_integer(unsigned(imgBaseAddr)) + to_integer(unsigned(kptOctave))*IMAGE_WIDTH*IMAGE_HEIGHT + to_integer(unsigned(kptCoordX)) + (to_integer(unsigned(kptCoordY)))*IMAGE_WIDTH , point_addr'length) ); else coordArray := rom_coord_data(point_set_id + 7*to_integer(unsigned(kptScale))); point_addr <= std_logic_vector( to_unsigned( to_integer(unsigned(imgBaseAddr)) + to_integer(unsigned(kptOctave))*IMAGE_WIDTH*IMAGE_HEIGHT + to_integer(unsigned(kptCoordX)) + coordArray(select_pair*2+1) + (to_integer(unsigned(kptCoordY)) + coordArray(select_pair*2))*IMAGE_WIDTH , point_addr'length) ); end if; -- if point_set_id = 7 then -- tmp1 := to_integer(unsigned(kptCoordX)); -- tmp2 := (to_integer(unsigned(kptCoordY)))*IMAGE_WIDTH; -- else -- coordArray := rom_coord_data(point_set_id + 7*to_integer(unsigned(kptScale))); -- tmp1 := to_integer(unsigned(kptCoordX)) + coordArray(select_pair*2+1);--column possition offset -- tmp2 := (to_integer(unsigned(kptCoordY)) + coordArray(select_pair*2))*IMAGE_WIDTH;--row possition offset -- end if; -- point_addr <= std_logic_vector( -- to_unsigned( -- to_integer(unsigned(imgBaseAddr)) + -- to_integer(unsigned(kptOctave))*IMAGE_WIDTH*IMAGE_HEIGHT + -- -- tmp1 + tmp2 -- -- -- , point_addr'length) -- ); kptScaleOut <= kptScale; if point_set_id = 7 then point_set_id <= 0; select_pair <= 5; elsif select_pair = 0 then select_pair <= 5; point_set_id <= point_set_id + 1; else select_pair <= select_pair -1; end if; end if; end if;--rising_edge(clk) end process; addr <= point_addr; end BEHAVIORAL;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pcipads -- File: pcipads.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: PCI pads module ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use work.pci.all; library grlib; use grlib.stdlib.all; entity pcipads is generic ( padtech : integer := 0; noreset : integer := 0; oepol : integer := 0; host : integer := 1; int : integer := 0; no66 : integer := 0; onchipreqgnt : integer := 0; -- Internal req and gnt signals drivereset : integer := 0; -- Drive PCI rst with outpad constidsel : integer := 0; -- pci_idsel is tied to local constant level : integer := pci33; -- input/output level voltage : integer := x33v -- input/output voltage ); port ( pci_rst : inout std_logic; pci_gnt : in std_ulogic; pci_idsel : in std_ulogic; pci_lock : inout std_ulogic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_ulogic; pci_irdy : inout std_ulogic; pci_trdy : inout std_ulogic; pci_devsel : inout std_ulogic; pci_stop : inout std_ulogic; pci_perr : inout std_ulogic; pci_par : inout std_ulogic; pci_req : inout std_ulogic; -- tristate pad but never read pci_serr : inout std_ulogic; -- open drain output pci_host : in std_ulogic; pci_66 : in std_ulogic; pcii : out pci_in_type; pcio : in pci_out_type; pci_int : inout std_logic_vector(3 downto 0) --:= conv_std_logic_vector(16#F#, 4) -- Disable int by default --pci_int : inout std_logic_vector(3 downto 0) := -- conv_std_logic_vector(16#F# - (16#F# * oepol), 4) -- Disable int by default ); end; architecture rtl of pcipads is signal vcc : std_ulogic; begin vcc <= '1'; -- Reset rstpad : if noreset = 0 generate nodrive: if drivereset = 0 generate pci_rst_pad : iodpad generic map (tech => padtech, level => level, voltage => voltage, oepol => 0) port map (pci_rst, pcio.rst, pcii.rst); end generate nodrive; drive: if drivereset /= 0 generate pci_rst_pad : outpad generic map (tech => padtech, level => level, voltage => voltage) port map (pci_rst, pcio.rst); pcii.rst <= pcio.rst; end generate drive; end generate; norstpad : if noreset = 1 generate pcii.rst <= pci_rst; end generate; localgnt: if onchipreqgnt = 1 generate pcii.gnt <= pci_gnt; pci_req <= pcio.req when pcio.reqen = conv_std_logic(oepol=1) else '1'; end generate localgnt; extgnt: if onchipreqgnt = 0 generate pad_pci_gnt : inpad generic map (padtech, level, voltage) port map (pci_gnt, pcii.gnt); pad_pci_req : toutpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_req, pcio.req, pcio.reqen); end generate extgnt; idsel_pad: if constidsel = 0 generate pad_pci_idsel : inpad generic map (padtech, level, voltage) port map (pci_idsel, pcii.idsel); end generate idsel_pad; idsel_local: if constidsel /= 0 generate pcii.idsel <= pci_idsel; end generate idsel_local; onlyhost : if host = 2 generate pcii.host <= '0'; -- Always host end generate; dohost : if host = 1 generate pad_pci_host : inpad generic map (padtech, level, voltage) port map (pci_host, pcii.host); end generate; nohost : if host = 0 generate pcii.host <= '1'; -- disable pci host functionality end generate; do66 : if no66 = 0 generate pad_pci_66 : inpad generic map (padtech, level, voltage) port map (pci_66, pcii.pci66); end generate; dono66 : if no66 = 1 generate pcii.pci66 <= '0'; end generate; pad_pci_lock : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_lock, pcio.lock, pcio.locken, pcii.lock); pad_pci_ad : iopadvv generic map (tech => padtech, level => level, voltage => voltage, width => 32, oepol => oepol) port map (pci_ad, pcio.ad, pcio.vaden, pcii.ad); pad_pci_cbe0 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(0), pcio.cbe(0), pcio.cbeen(0), pcii.cbe(0)); pad_pci_cbe1 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(1), pcio.cbe(1), pcio.cbeen(1), pcii.cbe(1)); pad_pci_cbe2 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(2), pcio.cbe(2), pcio.cbeen(2), pcii.cbe(2)); pad_pci_cbe3 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(3), pcio.cbe(3), pcio.cbeen(3), pcii.cbe(3)); pad_pci_frame : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_frame, pcio.frame, pcio.frameen, pcii.frame); pad_pci_trdy : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_trdy, pcio.trdy, pcio.trdyen, pcii.trdy); pad_pci_irdy : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_irdy, pcio.irdy, pcio.irdyen, pcii.irdy); pad_pci_devsel: iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_devsel, pcio.devsel, pcio.devselen, pcii.devsel); pad_pci_stop : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_stop, pcio.stop, pcio.stopen, pcii.stop); pad_pci_perr : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_perr, pcio.perr, pcio.perren, pcii.perr); pad_pci_par : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_par, pcio.par, pcio.paren, pcii.par); pad_pci_serr : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_serr, pcio.serr, pcio.serren, pcii.serr); -- PCI interrupt pads -- int = 0 => no interrupt -- int = 1 => PCI_INT[A] = out, PCI_INT[B,C,D] = Not connected -- int = 2 => PCI_INT[B] = out, PCI_INT[A,C,D] = Not connected -- int = 3 => PCI_INT[C] = out, PCI_INT[A,B,D] = Not connected -- int = 4 => PCI_INT[D] = out, PCI_INT[A,B,C] = Not connected -- int = 10 => PCI_INT[A] = inout, PCI_INT[B,C,D] = in -- int = 11 => PCI_INT[B] = inout, PCI_INT[A,C,D] = in -- int = 12 => PCI_INT[C] = inout, PCI_INT[A,B,D] = in -- int = 13 => PCI_INT[D] = inout, PCI_INT[A,B,C] = in -- int = 14 => PCI_INT[A,B,C,D] = in -- int = 100 => PCI_INT[A] = out, PCI_INT[B,C,D] = Not connected -- int = 101 => PCI_INT[A,B] = out, PCI_INT[C,D] = Not connected -- int = 102 => PCI_INT[A,B,C] = out, PCI_INT[D] = Not connected -- int = 103 => PCI_INT[A,B,C,D] = out -- int = 110 => PCI_INT[A] = inout, PCI_INT[B,C,D] = in -- int = 111 => PCI_INT[A,B] = inout, PCI_INT[C,D] = in -- int = 112 => PCI_INT[A,B,C] = inout, PCI_INT[D] = in -- int = 113 => PCI_INT[A,B,C,D] = inout interrupt : if int /= 0 generate x : for i in 0 to 3 generate xo : if i = int - 1 and int < 10 generate pad_pci_int : odpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.inten); end generate; xonon : if i /= int - 1 and int < 10 and int < 100 generate pci_int(i) <= '1'; end generate; xio : if i = (int - 10) and int >= 10 and int < 100 generate pad_pci_int : iodpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.inten, pcii.int(i)); end generate; xi : if i /= (int - 10) and int >= 10 and int < 100 generate pad_pci_int : inpad generic map (tech => padtech, level => level, voltage => voltage) port map (pci_int(i), pcii.int(i)); end generate; x2o : if i <= (int - 100) and int < 110 and int >= 100 generate pad_pci_int : odpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.vinten(i)); end generate; x2onon : if i > (int - 100) and int < 110 and int >= 100 generate pci_int(i) <= '1'; end generate; x2oi : if i <= (int - 110) and int >= 110 generate pad_pci_int : iodpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.vinten(i), pcii.int(i)); end generate; x2i : if i > (int - 110) and int >= 110 generate pad_pci_int : inpad generic map (tech => padtech, level => level, voltage => voltage) port map (pci_int(i), pcii.int(i)); end generate; end generate; end generate; nointerrupt : if int = 0 generate pcii.int <= (others => '0'); end generate; pcii.pme_status <= '0'; end;
--------------------------------------------------------------------------- -- edge-detector.vhd -- -- Raj Vinjamuri -- -- 3-13 -- -- -- -- Purpose/Description -- -- Takes FPGA clock and ps2Clk and outputs rising/falling/0/1 -- -- -- -- -- -- -- -- Final Modifications by Raj Vinjamuri and Sai Koppula -- -- -- -- -- --------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity edge_detector is Port ( mod_Clk, ps2Clk : in std_logic; status : out std_logic_vector(1 downto 0)); end edge_detector; architecture Behavioral of edge_detector is component Dreg is port( D, clk, reset, ld: in std_logic; Q : out std_logic); end component Dreg; signal chain : std_logic_vector(1 downto 0); --internal bus to hold outputs begin dff1: Dreg port map( D => ps2Clk, clk => mod_clk, reset => '0', ld => '1', Q => chain(0)); --feeds into other d-ff dff2: Dreg port map( D => chain(0), clk => mod_clk, reset => '0', ld => '1', Q => chain(1)); status <= chain; --set output end Behavioral;
package nested_function_bug is procedure proc(param : integer; result : out integer); end package; package body nested_function_bug is procedure proc(param : integer; result : out integer) is variable foo : bit_vector(0 to param); impure function nested_function return integer is begin return foo'length; end; begin result := nested_function; end; end package body; ------------------------------------------------------------------------------- entity issue123 is end entity; use work.nested_function_bug.all; architecture test of issue123 is begin process is variable result : integer; begin proc(5, result); assert result = 6; wait; end process; end architecture;
package nested_function_bug is procedure proc(param : integer; result : out integer); end package; package body nested_function_bug is procedure proc(param : integer; result : out integer) is variable foo : bit_vector(0 to param); impure function nested_function return integer is begin return foo'length; end; begin result := nested_function; end; end package body; ------------------------------------------------------------------------------- entity issue123 is end entity; use work.nested_function_bug.all; architecture test of issue123 is begin process is variable result : integer; begin proc(5, result); assert result = 6; wait; end process; end architecture;
package nested_function_bug is procedure proc(param : integer; result : out integer); end package; package body nested_function_bug is procedure proc(param : integer; result : out integer) is variable foo : bit_vector(0 to param); impure function nested_function return integer is begin return foo'length; end; begin result := nested_function; end; end package body; ------------------------------------------------------------------------------- entity issue123 is end entity; use work.nested_function_bug.all; architecture test of issue123 is begin process is variable result : integer; begin proc(5, result); assert result = 6; wait; end process; end architecture;
package nested_function_bug is procedure proc(param : integer; result : out integer); end package; package body nested_function_bug is procedure proc(param : integer; result : out integer) is variable foo : bit_vector(0 to param); impure function nested_function return integer is begin return foo'length; end; begin result := nested_function; end; end package body; ------------------------------------------------------------------------------- entity issue123 is end entity; use work.nested_function_bug.all; architecture test of issue123 is begin process is variable result : integer; begin proc(5, result); assert result = 6; wait; end process; end architecture;
package nested_function_bug is procedure proc(param : integer; result : out integer); end package; package body nested_function_bug is procedure proc(param : integer; result : out integer) is variable foo : bit_vector(0 to param); impure function nested_function return integer is begin return foo'length; end; begin result := nested_function; end; end package body; ------------------------------------------------------------------------------- entity issue123 is end entity; use work.nested_function_bug.all; architecture test of issue123 is begin process is variable result : integer; begin proc(5, result); assert result = 6; wait; end process; end architecture;
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); end entity FIFO; -- Violation below entity FIFO is GENERIC(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32 ); end entity FIFO;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greth_tx -- File: greth_tx.vhd -- Author: Marko Isomaki -- Description: Ethernet transmitter ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library rocketlib; use rocketlib.grethpkg.all; entity greth_tx is generic( ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; nsync : integer range 1 to 2 := 2; rmii : integer range 0 to 1 := 0; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txi : in host_tx_type; txo : out tx_host_type ); end entity; architecture rtl of greth_tx is function mirror2(din : in std_logic_vector(3 downto 0)) return std_logic_vector is variable do : std_logic_vector(3 downto 0); begin do(3) := din(0); do(2) := din(1); do(1) := din(2); do(0) := din(3); return do; end function; function init_ifg( ifg_gap : in integer; rmii : in integer) return integer is begin if rmii = 0 then return log2(ifg_gap); else return log2(ifg_gap*20); end if; end function; constant maxattempts : std_logic_vector(4 downto 0) := conv_std_logic_vector(attempt_limit, 5); --transmitter constants constant ifg_bits : integer := init_ifg(ifg_gap, rmii); constant ifg_p1 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector((ifg_gap)/3, ifg_bits); constant ifg_p2 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector((ifg_gap*2)/3, ifg_bits); constant ifg_p1_r100 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector((ifg_gap*2)/3, ifg_bits); constant ifg_p2_r100 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector(rmii*(ifg_gap*4)/3, ifg_bits); constant ifg_p1_r10 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector(rmii*(ifg_gap*20)/3, ifg_bits); constant ifg_p2_r10 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector(rmii*(ifg_gap*40)/3, ifg_bits); function ifg_sel( rmii : in integer; p1 : in integer; speed : in std_ulogic) return std_logic_vector is begin if p1 = 1 then if rmii = 0 then return ifg_p1; else if speed = '1' then return ifg_p1_r100; else return ifg_p1_r10; end if; end if; else if rmii = 0 then return ifg_p2; else if speed = '1' then return ifg_p2_r100; else return ifg_p2_r10; end if; end if; end if; end function; --transmitter types type tx_state_type is (idle, preamble, sfd, data1, data2, pad1, pad2, fcs, fcs2, finish, calc_backoff, wait_backoff, send_jam, send_jam2, check_attempts); type def_state_type is (monitor, def_on, ifg1, ifg2, frame_waitingst); type tx_reg_type is record --deference process def_state : def_state_type; ifg_cycls : std_logic_vector(ifg_bits-1 downto 0); deferring : std_ulogic; was_transmitting : std_ulogic; --tx process main_state : tx_state_type; transmitting : std_ulogic; tx_en : std_ulogic; txd : std_logic_vector(3 downto 0); cnt : std_logic_vector(3 downto 0); icnt : std_logic_vector(1 downto 0); crc : std_logic_vector(31 downto 0); crc_en : std_ulogic; byte_count : std_logic_vector(10 downto 0); slot_count : std_logic_vector(6 downto 0); random : std_logic_vector(9 downto 0); delay_val : std_logic_vector(9 downto 0); retry_cnt : std_logic_vector(4 downto 0); status : std_logic_vector(1 downto 0); data : std_logic_vector(31 downto 0); --synchronization read : std_ulogic; done : std_ulogic; restart : std_ulogic; start : std_logic_vector(nsync downto 0); read_ack : std_logic_vector(nsync-1 downto 0); crs : std_logic_vector(1 downto 0); col : std_logic_vector(1 downto 0); fullduplex : std_logic_vector(1 downto 0); --rmii crs_act : std_ulogic; crs_prev : std_ulogic; speed : std_logic_vector(1 downto 0); rcnt : std_logic_vector(3 downto 0); switch : std_ulogic; txd_msb : std_logic_vector(1 downto 0); zero : std_ulogic; rmii_crc_en : std_ulogic; end record; --transmitter signals signal r, rin : tx_reg_type; signal txrst : std_ulogic; signal vcc : std_ulogic; begin vcc <= '1'; tx_rst : eth_rstgen port map(rst, clk, vcc, txrst, open); tx : process(txrst, r, txi) is variable collision : std_ulogic; variable frame_waiting : std_ulogic; variable index : integer range 0 to 7; variable start : std_ulogic; variable read_ack : std_ulogic; variable v : tx_reg_type; variable crs : std_ulogic; variable col : std_ulogic; variable tx_done : std_ulogic; begin v := r; frame_waiting := '0'; tx_done := '0'; v.rmii_crc_en := '0'; --synchronization v.col(1) := r.col(0); v.col(0) := txi.rx_col; v.crs(1) := r.crs(0); v.crs(0) := txi.rx_crs; v.fullduplex(0) := txi.full_duplex; v.fullduplex(1) := r.fullduplex(0); v.start(0) := txi.start; v.read_ack(0) := txi.readack; if nsync = 2 then v.start(1) := r.start(0); v.read_ack(1) := r.read_ack(0); end if; start := r.start(nsync) xor r.start(nsync-1); read_ack := not (r.read xor r.read_ack(nsync-1)); --crc generation if (r.crc_en = '1') and ((rmii = 0) or (r.rmii_crc_en = '1')) then v.crc := calccrc(r.txd, r.crc); end if; --rmii if rmii = 0 then col := r.col(1); crs := r.crs(1); tx_done := '1'; else v.crs_prev := r.crs(1); if (r.crs(0) and not r.crs_act) = '1' then v.crs_act := '1'; end if; if (r.crs(1) or r.crs(0)) = '0' then v.crs_act := '0'; end if; crs := r.crs(1) and not ((not r.crs_prev) and r.crs_act); col := crs and r.tx_en; v.speed(1) := r.speed(0); v.speed(0) := txi.speed; if r.tx_en = '1' then v.rcnt := r.rcnt - 1; if r.speed(1) = '1' then v.switch := not r.switch; if r.switch = '1' then tx_done := '1'; v.rmii_crc_en := '1'; end if; if r.switch = '0' then v.txd(1 downto 0) := r.txd_msb; end if; else v.zero := '0'; if r.rcnt = "0001" then v.zero := '1'; end if; if r.zero = '1' then v.switch := not r.switch; v.rcnt := "1001"; if r.switch = '0' then v.txd(1 downto 0) := r.txd_msb; end if; end if; if (r.switch and r.zero) = '1' then tx_done := '1'; v.rmii_crc_en := '1'; end if; end if; end if; end if; collision := col and not r.fullduplex(1); --main fsm case r.main_state is when idle => v.transmitting := '0'; if rmii = 1 then v.rcnt := "1001"; v.switch := '0'; end if; if (start and not r.deferring) = '1' then v.main_state := preamble; v.transmitting := '1'; v.tx_en := '1'; v.byte_count := (others => '1'); v.status := (others => '0'); v.read := not r.read; v.start(nsync) := r.start(nsync-1); elsif start = '1' then frame_waiting := '1'; end if; v.txd := "0101"; v.cnt := "1110"; when preamble => if tx_done = '1' then v.cnt := r.cnt - 1; if r.cnt = "0000" then v.txd := "1101"; v.main_state := sfd; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when sfd => if tx_done = '1' then v.main_state := data1; v.icnt := (others => '0'); v.crc_en := '1'; v.crc := (others => '1'); v.byte_count := (others => '0'); v.txd := txi.data(27 downto 24); if (read_ack and txi.valid) = '0' then v.status(0) := '1'; v.main_state := finish; v.tx_en := '0'; else v.data := txi.data; v.read := not r.read; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when data1 => index := conv_integer(r.icnt); if tx_done = '1' then v.byte_count := r.byte_count + 1; v.main_state := data2; v.icnt := r.icnt + 1; case index is when 0 => v.txd := r.data(31 downto 28); when 1 => v.txd := r.data(23 downto 20); when 2 => v.txd := r.data(15 downto 12); when 3 => v.txd := r.data(7 downto 4); when others => null; end case; if v.byte_count = txi.len then v.tx_en := '1'; if conv_integer(v.byte_count) >= 60 then v.main_state := fcs; v.cnt := (others => '0'); else v.main_state := pad1; end if; elsif index = 3 then if (read_ack and txi.valid) = '0' then v.status(0) := '1'; v.main_state := finish; v.tx_en := '0'; else v.data := txi.data; v.read := not r.read; end if; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when data2 => index := conv_integer(r.icnt); if tx_done = '1' then v.main_state := data1; case index is when 0 => v.txd := r.data(27 downto 24); when 1 => v.txd := r.data(19 downto 16); when 2 => v.txd := r.data(11 downto 8); when 3 => v.txd := r.data(3 downto 0); when others => null; end case; if collision = '1' then v.main_state := send_jam; end if; end if; when pad1 => if tx_done = '1' then v.main_state := pad2; if collision = '1' then v.main_state := send_jam; end if; end if; when pad2 => if tx_done = '1' then v.byte_count := r.byte_count + 1; if conv_integer(v.byte_count) = 60 then v.main_state := fcs; v.cnt := (others => '0'); else v.main_state := pad1; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when fcs => if tx_done = '1' then v.cnt := r.cnt + 1; v.crc_en := '0'; index := conv_integer(r.cnt); case index is when 0 => v.txd := mirror2(not v.crc(31 downto 28)); when 1 => v.txd := mirror2(not r.crc(27 downto 24)); when 2 => v.txd := mirror2(not r.crc(23 downto 20)); when 3 => v.txd := mirror2(not r.crc(19 downto 16)); when 4 => v.txd := mirror2(not r.crc(15 downto 12)); when 5 => v.txd := mirror2(not r.crc(11 downto 8)); when 6 => v.txd := mirror2(not r.crc(7 downto 4)); when 7 => v.txd := mirror2(not r.crc(3 downto 0)); v.main_state := fcs2; when others => null; end case; end if; when fcs2 => if tx_done = '1' then v.main_state := finish; v.tx_en := '0'; end if; when finish => v.tx_en := '0'; v.transmitting := '0'; v.main_state := idle; v.retry_cnt := (others => '0'); v.done := not r.done; when send_jam => if tx_done = '1' then v.cnt := "0110"; v.main_state := send_jam2; v.crc_en := '0'; end if; when send_jam2 => if tx_done = '1' then v.cnt := r.cnt - 1; if r.cnt = "0000" then v.main_state := check_attempts; v.retry_cnt := r.retry_cnt + 1; v.tx_en := '0'; end if; end if; when check_attempts => v.transmitting := '0'; if r.retry_cnt = maxattempts then v.main_state := finish; v.status(1) := '1'; else v.main_state := calc_backoff; v.restart := not r.restart; end if; v.tx_en := '0'; when calc_backoff => v.delay_val := (others => '0'); for i in 1 to backoff_limit-1 loop if i < conv_integer(r.retry_cnt)+1 then v.delay_val(i) := r.random(i); end if; end loop; v.main_state := wait_backoff; v.slot_count := (others => '1'); when wait_backoff => if conv_integer(r.delay_val) = 0 then v.main_state := idle; end if; v.slot_count := r.slot_count - 1; if conv_integer(r.slot_count) = 0 then v.slot_count := (others => '1'); v.delay_val := r.delay_val - 1; end if; when others => v.main_state := idle; end case; --random values; v.random := r.random(8 downto 0) & (not (r.random(2) xor r.random(9))); --deference case r.def_state is when monitor => v.was_transmitting := '0'; if ( (crs and not r.fullduplex(1)) or (r.transmitting and r.fullduplex(1)) ) = '1' then v.deferring := '1'; v.def_state := def_on; v.was_transmitting := r.transmitting; end if; when def_on => v.was_transmitting := r.was_transmitting or r.transmitting; if r.fullduplex(1) = '1' then if r.transmitting = '0' then v.def_state := ifg1; end if; v.ifg_cycls := ifg_sel(rmii, 1, r.speed(1)); else if (r.transmitting or crs) = '0' then v.def_state := ifg1; v.ifg_cycls := ifg_sel(rmii, 1, r.speed(1)); end if; end if; when ifg1 => v.ifg_cycls := r.ifg_cycls - 1; if r.ifg_cycls = zero32(ifg_bits-1 downto 0) then v.def_state := ifg2; v.ifg_cycls := ifg_sel(rmii, 0, r.speed(1)); elsif (crs and not r.fullduplex(1)) = '1' then v.ifg_cycls := ifg_sel(rmii, 1, r.speed(1)); end if; when ifg2 => v.ifg_cycls := r.ifg_cycls - 1; if r.ifg_cycls = zero32(ifg_bits-1 downto 0) then v.deferring := '0'; if (r.fullduplex(1) or not frame_waiting) = '1' then v.def_state := monitor; elsif frame_waiting = '1' then v.def_state := frame_waitingst; end if; end if; when frame_waitingst => if frame_waiting = '0' then v.def_state := monitor; end if; when others => v.def_state := monitor; end case; if rmii = 1 then v.txd_msb := v.txd(3 downto 2); end if; if txrst = '0' then v.main_state := idle; v.random := (others => '0'); v.def_state := monitor; v.deferring := '0'; v.tx_en := '0'; v.done := '0'; v.restart := '0'; v.read := '0'; v.start := (others => '0'); v.read_ack := (others => '0'); v.icnt := (others => '0'); v.delay_val := (others => '0'); v.ifg_cycls := (others => '0'); v.crs_act := '0'; v.slot_count := (others => '1'); v.retry_cnt := (others => '0'); v.cnt := (others => '0'); end if; rin <= v; txo.tx_er <= '0'; txo.tx_en <= r.tx_en; txo.txd <= r.txd; txo.done <= r.done; txo.read <= r.read; txo.restart <= r.restart; txo.status <= r.status; end process; gmiimode0 : if gmiimode = 0 generate txregs0 : process(clk) is begin if rising_edge(clk) then r <= rin; if txrst = '0' then r.icnt <= (others => '0'); r.delay_val <= (others => '0'); r.cnt <= (others => '0'); else r.icnt <= rin.icnt; r.delay_val <= rin.delay_val; r.cnt <= rin.cnt; end if; end if; end process; end generate; gmiimode1 : if gmiimode = 1 generate txregs0 : process(clk) is begin if rising_edge(clk) then if (txi.datavalid = '1' or txrst = '0') then r <= rin; end if; if txrst = '0' then r.icnt <= (others => '0'); r.delay_val <= (others => '0'); r.cnt <= (others => '0'); else if txi.datavalid = '1' then r.icnt <= rin.icnt; r.delay_val <= rin.delay_val; r.cnt <= rin.cnt; end if; end if; end if; end process; end generate; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greth_tx -- File: greth_tx.vhd -- Author: Marko Isomaki -- Description: Ethernet transmitter ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library rocketlib; use rocketlib.grethpkg.all; entity greth_tx is generic( ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; nsync : integer range 1 to 2 := 2; rmii : integer range 0 to 1 := 0; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txi : in host_tx_type; txo : out tx_host_type ); end entity; architecture rtl of greth_tx is function mirror2(din : in std_logic_vector(3 downto 0)) return std_logic_vector is variable do : std_logic_vector(3 downto 0); begin do(3) := din(0); do(2) := din(1); do(1) := din(2); do(0) := din(3); return do; end function; function init_ifg( ifg_gap : in integer; rmii : in integer) return integer is begin if rmii = 0 then return log2(ifg_gap); else return log2(ifg_gap*20); end if; end function; constant maxattempts : std_logic_vector(4 downto 0) := conv_std_logic_vector(attempt_limit, 5); --transmitter constants constant ifg_bits : integer := init_ifg(ifg_gap, rmii); constant ifg_p1 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector((ifg_gap)/3, ifg_bits); constant ifg_p2 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector((ifg_gap*2)/3, ifg_bits); constant ifg_p1_r100 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector((ifg_gap*2)/3, ifg_bits); constant ifg_p2_r100 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector(rmii*(ifg_gap*4)/3, ifg_bits); constant ifg_p1_r10 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector(rmii*(ifg_gap*20)/3, ifg_bits); constant ifg_p2_r10 : std_logic_vector(ifg_bits-1 downto 0) := conv_std_logic_vector(rmii*(ifg_gap*40)/3, ifg_bits); function ifg_sel( rmii : in integer; p1 : in integer; speed : in std_ulogic) return std_logic_vector is begin if p1 = 1 then if rmii = 0 then return ifg_p1; else if speed = '1' then return ifg_p1_r100; else return ifg_p1_r10; end if; end if; else if rmii = 0 then return ifg_p2; else if speed = '1' then return ifg_p2_r100; else return ifg_p2_r10; end if; end if; end if; end function; --transmitter types type tx_state_type is (idle, preamble, sfd, data1, data2, pad1, pad2, fcs, fcs2, finish, calc_backoff, wait_backoff, send_jam, send_jam2, check_attempts); type def_state_type is (monitor, def_on, ifg1, ifg2, frame_waitingst); type tx_reg_type is record --deference process def_state : def_state_type; ifg_cycls : std_logic_vector(ifg_bits-1 downto 0); deferring : std_ulogic; was_transmitting : std_ulogic; --tx process main_state : tx_state_type; transmitting : std_ulogic; tx_en : std_ulogic; txd : std_logic_vector(3 downto 0); cnt : std_logic_vector(3 downto 0); icnt : std_logic_vector(1 downto 0); crc : std_logic_vector(31 downto 0); crc_en : std_ulogic; byte_count : std_logic_vector(10 downto 0); slot_count : std_logic_vector(6 downto 0); random : std_logic_vector(9 downto 0); delay_val : std_logic_vector(9 downto 0); retry_cnt : std_logic_vector(4 downto 0); status : std_logic_vector(1 downto 0); data : std_logic_vector(31 downto 0); --synchronization read : std_ulogic; done : std_ulogic; restart : std_ulogic; start : std_logic_vector(nsync downto 0); read_ack : std_logic_vector(nsync-1 downto 0); crs : std_logic_vector(1 downto 0); col : std_logic_vector(1 downto 0); fullduplex : std_logic_vector(1 downto 0); --rmii crs_act : std_ulogic; crs_prev : std_ulogic; speed : std_logic_vector(1 downto 0); rcnt : std_logic_vector(3 downto 0); switch : std_ulogic; txd_msb : std_logic_vector(1 downto 0); zero : std_ulogic; rmii_crc_en : std_ulogic; end record; --transmitter signals signal r, rin : tx_reg_type; signal txrst : std_ulogic; signal vcc : std_ulogic; begin vcc <= '1'; tx_rst : eth_rstgen port map(rst, clk, vcc, txrst, open); tx : process(txrst, r, txi) is variable collision : std_ulogic; variable frame_waiting : std_ulogic; variable index : integer range 0 to 7; variable start : std_ulogic; variable read_ack : std_ulogic; variable v : tx_reg_type; variable crs : std_ulogic; variable col : std_ulogic; variable tx_done : std_ulogic; begin v := r; frame_waiting := '0'; tx_done := '0'; v.rmii_crc_en := '0'; --synchronization v.col(1) := r.col(0); v.col(0) := txi.rx_col; v.crs(1) := r.crs(0); v.crs(0) := txi.rx_crs; v.fullduplex(0) := txi.full_duplex; v.fullduplex(1) := r.fullduplex(0); v.start(0) := txi.start; v.read_ack(0) := txi.readack; if nsync = 2 then v.start(1) := r.start(0); v.read_ack(1) := r.read_ack(0); end if; start := r.start(nsync) xor r.start(nsync-1); read_ack := not (r.read xor r.read_ack(nsync-1)); --crc generation if (r.crc_en = '1') and ((rmii = 0) or (r.rmii_crc_en = '1')) then v.crc := calccrc(r.txd, r.crc); end if; --rmii if rmii = 0 then col := r.col(1); crs := r.crs(1); tx_done := '1'; else v.crs_prev := r.crs(1); if (r.crs(0) and not r.crs_act) = '1' then v.crs_act := '1'; end if; if (r.crs(1) or r.crs(0)) = '0' then v.crs_act := '0'; end if; crs := r.crs(1) and not ((not r.crs_prev) and r.crs_act); col := crs and r.tx_en; v.speed(1) := r.speed(0); v.speed(0) := txi.speed; if r.tx_en = '1' then v.rcnt := r.rcnt - 1; if r.speed(1) = '1' then v.switch := not r.switch; if r.switch = '1' then tx_done := '1'; v.rmii_crc_en := '1'; end if; if r.switch = '0' then v.txd(1 downto 0) := r.txd_msb; end if; else v.zero := '0'; if r.rcnt = "0001" then v.zero := '1'; end if; if r.zero = '1' then v.switch := not r.switch; v.rcnt := "1001"; if r.switch = '0' then v.txd(1 downto 0) := r.txd_msb; end if; end if; if (r.switch and r.zero) = '1' then tx_done := '1'; v.rmii_crc_en := '1'; end if; end if; end if; end if; collision := col and not r.fullduplex(1); --main fsm case r.main_state is when idle => v.transmitting := '0'; if rmii = 1 then v.rcnt := "1001"; v.switch := '0'; end if; if (start and not r.deferring) = '1' then v.main_state := preamble; v.transmitting := '1'; v.tx_en := '1'; v.byte_count := (others => '1'); v.status := (others => '0'); v.read := not r.read; v.start(nsync) := r.start(nsync-1); elsif start = '1' then frame_waiting := '1'; end if; v.txd := "0101"; v.cnt := "1110"; when preamble => if tx_done = '1' then v.cnt := r.cnt - 1; if r.cnt = "0000" then v.txd := "1101"; v.main_state := sfd; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when sfd => if tx_done = '1' then v.main_state := data1; v.icnt := (others => '0'); v.crc_en := '1'; v.crc := (others => '1'); v.byte_count := (others => '0'); v.txd := txi.data(27 downto 24); if (read_ack and txi.valid) = '0' then v.status(0) := '1'; v.main_state := finish; v.tx_en := '0'; else v.data := txi.data; v.read := not r.read; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when data1 => index := conv_integer(r.icnt); if tx_done = '1' then v.byte_count := r.byte_count + 1; v.main_state := data2; v.icnt := r.icnt + 1; case index is when 0 => v.txd := r.data(31 downto 28); when 1 => v.txd := r.data(23 downto 20); when 2 => v.txd := r.data(15 downto 12); when 3 => v.txd := r.data(7 downto 4); when others => null; end case; if v.byte_count = txi.len then v.tx_en := '1'; if conv_integer(v.byte_count) >= 60 then v.main_state := fcs; v.cnt := (others => '0'); else v.main_state := pad1; end if; elsif index = 3 then if (read_ack and txi.valid) = '0' then v.status(0) := '1'; v.main_state := finish; v.tx_en := '0'; else v.data := txi.data; v.read := not r.read; end if; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when data2 => index := conv_integer(r.icnt); if tx_done = '1' then v.main_state := data1; case index is when 0 => v.txd := r.data(27 downto 24); when 1 => v.txd := r.data(19 downto 16); when 2 => v.txd := r.data(11 downto 8); when 3 => v.txd := r.data(3 downto 0); when others => null; end case; if collision = '1' then v.main_state := send_jam; end if; end if; when pad1 => if tx_done = '1' then v.main_state := pad2; if collision = '1' then v.main_state := send_jam; end if; end if; when pad2 => if tx_done = '1' then v.byte_count := r.byte_count + 1; if conv_integer(v.byte_count) = 60 then v.main_state := fcs; v.cnt := (others => '0'); else v.main_state := pad1; end if; if collision = '1' then v.main_state := send_jam; end if; end if; when fcs => if tx_done = '1' then v.cnt := r.cnt + 1; v.crc_en := '0'; index := conv_integer(r.cnt); case index is when 0 => v.txd := mirror2(not v.crc(31 downto 28)); when 1 => v.txd := mirror2(not r.crc(27 downto 24)); when 2 => v.txd := mirror2(not r.crc(23 downto 20)); when 3 => v.txd := mirror2(not r.crc(19 downto 16)); when 4 => v.txd := mirror2(not r.crc(15 downto 12)); when 5 => v.txd := mirror2(not r.crc(11 downto 8)); when 6 => v.txd := mirror2(not r.crc(7 downto 4)); when 7 => v.txd := mirror2(not r.crc(3 downto 0)); v.main_state := fcs2; when others => null; end case; end if; when fcs2 => if tx_done = '1' then v.main_state := finish; v.tx_en := '0'; end if; when finish => v.tx_en := '0'; v.transmitting := '0'; v.main_state := idle; v.retry_cnt := (others => '0'); v.done := not r.done; when send_jam => if tx_done = '1' then v.cnt := "0110"; v.main_state := send_jam2; v.crc_en := '0'; end if; when send_jam2 => if tx_done = '1' then v.cnt := r.cnt - 1; if r.cnt = "0000" then v.main_state := check_attempts; v.retry_cnt := r.retry_cnt + 1; v.tx_en := '0'; end if; end if; when check_attempts => v.transmitting := '0'; if r.retry_cnt = maxattempts then v.main_state := finish; v.status(1) := '1'; else v.main_state := calc_backoff; v.restart := not r.restart; end if; v.tx_en := '0'; when calc_backoff => v.delay_val := (others => '0'); for i in 1 to backoff_limit-1 loop if i < conv_integer(r.retry_cnt)+1 then v.delay_val(i) := r.random(i); end if; end loop; v.main_state := wait_backoff; v.slot_count := (others => '1'); when wait_backoff => if conv_integer(r.delay_val) = 0 then v.main_state := idle; end if; v.slot_count := r.slot_count - 1; if conv_integer(r.slot_count) = 0 then v.slot_count := (others => '1'); v.delay_val := r.delay_val - 1; end if; when others => v.main_state := idle; end case; --random values; v.random := r.random(8 downto 0) & (not (r.random(2) xor r.random(9))); --deference case r.def_state is when monitor => v.was_transmitting := '0'; if ( (crs and not r.fullduplex(1)) or (r.transmitting and r.fullduplex(1)) ) = '1' then v.deferring := '1'; v.def_state := def_on; v.was_transmitting := r.transmitting; end if; when def_on => v.was_transmitting := r.was_transmitting or r.transmitting; if r.fullduplex(1) = '1' then if r.transmitting = '0' then v.def_state := ifg1; end if; v.ifg_cycls := ifg_sel(rmii, 1, r.speed(1)); else if (r.transmitting or crs) = '0' then v.def_state := ifg1; v.ifg_cycls := ifg_sel(rmii, 1, r.speed(1)); end if; end if; when ifg1 => v.ifg_cycls := r.ifg_cycls - 1; if r.ifg_cycls = zero32(ifg_bits-1 downto 0) then v.def_state := ifg2; v.ifg_cycls := ifg_sel(rmii, 0, r.speed(1)); elsif (crs and not r.fullduplex(1)) = '1' then v.ifg_cycls := ifg_sel(rmii, 1, r.speed(1)); end if; when ifg2 => v.ifg_cycls := r.ifg_cycls - 1; if r.ifg_cycls = zero32(ifg_bits-1 downto 0) then v.deferring := '0'; if (r.fullduplex(1) or not frame_waiting) = '1' then v.def_state := monitor; elsif frame_waiting = '1' then v.def_state := frame_waitingst; end if; end if; when frame_waitingst => if frame_waiting = '0' then v.def_state := monitor; end if; when others => v.def_state := monitor; end case; if rmii = 1 then v.txd_msb := v.txd(3 downto 2); end if; if txrst = '0' then v.main_state := idle; v.random := (others => '0'); v.def_state := monitor; v.deferring := '0'; v.tx_en := '0'; v.done := '0'; v.restart := '0'; v.read := '0'; v.start := (others => '0'); v.read_ack := (others => '0'); v.icnt := (others => '0'); v.delay_val := (others => '0'); v.ifg_cycls := (others => '0'); v.crs_act := '0'; v.slot_count := (others => '1'); v.retry_cnt := (others => '0'); v.cnt := (others => '0'); end if; rin <= v; txo.tx_er <= '0'; txo.tx_en <= r.tx_en; txo.txd <= r.txd; txo.done <= r.done; txo.read <= r.read; txo.restart <= r.restart; txo.status <= r.status; end process; gmiimode0 : if gmiimode = 0 generate txregs0 : process(clk) is begin if rising_edge(clk) then r <= rin; if txrst = '0' then r.icnt <= (others => '0'); r.delay_val <= (others => '0'); r.cnt <= (others => '0'); else r.icnt <= rin.icnt; r.delay_val <= rin.delay_val; r.cnt <= rin.cnt; end if; end if; end process; end generate; gmiimode1 : if gmiimode = 1 generate txregs0 : process(clk) is begin if rising_edge(clk) then if (txi.datavalid = '1' or txrst = '0') then r <= rin; end if; if txrst = '0' then r.icnt <= (others => '0'); r.delay_val <= (others => '0'); r.cnt <= (others => '0'); else if txi.datavalid = '1' then r.icnt <= rin.icnt; r.delay_val <= rin.delay_val; r.cnt <= rin.cnt; end if; end if; end if; end process; end generate; end architecture;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias4: electrical; terminal gnd: electrical; terminal vdd: electrical; terminal vbias2: electrical; terminal vbias1: electrical; terminal vbias3: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; terminal net10: electrical; terminal net11: electrical; begin subnet0_subnet0_m1 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in1, S => net6 ); subnet0_subnet0_m2 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in2, S => net6 ); subnet0_subnet0_m3 : entity nmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net6, G => vbias4, S => gnd ); subnet0_subnet1_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net1, G => vbias2, S => net7 ); subnet0_subnet1_m2 : entity pmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net7, G => net1, S => vdd ); subnet0_subnet1_m3 : entity pmos(behave) generic map( L => Lcm_2, W => Wcmout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net8, G => net1, S => vdd ); subnet0_subnet1_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net3, G => vbias2, S => net8 ); subnet0_subnet2_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net2, G => vbias2, S => net9 ); subnet0_subnet2_m2 : entity pmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net9, G => net2, S => vdd ); subnet0_subnet2_m3 : entity pmos(behave) generic map( L => Lcm_2, W => Wcmout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net10, G => net2, S => vdd ); subnet0_subnet2_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net4, G => vbias2, S => net10 ); subnet0_subnet3_m1 : entity pmos(behave) generic map( L => LBias, W => Wcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net5, G => vbias2, S => net3 ); subnet0_subnet4_m1 : entity pmos(behave) generic map( L => LBias, W => Wcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => out1, G => vbias2, S => net4 ); subnet0_subnet5_m1 : entity nmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net5, G => net5, S => gnd ); subnet0_subnet5_m2 : entity nmos(behave) generic map( L => Lcm_1, W => Wcmcout_1, scope => private ) port map( D => out1, G => net5, S => gnd ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net11 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net11, G => vbias4, S => gnd ); end simple;
------------------------------------ -- 32 BIT CARRY LOOK AHEAD ADDER -- -- PORT MAPPING -- -- A : 32 bit input value -- -- B : 32 bit input value -- -- CIN : 1 bit input carry -- ------------------------------------ -- C : 32 bit output value A+B -- -- COUT : 1 bit output carry -- ------------------------------------ LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY adder IS PORT ( in_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0); in_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0); in_cin : IN STD_LOGIC; --------------------------------------------- out_c : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); out_cout : OUT STD_LOGIC ); END adder; ARCHITECTURE behavioral OF adder IS -- Sum values without carry SIGNAL s_sum : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Carry generators SIGNAL s_generate : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Carry propagators SIGNAL s_propagate : STD_LOGIC_VECTOR(31 DOWNTO 0); -- Calculated carry values SIGNAL s_carry : STD_LOGIC_VECTOR(31 DOWNTO 1); BEGIN -- Calculate the sum a + b discarding carry s_sum <= in_a XOR in_b; -- Calculate carry generators s_generate <= in_a AND in_b; -- Calculate carry propagators s_propagate <= in_a OR in_b; -- Pre calculate each carry PROCESS(s_generate, s_propagate, s_carry, in_cin) BEGIN -- C(i+1) = G(i) + (P(i)C(i)) -- Calculate base case s_carry(1) <= s_generate(0) OR (s_propagate(0) AND in_cin); FOR i IN 1 TO 30 LOOP -- Recursively calculate all intermediate carries s_carry(i + 1) <= s_generate(i) OR (s_propagate(i) AND s_carry(i)); END LOOP; -- Calculate carry out -- out_cout <= s_generate(31) OR (s_propagate(31) AND s_carry(31)); END PROCESS; -- Calculate final sum -- out_c(0) <= s_sum(0) XOR in_cin; out_c(31 DOWNTO 1) <= s_sum(31 DOWNTO 1) XOR s_carry(31 DOWNTO 1); END behavioral;
------------------------------------------------------------------------------- --! @file onewire_control.vhd --! @author Johannes Walter <[email protected]> --! @copyright LGPL v2.1 --! @brief Control all 1-wire interfaces. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.lfsr_pkg.all; use work.onewire_idtemp_pkg.all; --! @brief Entity declaration of onewire_control entity onewire_control is generic ( --! System clock frequency in Hz clk_frequency_g : natural := 40e6; --! Maximum number of devices on a bus max_devices_g : positive := 16); port ( --! @name Clock and resets --! @{ --! System clock clk_i : in std_ulogic; --! Asynchronous active-low reset rst_asy_n_i : in std_ulogic; --! Synchronous active-high reset rst_syn_i : in std_ulogic; --! @} --! @name Status and control signals --! @{ --! Discover devices on the 1-wire bus discover_i : in std_ulogic; --! Convert and retrieve temperature values get_temp_i : in std_ulogic; --! Busy flag busy_o : out std_ulogic; --! Done flag done_o : out std_ulogic; --! Number of detected devices device_count_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g + 1)))) - 1 downto 0); --! Error flag if too many devices are detected error_too_many_o : out std_ulogic; --! Enable strong pull-up circuit to provide more current during temperature conversion strong_pullup_o : out std_ulogic; --! @} --! @name Internal signals --! @{ --! Start search algorithm discover_o : out std_ulogic; --! Discovered device ID enable id_en_i : in std_ulogic; --! Done flag done_i : in std_ulogic; --! @} --! @name Memory interface signals --! @{ --! Write address mem_wr_addr_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g * 2)))) - 1 downto 0); --! Write enable mem_wr_en_o : out std_ulogic; --! Data output mem_wr_data_o : out std_ulogic_vector(63 downto 0); --! Write done flag mem_wr_done_i : in std_ulogic; --! Read address mem_rd_addr_o : out std_ulogic_vector(natural(ceil(log2(real(max_devices_g * 2)))) - 1 downto 0); --! Read enable mem_rd_en_o : out std_ulogic; --! Data input mem_rd_data_i : in std_ulogic_vector(63 downto 0); --! Data input enable mem_rd_data_en_i : in std_ulogic; --! @} --! @name Bus interface signals --! @{ --! Send a bus reset command bus_rst_o : out std_ulogic; --! Send data bit bit_send_o : out std_ulogic; --! The data bit to be sent bit_o : out std_ulogic; --! Receive data bit bit_recv_o : out std_ulogic; --! The received data bit bit_i : in std_ulogic; --! The received data bit enable bit_en_i : in std_ulogic; --! Done flag bit_done_i : in std_ulogic); --! @} end entity onewire_control; --! RTL implementation of onewire_control architecture rtl of onewire_control is ----------------------------------------------------------------------------- --! @name Types and Constants ----------------------------------------------------------------------------- --! @{ --! Time to hold strong pull-up high during conversion constant t_pullup_c : real := 0.750; --! Time to recover from strong pull-up constant t_pullup_recvr_c : real := t_pullup_c + 0.000002; constant clk_period_c : real := 1.0 / real(clk_frequency_g); constant cnt_pullup_c : natural := natural(ceil(t_pullup_c / clk_period_c)); constant cnt_pullup_recvr_c : natural := natural(ceil(t_pullup_recvr_c / clk_period_c)); constant lfsr_len_c : natural := lfsr_length(cnt_pullup_recvr_c); subtype lfsr_t is std_ulogic_vector(lfsr_len_c - 1 downto 0); constant lfsr_seed_c : lfsr_t := lfsr_seed(lfsr_len_c); constant max_id_c : lfsr_t := lfsr_shift(lfsr_seed_c, mem_wr_data_o'length - 1); constant max_cmd_c : lfsr_t := lfsr_shift(lfsr_seed_c, cmd_match_c'length - 1); constant max_pullup_c : lfsr_t := "1110100111110000010100010"; --lfsr_shift(lfsr_seed_c, cnt_pullup_c - 1); constant max_pullup_recvr_c : lfsr_t := "0101100010000101001011101"; --lfsr_shift(lfsr_seed_c, cnt_pullup_recvr_c - 1); type state_t is (IDLE, ERASE, DISCOVER, CONVERT, SKIP_COMMAND, CONVERT_COMMAND, WAIT_CONVERSION, WAIT_RESET, MATCH_COMMAND, GET_ID, SEND_ID, READ_COMMAND, SCRATCHPAD, SCRATCHPAD_CRC, SAVE_DATA, CHECK_NUM); type reg_t is record state : state_t; lfsr : lfsr_t; busy : std_ulogic; done : std_ulogic; device_count : unsigned(device_count_o'range); too_many : std_ulogic; strong_pullup : std_ulogic; discover : std_ulogic; mem_addr : unsigned(mem_wr_addr_o'range); mem_wr_en : std_ulogic; mem_rd_en : std_ulogic; crc_reset : std_ulogic; bus_rst : std_ulogic; bit_send : std_ulogic; bit_recv : std_ulogic; data : std_ulogic_vector(mem_wr_data_o'range); end record; constant init_c : reg_t := ( state => IDLE, lfsr => lfsr_seed_c, busy => '0', done => '0', device_count => to_unsigned(0, device_count_o'length), too_many => '0', strong_pullup => '0', discover => '0', mem_addr => to_unsigned(0, mem_wr_addr_o'length), mem_wr_en => '0', mem_rd_en => '0', crc_reset => '0', bus_rst => '0', bit_send => '0', bit_recv => '0', data => (others => '0')); --! @} ----------------------------------------------------------------------------- --! @name Internal Registers ----------------------------------------------------------------------------- --! @{ signal reg : reg_t; --! @} ----------------------------------------------------------------------------- --! @name Internal Wires ----------------------------------------------------------------------------- --! @{ signal nxt_reg : reg_t; signal crc_valid : std_ulogic; --! @} begin -- architecture rtl ----------------------------------------------------------------------------- -- Outputs ----------------------------------------------------------------------------- busy_o <= reg.busy; done_o <= reg.done; device_count_o <= std_ulogic_vector(reg.device_count); error_too_many_o <= reg.too_many; strong_pullup_o <= reg.strong_pullup; discover_o <= reg.discover; mem_wr_addr_o <= std_ulogic_vector(reg.mem_addr); mem_wr_en_o <= reg.mem_wr_en; mem_wr_data_o <= reg.data; mem_rd_addr_o <= std_ulogic_vector(reg.mem_addr); mem_rd_en_o <= reg.mem_rd_en; bus_rst_o <= reg.bus_rst; bit_send_o <= reg.bit_send; bit_o <= reg.data(reg.data'low); bit_recv_o <= reg.bit_recv; ----------------------------------------------------------------------------- -- Instantiations ----------------------------------------------------------------------------- crc_inst : entity work.onewire_crc port map ( clk_i => clk_i, rst_asy_n_i => rst_asy_n_i, rst_syn_i => rst_syn_i, reset_i => reg.crc_reset, data_i => bit_i, data_en_i => bit_en_i, valid_o => crc_valid); ----------------------------------------------------------------------------- -- Registers ----------------------------------------------------------------------------- regs : process (clk_i, rst_asy_n_i) is procedure reset is begin reg <= init_c; end procedure reset; begin -- process regs if rst_asy_n_i = '0' then reset; elsif rising_edge(clk_i) then if rst_syn_i = '1' then reset; else reg <= nxt_reg; end if; end if; end process regs; ----------------------------------------------------------------------------- -- Combinatorics ----------------------------------------------------------------------------- comb : process (reg, discover_i, get_temp_i, id_en_i, done_i, crc_valid, mem_wr_done_i, mem_rd_data_i, mem_rd_data_en_i, bit_i, bit_en_i, bit_done_i) is begin -- process comb -- Defaults nxt_reg <= reg; nxt_reg.done <= init_c.done; nxt_reg.discover <= init_c.discover; nxt_reg.mem_wr_en <= init_c.mem_wr_en; nxt_reg.mem_rd_en <= init_c.mem_rd_en; nxt_reg.bus_rst <= init_c.bus_rst; nxt_reg.bit_send <= init_c.bit_send; nxt_reg.bit_recv <= init_c.bit_recv; nxt_reg.crc_reset <= init_c.crc_reset; case reg.state is when IDLE => if discover_i = '1' then nxt_reg <= init_c; nxt_reg.busy <= '1'; nxt_reg.mem_wr_en <= '1'; nxt_reg.state <= ERASE; elsif get_temp_i = '1' then nxt_reg.busy <= '1'; nxt_reg.bus_rst <= '1'; nxt_reg.mem_addr <= init_c.mem_addr; nxt_reg.state <= CONVERT; end if; when ERASE => if mem_wr_done_i = '1' then if to_integer(reg.mem_addr) < (max_devices_g * 2) - 1 then nxt_reg.mem_wr_en <= '1'; nxt_reg.mem_addr <= reg.mem_addr + 1; else nxt_reg.discover <= '1'; nxt_reg.mem_addr <= init_c.mem_addr; nxt_reg.state <= DISCOVER; end if; end if; when DISCOVER => if id_en_i = '1' then nxt_reg.device_count <= reg.device_count + 1; nxt_reg.mem_addr <= reg.mem_addr + 2; if to_integer(reg.device_count) = max_devices_g then nxt_reg.too_many <= '1'; end if; end if; if done_i = '1' then nxt_reg.state <= IDLE; nxt_reg.busy <= '0'; nxt_reg.done <= '1'; end if; when CONVERT => if bit_done_i = '1' then nxt_reg.bit_send <= '1'; nxt_reg.data(7 downto 0) <= cmd_skip_c; nxt_reg.state <= SKIP_COMMAND; end if; when SKIP_COMMAND => if bit_done_i = '1' then if reg.lfsr = max_cmd_c then nxt_reg.bit_send <= '1'; nxt_reg.data(7 downto 0) <= cmd_convert_c; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= CONVERT_COMMAND; else nxt_reg.bit_send <= '1'; nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1); nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when CONVERT_COMMAND => if bit_done_i = '1' then if reg.lfsr = max_cmd_c then nxt_reg.strong_pullup <= '1'; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= WAIT_CONVERSION; else nxt_reg.bit_send <= '1'; nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1); nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when WAIT_CONVERSION => nxt_reg.lfsr <= lfsr_shift(reg.lfsr); if reg.lfsr = max_pullup_c then nxt_reg.strong_pullup <= '0'; end if; if reg.lfsr = max_pullup_recvr_c then nxt_reg.bus_rst <= '1'; nxt_reg.state <= WAIT_RESET; end if; when WAIT_RESET => if bit_done_i = '1' then nxt_reg.bit_send <= '1'; nxt_reg.data(7 downto 0) <= cmd_match_c; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= MATCH_COMMAND; end if; when MATCH_COMMAND => if bit_done_i = '1' then if reg.lfsr = max_cmd_c then nxt_reg.mem_rd_en <= '1'; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= GET_ID; else nxt_reg.bit_send <= '1'; nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1); nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when GET_ID => if mem_rd_data_en_i = '1' then if mem_rd_data_i(7 downto 0) = code_ds18b20_c then nxt_reg.data <= mem_rd_data_i; nxt_reg.bit_send <= '1'; nxt_reg.state <= SEND_ID; else nxt_reg.mem_addr <= reg.mem_addr + 1; nxt_reg.state <= CHECK_NUM; end if; end if; when SEND_ID => if bit_done_i = '1' then if reg.lfsr = max_id_c then nxt_reg.bit_send <= '1'; nxt_reg.data(7 downto 0) <= cmd_read_sp_c; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= READ_COMMAND; else nxt_reg.bit_send <= '1'; nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1); nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when READ_COMMAND => if bit_done_i = '1' then if reg.lfsr = max_cmd_c then nxt_reg.bit_recv <= '1'; nxt_reg.crc_reset <= '1'; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= SCRATCHPAD; else nxt_reg.bit_send <= '1'; nxt_reg.data <= '0' & reg.data(reg.data'high downto reg.data'low + 1); nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when SCRATCHPAD => if bit_en_i = '1' then nxt_reg.data <= bit_i & reg.data(reg.data'high downto reg.data'low + 1); if reg.lfsr = max_id_c then nxt_reg.bit_recv <= '1'; nxt_reg.lfsr <= init_c.lfsr; nxt_reg.state <= SCRATCHPAD_CRC; else nxt_reg.bit_recv <= '1'; nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when SCRATCHPAD_CRC => if bit_en_i = '1' then if reg.lfsr = max_cmd_c then nxt_reg.state <= SAVE_DATA; else nxt_reg.bit_recv <= '1'; nxt_reg.lfsr <= lfsr_shift(reg.lfsr); end if; end if; when SAVE_DATA => if crc_valid = '0' then nxt_reg.data <= (others => '1'); end if; nxt_reg.mem_wr_en <= '1'; nxt_reg.mem_addr <= reg.mem_addr + 1; nxt_reg.state <= CHECK_NUM; when CHECK_NUM => if reg.mem_addr < (max_devices_g * 2) - 1 then nxt_reg.mem_addr <= reg.mem_addr + 1; nxt_reg.bus_rst <= '1'; nxt_reg.state <= WAIT_RESET; else nxt_reg.state <= IDLE; nxt_reg.busy <= '0'; nxt_reg.done <= '1'; end if; end case; end process comb; end architecture rtl;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:08:04 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_processing_system7_0_0_stub.vhdl -- Design : zqynq_lab_1_design_processing_system7_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],FCLK_CLK0,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2017.2"; begin end;
-- Fichier : clk_exemple.vhdl -- created by Yann Guidon / ygdes.com -- jeu. avril 15 15:28:24 CEST 2010 -- jeu. avril 15 21:05:36 CEST 2010 -- clk_exemple.vhdl : just an example for the use of the synchronised clock generator -- Copyright (C) 2010 Yann GUIDON -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; library work; use work.rt_utils.all; entity clk_exemple is -- rien end entity; architecture exemple of clk_exemple is -- ne pas oublier d'initialiser clk -- sinon l'horloge ne peut pas osciller -- aussi, stop doit etre maintenu à '0' signal clk, stop: std_ulogic:='0'; begin -- instanciation de l'horloge : horloge : entity work.rt_clk generic map(ms => 500) port map(clk => clk, stop => stop); process(clk) is variable compteur: integer := 0; begin if rising_edge(clk) then compteur := compteur + 1; if compteur > 10 then stop <= '1'; end if; end if; end process; observe_std_ulogic("clk",clk); end exemple;
entity FIFO is port ( I_WR_EN : in std_logic; I_DATA : out std_logic_vector(31 downto 0); I_RD_EN : in std_logic; O_DATA : out std_logic_vector(31 downto 0) ); end entity FIFO; entity FIFO is port ( I_WR_EN : std_logic; I_DATA : std_logic_vector(31 downto 0); I_RD_EN : std_logic; O_DATA : std_logic_vector(31 downto 0) ); end entity FIFO;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY instruction_memory_tb IS END instruction_memory_tb; ARCHITECTURE behavior OF instruction_memory_tb IS constant PROGRAM_FILENAME : string := "F:\Projects\MyStuff\TIS100\Assembler\multiply.prg"; -- Component Declaration for the Unit Under Test (UUT) COMPONENT instruction_memory GENERIC(filename : string := PROGRAM_FILENAME); PORT( I_addr : IN std_logic_vector(5 downto 0); O_instr : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal I_addr : std_logic_vector(5 downto 0) := (others => '0'); --Outputs signal O_instr : std_logic_vector(31 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: instruction_memory GENERIC MAP (filename => PROGRAM_FILENAME) PORT MAP ( I_addr => I_addr, O_instr => O_instr ); -- Stimulus process stim_proc: process begin -- insert stimulus here I_addr <= "000000"; wait for 10 ns; assert O_instr = X"80800005" report "Invalid instruction @ addr 0" severity error; I_addr <= "000001"; wait for 10 ns; assert O_instr = X"01100000" report "Invalid instruction @ addr 1" severity error; I_addr <= "000100"; wait for 10ns; assert O_instr = X"10000000" report "Invalid instruction @ addr 4" severity error; wait; end process; END;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cKZEuRPUxEc+5Ym7Rfdo4xybUv49oEv9MXm3hjSgcx58CvERI70nXaqAcWAVXI67oXkIPoPBTWDG ptEAr3BBog== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FhrK+34Yq2lYMCPfYfuH2YqtISRsYLaxmv1/Zwplqim6ngelk9IsL9cvV6fRXkvoVapJq8SIoiKo ejnoevfsVco1jOj4HC3WwqKjUauvIPAshQ+4H6Aaqbu4/O4NwwVrdL4N7DqGHtsNuj+ScdcKAjye Lkm+o3kQgHZ9OUDB0yU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3L6YLtAKuHVJLpjkdzQrKIbQqalcUY81olzzseClC8OhC/W9myN6s3eY/Dt3qpCYp3FKLclC8gn8 Zzt+1XGuA8pWJwUssaRZjV6v59qQloRDWUom7HlP/bIaKeric+bB9ax8RXWIgyIL5DoyBDZDZ6MA ZcB8eCjyLWK6VO/LN1UXvoC+8BXtwOtB0GJxRT0n72psC7J45DavmT68vEJ6EFmNvbM+NbWdqwF4 HJu77HQ4zlZqOerIiN5b5DamCPF3YzF92Mn6MeSL98p9B6bJchSdv7noY7byDeS/LRuQMYNXkS14 mWubK0S9i4Kx78Uluiu+cWTfRaJhplGIFKNRLg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qQScxrgvF93WsBcCTsOJdJPFUc4/O+E0z7pF+nqkKsM6Cnb0VW7PB7E3KUv387ek5GV0pW2rZVDq OEOlWBp8gvkCc5gtq57fP74Db1QOSZZSOAQDuaAGA/3lyzo/FFAFvtMm51dL9Vr012VHnKY5NLvV lBRncXhqDKlhykp7ZiM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WNWHyPyEOHdlU05JuSLYaxyxTwO5PQapFfFDPPiNq7Nmae2uEfIXE9gGAwRtNYZH1sWSIlKSyxXe HxGonGqxbGWveH5b94vabnsMVatFayNpYkHKbASJDh9i+Kc00CBZS8h97J5l1nYcVsyG3+Os0AzO wezlLe8BE9avNm7hVlc9eQz3ubon16H6V5fabJxM2QUeZ5tgBYbuButtUJlU+t8uKgvabrZnQ3qu uaJ84Xk0DLgkJA0lW2MWQycIj/8t6ZF2Xs9yTmyXkH/ug9uG620zaO3VGvsvv5FJdN34GRjQTQHB Faqa3tH5XlXSKpgNZDAmGqPxYECvHg3IYC+/pw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55712) `protect data_block 5Ovi2vZlXPygQa4lZYCuetiyNGrSgttate8zKBnwdx9/ovvln2J2drFNH2uZH3utkSQLRlsQ6WNJ PbUrt3qUaeyizVXArgB1eo3cJOjtOY39L+jEIH7sUDlM+HmaJ+jFz6osq3dvApV4b3oHc2JXbRVP 7DJOLaiBFqLwayX+9xYEUKZXcO6wjBBRD0gkiWCldUc/xf3I583iUOYKA/PMg4FA1am/6CxRNRrQ QR0/PjKqwoydUVuTG6CKgSauYeqIgYNP3ohpmmf1NxLTGpip1NYB/0vamub2BkoL377IWQ0CcF55 w3agtwHHuMsiGDRmmJz7AM9zDB3EiajW6HhUyYAWiNA3Z++ZYLyfxIjnFmGGdxzwvymW913jI2W+ tcN3lIxrzzVHiPBHpRPx9o69YyMhXibtedh9FfC03utrkzYE0/eIkNl+ABe1vGypitJizvXJs5zT gc1L4+Seap+9XfNxY5sjQU0nAw80ZkgVR+6p251ajEtyVa1GqU91sOBOY4s9K8fb4qUqlyscb+sP W24eXo7lo6Kl1UFQVqYhWOUZCwdq1OvzOoBkITt3xZfvrN/5sSD+bsnobLPNCI/KCZErUpLA+LwK Lifx0GlgdEiFePp+097vUKlMUTGBeRQEJezHntfmaL+KSwTyFZpUvPUx6W7/PzdoW+aYSAh31A9W 4F1CaoM6CozZ08J0BGyqS1bSakXpq9hNMXDLqr/cyj4CKKzCVDoZ4LJl+FfEa4LO250A25I9jck0 oSaBPc2O8ttDazC1+91ucpCKMYn5ri0xjqstnQdtuG8GZ0N96+r7kbvvAOFGkaFca99yBB2mgEXm 9NkpReksZxMrhO9V/8YyIJVGNE6yikVOgzYYtNalQ9e9EuYnkHEJYLpVmiqCwSGt3EYaJka2UmTL IIuRNBa6BRMlvyIFNX9ga5hWnW7+bnuHTIvr7MxcyD0GEqY/E/ZqFgbkwewUQlvJbwaeZARekOM8 RF9zrlJ2dm2AzRiwvOWKHx1EBHlgKd6u4aXlu/LO79VTRWCkPuOKVv8/rvLG3yNGmvPxPb0viEfV cwZBnmvPY1jrJ36wpWGP6cZB/vNoobwJfP69Ptu3Yy55hRx7k8prJue8xQnnmQKE5Q+Eyi20TYzq CgQawYshnswOs9UIKpN/2y4jbr3TXIPgsVbG4LbZrH0ha/QWsCtmsUaSo1RbMF0J6OiVSumuor0R FrI/Kpt/v/vPJbW6RPsdrW3FWsBbaiwKpeGdYj9xIwqxRLPC+05/m6WvOIdjFms4sfy/oSRGL1/v ioAsDqNFIgQAykENa/2VLOZ86X5lVUbgH7uA0oc3OjMiC94yyqbS76oR2S0g+9Ib6PLAlNozv5ED nw5dLRxE3n1tT3KYZ4leWKNNJ1p8Dub6B1LvwOWReO98jtR5vVTPvaCY0GRuILh/8XnRMKi2tEkk BSOWToYQDv4JpYgquloGVKjHq4qA4l07RTgXd79vkc+SAgrcM0qW2tQfbICKEDITymRRCOABUwpm dfQ+X6RneRy05abUryzcx3/VxtXa/AF318l13NpWctfOBdlMT7dPpYhe0aLIXtv2Reph93B5stN5 l6JFN/TUkpqFp5JQITt+s2ujJLfXvdh2MosmXqm9KDSicOsscZahVMk6bbYb6EPusEToG7d+Yq5d 2PSi4SGvSQwk12aoyA+oM/TvBrP6curcURbEEHyYSO9X5eZFQWOUYKsNIFKr5DfYIfBcfCze+8hZ X3BTHcpcdQ5qhKodlKOh7NUCPRrp8XCf7kzsN3uyiaoB3u2we/v27sosd4QXU9bmvDpEuhJy4HLk 6rV4962ikVXxP17TbwWyT0DKhf8e/t+yAalicXaGp3IobC+JVJ7YWh5+ZJPXHGFxLOpu921kY9nW xgDLMarBeLUOUbT1Zr0PfmcG7+V3vbicI/wALsXe06JnvWtHiMj1pWxz2TXDu4ymxXMreFDe2NBn QP5GGAKe7fnMSOJzikvOcFu56985So7I/TTDLY/kdVSdOkK7CvagM3vhV07DrhCbNM/ZHAXyjPJq r5Uv33Yvqs0sNahGAAgTVN7azS2bI7++RZz2TFciVz4bsKXHJjCNi20IFnrHtOz54LLX1QAyBM6x KfZtmHwxlMX3VRw2PlyI8Eb4lYWRh38OkX4Qvl7yqVtPEP0rFwgjqZiY7Ei9Y6eEU9+Ry5UW6sy8 N/ongr9Iuj4hWBrcTSlnIrl0gAjugtpUJF3nDjFrz1YS9GgsTjkEQ5LCTOdzfyeEH69wsKlmkHIu syc3JxiBTi5crDXq6tWE85hkaYzNSL3txaMOGob5jLo5dxYPOp7kS2DCm3hsaL3NL28noFCVB+la bLlNmENmJbwV5+DZXt7InOem1sghcM5fFNPX3sZevqHjYGykZizjPdLn9nGkLyTmyKO8e9tqU5/x A+89dVHnrqUFJ6L5t47nm7v24NFORYlIuhBtbWrPI/pJMxGT3cpi3ranuoi6Ll1zCsVGEXaWN7F3 ID8rPERu4PQU5caKynvLAzK37AtCYkgwRaV4a9Jpra6z0SWNkq+82EpGbI9rdHZhFNKXF7sS9Ivu qpTHIxQ0peWwmabkIlpUODUfE6KFmha0ZuKULBM3osM+O4+R/YCA+YEFMpWrPGxI0IJAuo8c9RI/ UEIDXtWkITzsJtmxwmlgT7ZBXutbMgtJ5HlmtLx/wCATQBKW3TN1ufO8z3o1PsbjQybtAQ2+jSiq XAiyvrZLRt94n6kda4p1pIuiGgjFgjIYDzFJ/AXhuoeWGuvFUGcbR015dsxwxQuvYABeJgbyKAuT uozK+JmXXVd4Vei1K0NpPutShb0oyHAFQ4jdqI5HmuCN1QsheaUUbogLDoYUxJ885QZCxo1FPSTv hRJwIF9Jj85K3MVzkp9+AJZ93sh/Zp8mXwRTzjqF99ZB3F7cf6TkMiZjQMcUb6jwKEJ2S8aRYbf0 PDLt33SHjAj94rwZeWRAaZ2WnnP3FZqg36tjzJs7l2W3pIe3ZQ5HYuwmzPwIzUppCnfyPuhFemys ln1j7/TnEXbd8sgNWnbUQrAIoTJn0poXMrABIxFsxqLV16y8xd3YOP9Dhw3PvXJCoXtloTR1K3BQ rLYqrKLAJIiRZ/tRnohwCySZmdREyVSsnCG4YMytybY7ivurGGl+27tFb5tTBKq/ZNfF9UNFCkdQ hyakfgQ7ngYczy/erJSV/A6ghMJpPGoIVJS10Zis6vZ2fW+OIEjvfweNXRfAIgmqy2XYLZVuF6pf 9+ifqXgXMYxfrZwbI9neqeZ6LDNSyHHtHz+tQw9hP7Z1Gz7j3HvqB3RSbaZ1Y5DiskG+8G7BoZTl snahN6JXeaXWT2yQUepfa0F3NHR6236NanVXH5TEocCp2nfjS7HLpTOQmT32+kL1wIv6Z/6tNxLM StKJsZdl4rRI760fkEtD/UryDbSBb8/N/E+H6c2+BGXKsHyWNW6PJIZaf5nfIg0G5bP5lA2Kpyeq GHtpKBGrYuZa33tniUyEXHAV9n/eRcY2j5GzewfhJLaNZsrE5iYGy8AOd4E9TSHYyoJvMFKhdsjt +8bdVYFfspZNoeRurKkXd7gPBWlaEuF6Ss1bGBEJxFvxSE2RExfbDl79BosFpAPTw+ZeWOMWou7x cijEaIgj5rOGaQO8Qyg/lGnli05zcKMfAohbglT1fmcT5gSTG/PvwIQcngK2XfZKbL4TjeJQvJZu C1fP5r965WA15IY3aqWNsV9MQyrxp/mdjOcioUuMAR7jjcg7yTsKhib1N9XSLKfwlx2ZEsqMQYro vF5onss/TbtY2Iq9cdiwvEV6DLwOjTdFue6Fir2s6t323hbxSPeJciMJwML6D2UA6DYMKuGdH0lD w62o6+eekGkYAYX5i5ZjIGaMD40F5dIdaVMgUfzHMn+Kb8Hh8d9BPM8FCGnod9/yrxw1BE5xq6sf ONp1mbIWy/HLMYiyWVWf577gmuzR124e45p+MDG3zlgEDvNRC2mwFVML740lL5k6H2Xmh9lrj7ST DqAWMq2qJneGPjd+n8NJLqOZclv87LXWzoygXUlU4ItI2rqBOGf82aWvTo+D/urO56ADt5AZj7ok ++cX+FUk2980jf843Xv2Jo3G6KkkXfy/3oHXB5LtMjQHsnONuKIFGMOZZDuC8d+eTRy5E+iuXnav HCm/gCPtKscnLOrlqkzDw+Sn3CeD8Ii77dTwzSF50plypy1zzAC6UrpXC1Z6GvzT3zXMsGbZcFJC Bmfk3lpkgD1h8KOPswujedfoIGVVnavzZueVgHNWNYPOiElW/6QnZojPxznjR2j+glWPMZOtDaUB 8rYlKyJRrlW+csd7T3OIwWymwRp8BIpu5uMs9BPpj2C6UI2fYAEow8cZb3g7gDG+072BZo+ea2wI iwqsLnt9Hpk6FySlE8HXrMGZTRdojCnEcTezcq703KlNYw06kJg9yz1eSCMlQkC8sR45ss/BbQl3 Lkvu3fAcHcK4lp3qXgLivuywm8NGXb7JTRF+Lzw6oB0BvNVYOIsrEcnRHjYG5OxPDI8hlp6eTIn8 t7MUPYgTG/oqN05RH3bQMcad2HbKRvaJtWsLJWl+6bsg+Pz3c34iucwkVIAl5p60flRm2C/WOHcO ohyXajlsnyTlLka1/koOjZCTHZ8JqNvudAoNnToKWYM37j0YUplQ1Sb37/n/SnT+ecgZnvPVLoyg Sg9Hsv/OnI4yOSXNL9GmmetaDTdDLtvZdisPjrr5nPiHzdf44hPRs1QwDVehdCcDzCIxsVgGWhbP fJ2lEJcPYGYjhUU90Y1P/cJUnCPA9wJOUlJEqdJqP9q4FK8idPJ9JpDBMlxZQl6hEGJ4OwWRQrCu nad/Od/q1ECp7YtqKSM9qFAeY7eZbio4roCQiWCpMjViW3wtkU3gf7LRakAeaaNwQuPXk5x6sdtI 0p89hSF8rjmk+mznpVggMEnTkgWdsPiYVz8GpdNu4TKXkQ9ZoSfQzwwx/C6QuzRxQAZMFZ4mxBRq aNiGiPQqneGjo++sm0noaOjziqDfjB1eGAOjxR3p/VoDSaRUwsuY3lBOuroWAF+MbwNceI/5oX3y Ah/Ds3D0sdi9Jlb2j0WSpVG90acxMHV/fqgo21APPx9qUcOLrWM6++FrxB9S9KuBICbo/A76l6Yi 8oKVHsHRtQFNcAnRXuIJEUKnT2s+WG5USY4oNA7Zz46iWloVGoS5XZVmvfYFBeyZv19pz6BmUx0Z 7THwuh8at7zeLgHJld7joDKm5SbQLxFpsp7eaK7lnrkTEz6hgHmJWMT3MeEGz9an5m4gvTAj3ltM e7mzFIJQMgfG0Hb1cLAljgdnxHckzxmTt4WVLv8Hilk8zdpyjjFGN7J0qlLi1SKfw8ARPqNi0yhL wHIM/a6RinDKVp5/0RtAMJJn6GqvjU/SJSdEP9AreqCvNB4DoHMtLKIUnoEGgJfvKvKZVQ9rvmkG lT2rvdWaY4bbpZsa4MFYG1nm6tbF27dnq3QImoj5cg3bzEbljZC1vc5wD70hZpa/6hEqE2fdsROV yItNO/smafD/DGGo63b0LHsAj57JCr4BZbERB4+c9CG/PcW+4JyhkDzrk7Vnv8EuhgSLl9qSIbUx T2IVRiU9D1LEZ4ObVRNp5mDzK1QNIncuNETwNVt7jDRiYUTcQdPvGt6lOvX/t/sIBNfQ8ZtSUvHe +Wl+YAdF4YNOtHMXbpJ4mHBeMn6iT06UwM+w1/dmchzWajfI8rsRV/oStUt9GElyZD8YMfWxMfWV FPFviR7DC3tkQurhXRQdnfJk4JmK3PoFD5QSopfRIb4zl9JCE1Zlkk4h5IHrsPgWY5XeI1MJkDN2 wDRk207YuLE38+TkC+3ehJqrGzIBZZaKGP4/XE8Ik0QuR7X7YWjRUQVjYtdTTmUXAyQPtmSsupFe Zpvg/ZpysJBAYMXcACj431dtVj8FmnZhHxt33BMDuEhkiAo26aFVGIU2QZNeXQg+BEs0LiR7SqpY 3ByrU3uq/aCDlXzNPf4wReKLXw3zpLSUMg22z3uEj4xbsq5z8o206DiiLV9KgtEr/JjeG2qOuvl7 fgMd5LVdHnZISDJcUfrkkkMTuvCJ7d8s+27M2nUh1dVagd7lQQl/Y+TVqLX7kOEaTs2Zqrej/2rG UIVQ0hUaPzdnNWogHbbti2qBGX/RSP/3eQoSK+BtO2n3kMc83eQW7xR27xYe/PAXN+FynTxjJm07 MUedqdLe1xEQyD/2hpTXdHYtVDjMx9KC/U9RW+2/j5HihFIAtdT4t7ax7qhtTMoizH+a8ElcyR72 g+5OsCrjCWHdhaPoZ/35dNIUOL6MNLdlcmGckUOVddbErSY7H2xdFQQaCPBIUloKRr5cDPXZ5lAj ofL3E/IeQBYEsks2Q7dQxvZx9Fo35EDbsvBMX+Z5BOYdt84GU55HD88zDpvjQVQUnLMf9K9ByF7k MR/aF1hFMSQdjLLV899Kx+0FmIxc2U80RRatOiQYxLJRfduf1JSIHK1CvaPDUNFMthWr5UliQliK 9KGQNHq/Ab04SIvM1ou4Q4LOoFdXCmMMRpmfUIF8l6KGQeph+wsoMK0M33Z/ChFob3De7GZ3u8jO VeCZPljNDW1X+BEKEE0zS8HRRl215mPopv61+tYKEMKCr5m3aI+3pQJX0A74Smtzd1cnZU003MZV Q/HGgrbYwOJl1umuifk3oewpgq5ed5OgI4kqWPwEsvFaoL88Kcnlj6UcHCJfJX/9k5NaJMdghPIg 1kWQ6M87FCST1CQG9TgCOMvjNPkVwJjs8M10HAAyMyR+bOIIjTvuH7wQptLMDDxAzkV+kUEQ7DJl V1y7CEiFPB/RUbrnlwgzOGZ8wLRgQNq/00eqlXHc//pSkADL2zZQ2rhDT6CLn3i6NnKl/CqaJFtU osb18K+tMEg6Np+0OKrLZR3zQgqPnrR1X4o4xyvuR6ntwpIG6LDd0HhPKqAP/wlforN07FvF4ucp +XLMwfy39CtyUVa9T9u+I1RTAb1VFpFHt6w3ykUwdyCtYTg8qwDfN5LM8+p1jJHD6HdWrVO4D2gp PWEBAtHj0NxSAlSQV3gD9uNCVsuKZnme/uvFWJuhtDssackYVUkMYs8kV/m8+7DIbYWDdkqAWrx/ 5ATK2s9lEDXqeJqgDsQpnyg2qoT7ZnAjUsQ2Kwk/q7PNpjXT50++lZ8DO96p+kiG8EJNqIHeXlFO iqt+ci5rknO3x/j/O81tlkT4vTJpt58adsk7L9/uctv1iAUZExKcoLaksJBADCdfeQWPSQcXbFLy 06kpO07tmme0Pp5LEUniXTwUJjsEOfi8P9kp5Z2hpNoJK5m9fCOy5TD7Rlf8/6lrVZty1yE4VNNJ jj8/AFYXDK5LeAc4nhVhdbWryaqsG6I/iBGmgELymvgIWXnJ5EZuBVv8jrf5eN00QntqKeibv3Is eIEKIhekOf7cUcpwc4VJLy37COTz2bnf00yxHkm1woRmDl+I5H+bipCDiXiI7vIluEY3PrZIiMdd zOQJBTzOD3qOUHIAkEJH4TcKTrOKkWxyYd0nI5r5GF6gLQIcSsADg+rGDXMnMWDVrRs0EBodp42D h73Obazo1WBOQwOzZy/Qp5Ac34Gi2lxjYMyGaajTdwlmvf+CT2MbcAgEWkrghle6mY/hh4xwXrWH zI+PkIxOpbr3zwO/3WqZ1C0o6lHLOoCWYpJMwn23mgpCNomR5Zn1xaqdBKsOldewd4iQ67CkdlCz oK/lcpmdiyMjgDMSDoPpwUZldEUNx1Y5B537nTD7ii1lET7QxCBwqi3BY71S6M5iTx5h6w7YlSzt n0LgU+4/9ykx2XwMwxfK74asTTMMphvnGYLEJPj92DXCXC2ElshMy5LGBPw/MdUuFMZakwCqDBs+ E4hTY6+dv7JYIe9GtTQwJVoHJjy2qd3vycSdxCbKhFBiToHtitOPNyFKEeWv8YOeEfBhsTegPfZ3 AIB/kAAnM/LyXnYVd0rJRlbYNki/gdVcfZAYL8EwHC1x7uaMpvTkJ/wzRJNfDsUqgo5treYKvuZR ElNCGVPEbeUppNomgdkP3Yc4KodZgF3DoV1CAP3a9Fk9oIRfp3Vn/vyuPQaon5qF+kQqYD6+VyXR 1wuNisPPe0SSZ5Emwb72pyxzUTLRgDPxC+eAAWmEUkvwpiOMqnft9jux3fRPwCpsEkZSEsrrMdJd nKDw2Bn3gJOKXzLhqxtowy3hoMouqKqS/jOBGYZFBpLbLy8/I7+XP6BIv7bqk62KoqLBoAsBBMv0 dp/LzrUJaWHyHKdbmP5aDNqs63urLxdvmWi3Myf378MNYt6NBvAj6RPup5SUkVx/lih8SdSJWlFe WP/zGsCMX1zw9lcnvCCjP8L3YslCnd9HT+KsurkHtqw/yf3bcEPqV5xVSUOFiZZTdtA9eVBpiQu0 Uqmu4jEwGcGiAyOyyc3wx6Mh8HYE5k1XwniB/bACHN2PegsHsgd3d9ncrXHl+SLae+Db2ci4uSH/ gFpDG/pAdq1WjVvX86xDnjJutfMCqwkca6wz3x3TmHnA8YItOVi3JSsojWnRI/wIzKLICKPxMsId AA8Bg3/QiU7flVf6lztQh4KKX8Khqccz3OQ+fqBzbdX/cDJOSsE3Ep0a1IkT7yANRVENxRll2oZ6 wBsVoTJHxRoAb1JIjOVgi1cMLj1fNm8X3YJEeHQ7WSAMzrrK88efvAhlribiqdaFp4a4xHnFTpmL rPjtKO3Q0Q5T77Wu11MiLv9Yqr9cG3B8rVuzFm+slDw0FznPcbGga183jKxSFj21MWaUS7tTnDN/ B1Ca+Tt6s3JUn3Yp1NJvc2y6MjkNwO7ko4FgPgZMbVfDHWzIbIdwLsr7Gei8xxrcMFgYyflvRwU6 QxSaYJw/vEZDxWG3euQgMwFapLWkN7uUAHy5MuMy0qRi4u3wck55VXJEddLCHFyZ29LUC09966Sl TT6jLGwPk5ESsOzUuMMS58guTIYeEdlWJUiktNSmL43J37NOWzE1kEib+fTCSwzF098mrJnK6QMD de5Wlq4lA6oquR4j0TMcIAm+ad0TWOw5TfkzcQebrDcfprFCxlDb10GKU0g8pzsjaTq0BAPm1e6G yDwfzubHFVQ9C3u+24SK0xgwO/9w/OZLT0OL/BMlrREQFbilibDUfiGvyDnoDsKTBWOWSyWqsrcO XzjpnEWIkdYrtYV86AyJWR33gFj91is9iIroE17yg/TJvf/Yrxg6o6TygKIPfmrYkgTGs2HZBycd JnEYgF+yg348P2Dxtfk2PSLjpGPcyrz/k1rOMmZVq4I/lLh7GvHTFz1Z7f2P0ckC4AkU3LLveboB TiW6y8gmN4ApxuEf/2hqKd0+Ixd4FSGMuL4XVPgDDcX02GTz9xl7JOpRriWkgyo11BGfODcnHPCi 19JdBv5uri+s2SmpnSpM5y1ryB3lkxZ8Ft4bZRRWPsiyOrds7lOzirZslbIv2OYPJqnaqPK8sRgy A3o4hNzYKY0PXfR57sqtPLPY6dZSFlQEcZoLjwpg79osz1IZGwdO1C9uAEjfJ9Q1DflKCTj2mhBs B70ZmUM1S7a/TafxEk6FnS00JJFTA4HAUhwQae9BJhkcHSBOOm6jMDq67YdsdMIpm951obmSeU3M qf/wbOAqNfaady42F2ZDS1FGeDuUNtJP6ehO7kH9/zyrnHZGsIm6f7xyh9AivAqi0KyAERqQ6Oct gnwZXFc8XEVfubp7VIUS775hucMX3z7mqFXsmub/iG4jyY8pY9Dr1ei/azlfLISjiXyyFPNSqAn/ wth0VFOO6RRavSPKoLSn4dYDsa08M8MZynN8XBjUg2PLE/CJZgG6yIDeOtr+ROPttQgR6oC1lLAo bqcPg7pWywAEfOFj7fFLysiqZArT0pDZkcVh1Zkqd7LSRQPNHBhahE9m6J3WIV3YAugSCkjD/ras f6iY/gR3hDz/lrG6O6VRvOMSnndmymygKu6dCii0jnSQwmP3NYnhNTQtUp6sKMNqf1+2PO1GsZW0 Acfr9YNk+2Pg4yTcfa6OdkAVWNa27D14JNK3FQnQ0/qgZugB19ZJXkZMrtvnAybsekKtKkc3YssG tr2qAMH7v22u8Us45mp9qLp2tzAnZam/v33s2XmvMUF4AKJOrjhNVFa9HiiVB5+/vUMbgx882r8s 51puWRJs0ZU4k1UDLYR6bTTwML5FZKTJuF9E8POeOQCu83OTBQriMAHQfSj/KST5zaYAtIplta0c dp8f2Xr+qq0ZquiJEDc1McAm1OZ4MbvYDnrM0C2HM5Nz8w70RzQajCOB1q4YlOLzw4c3QzanJF56 CfaEtYPBYsBdaL1LmoffBu0PSJZj1XRLG4nTBBXbenD61p3onXBvdvGDBtzdFfB5y+5A6HhCg70r sMwKC2sNUMOHIFN0sKJWQeP8/EAWcBB6FYPDVxEROyfu1+nVGN6RWCESd97B92bEFgtEOWU6w+xm Ik2may3W+/sixO7DVh+ORXubKn+x38r02J+t0BrfawhE4AK1Q8foqVFNcQwXLwODWsTll3+A7JBE mXP00woWNlAqrIIUvfUYTpRN4QHda4s2NUswyHv0zcybALzjh81whi6vLgiSGi606ew3igMnZKXH uZ/yebNyqzjavHnXdwCgEQ5Ct2RDPThEygDIGbsN69G5+w8CcIPXRV9gQGHY0k9BTrwOdtVNffHW o8Hn6yOw4NczvopkmXoja1pvJj3zlw/K4hG3MH0o2r9Pmzt1uQWsK5n+oQ1H8GTVN2DOaz0EaJEd OEbmPw+7vJQqW1r0DgzxajlLc0Xi/WOLYsYQypqsNoeOfgQu5ZIgzVm7GZb+HLY80rG/T4xPPWAe hv9hl7Eipv+UNyGLY0wjoCAwjBeVAzPJ5nQu043zkKcXSoDqw/ahG2mEDPAsfVjoJaQDFcjvhHXp rbMTnBTkzjiBkUa342j2mC+Xvo1aW6J0jhQuJNHvG95BmiGJ4NWyGZU+Uzy7TPR9CZ88P0sYEiXT skEAKiNhgnvS9dqty6UKeJfbt8vXAAtBGQVjCD8fzlXQXXTKjmyt+Dj7tzVY19nknuI8iTtaBQ6Q 7Qgr+IGjghV5caj8a4V5kUVB/GJs3nqFqyr/8aZzalu8QXNzYZoPzTbk1hBJGQN3XDE6lidFLFJS vRvzMenOqpu3qdhPMy/eV2Zxan4oE8cWSB4hInJUfvPoVEs7XNV+laNGwdQZ8iK6LXDmXSGRJ3Fr X8PNzFq+g7KF5EaF2RmAPgepLWi/sSdhP0kFl+IM5UeYliwuW7YCRFB5NTZ1zLZaeSe2DrnJ47Pl AzmMcCRj7oHNacUtNNixQJGpchA3UVOJcllqB6J2DE0HNLe1tfKOzgysnZKE9BK1FUYrMqBnfbe4 wHvLvEQOiMRcrxaiYfka1o5k2L6VJB1Hj2D/jd93HhShELsm0neSSA6uQHnNfBHLVz/QwxAHyN7J GYQDtIoFNnKhvM1oEkRLrs47u2FgxopkNUkualUw5OD9eaZw4wUSda804ViA4GFrvhjTHesWAKb1 7JpcSgfLgujXBEKPxsAtHKK8FV0xPTXZ5HGlY5XGWBERsCdY9Kn2GnVXzq6xfkruZtN/bJIXQioe xSzVeA22QCopqeFYW0oIOkrgghENpfUb4D8SyciT88XYA6Ht5CWl1lOCuWJKb4Ss09mQxcBpGk8k V+AsmqUVZVxfR2JgFO9MtUNrX+6HCbaBOgjehwsF8LLafWLLYrX409QHi1dr9pS87SspWlsNgfn1 QD+6gYS12U4atR5+XI2bClxJCylr7hjQG8zEpDL9j2dteGX0EaBEk8fbMcJppyQNBZ0tj7WKnpgX tJ+u4C9mc6YfAGLHhATX1wVVlLNxafCzW74sKowdpByHB1hczutlB3jz9lg9zZ/ducFCiyiGIzDo ycSYO5Etp7a0Fz3Idk4fvSnSCGCKhptrPOn8h+393nfaAYWK1qDfZN3uKljrWaN6d8hh19zcJqZp MKkqY+pJxhJRjrP7zw+u7PmcsSiBk+U4ClJcwNGT0LH84I66S3j4gX9Y5MemuG1KRnqgRjY5E2cM US+AQnnXZd/o+VmXwfeR5laHRXImBwYeLQ24SaUUFDlUXkcEKaN2sY1ws8Qbavf1U2nBcPI0ARkC +rLLZflpITYSrI0GENBvaTrfOIRJRQiSI1xXTcQqUPC0Ue8jLtgXBtaZ8BSdIqBZzdusdBO8BK+p r0YM9HybH49/i96CWdBy6E5hgrl7jY2UhbIw0eYq/wroNmo4dkkpaoAHcgfSNbTgDRF/XRcfyZRr eyeII/89Qldxzk2tC+C8akUPu/+seq9NqHoHVjopCYayuQKhKy17oz1ttytKWCGc39Jx5PyIrKWE 6Wj/DBNbHlGlvUdYj7P/emQl8edEX+jcdwLRGhzlhL+w9rlIY80Ej9M2SyMkqujtRPYXUGRhGWvk vBo/eqgaWIwjEXuP5zi65KjB+9jq/8PMAc3PgcO6yBBsNAyY3Fe8PwSI35d5gWazcdThzk1bfOE+ yureSDw3/TF0c2UsFZpPo2q1l4t65vtVzMZqf+ciJ+b5FpEXc0b2jZXoKIvhET/i8Iyg8oAplipq 3NEEWkp9S816dNQbw0DQ5XWsYOPH16n1eUd7k1KnvZW3Amj5ElfxX7pWVx72Rv6Ire5IjO0Hx//4 7SAS//iBuKJR9L0H8Xgk2GRbibr5IQXTy0RIKfK99x0HFv4bUi4qCKXawtmCZA9B7NWmSu1sLxph Kx1+CNN1gpCA94HoCCW6CtnuXv0rJ9CcoDhI+oUqCEgYTM6lKOwk+gRyToOmW6/KnMxecEUD/sUL 8Q5XrfhMYQHduBDM7L5bdNaZLPORZfIqTbRBn9IfrppC2pTFrIDuC0DH4g8aCnnRA91S+TUx/2BF kBvwJOUNOdiHdQCkGNoM1WmTufVh9c36gF8O6bSsDdXYW9flR+6GfkautcA8pP9Ti9fwryQviYxx QeuOQciQrO/4TZqzxWZIte7pMQstl4yrIbSvTQuSGS5lf296iU0GgcIoCx7azfmKL64Oqc9speYu BbwMIC3XDpKD2WjTDCxVTwINTAVXnRxHNQxo+Buv5c+xQ9GiQuQvilkxg/EZhRHuesLlpyyqcmKP Wgd6cod8HCXw42p/KqJFfLNFFXeWfp2le6rKQLyRInq4KkxmtW7tjc/vucjXMvJ5Zpq3iYIeqo/0 f+NEu2K1LDOK0ugqE/mGkit/f9EWeu7H0GUSouid5ABednU6+bwMHWgqioMBtbq/4MQk34v2TE02 ErkmNrY+7OSMfwFEk8j1RHRopJG8YcuggO3cLmE2p1EYbb4Dyof3gYNGNGl1A9WO5Y2OKbP7bNJ2 kDCFtmgbpzPwAnJqvub4POuOc86mFbTFQYjtPYF3Wyf4mkAmrYPnDZwbQsELxW8McxarrCZwhA34 bmTw4KxXVLXZfx4wp/fvOGlC9vTI8I25LlqNUrmT451+RYDVL7uMKo1YbyBpNymuuogj2bpRWgMD vOay0tdSA0ZOiH2xv5UMDoj9VYYscIc49QVJeRb4OHCfO1Qt6+5KvMlqP8g/j5+Ha2N5l7+b9UzR p4UcZTCrIl/nR5VYGzsjbxkyXIF516xK5Lp7IumegmePLjBd+ULp2eX20DZHG75lgyR6XZvAEiKk bz3UBTIwKvq2CfYMznGIm8DxbVvmDi+iMyXJzK9VAwBqhk+u1hI35mMz090X3MlJoUEHm4TBPPFI zUOlH+PMM7mCbkGsc9YnXGpVHHRFloV9wWafCXCl6q8tECZy3nFMocLQ6+TQo/gB0JFqdSNf1eMp 4HHRBENzgjft3jal9YVVwRfniBQ+J7gi0/oG6eQ3uW3JVQg5oTZHWiz7m0RoWDQvEEsDa1gfSrLC oEmIjbiTRsfCOI7lpbTc8DYZGiNe6E1cdOoxITQpDOq3P1OL2CXfBTWYvhAfpKhNiAvkPMxAs7vj XEmvrurGWImuOjxZJ+A7u96dKydhD/DjiTNy8nxCP/KeTwfcXet3YCSppFSwzfmpDJjtb2csjJ4J dXf6hBLKa6Y6cOvRWngCfa8D84hZ6UQSB3mJxk9Mpx/kCaU6L6aunl0kalfA0g+QOGYnBLNeoyTM rNhH2l0bNUk2WMY9UGarplzkoh/sew2aUHAVDvqPFqaj6InuP6+1CZUthg/7qh4OdIjTQdTyewwH ZEtbM5eG/Zsa36C91GH4MNYMrTkYbPdhJc0O4X2ex+wt9ZrPMYa3emcNWFAoED7JO5/fOESVed1f 33tETrHyeUVFriyexU5NfM4lEjgcQUEwOitq1nZCL/f1nxdoZuhji15DHMttkm9Xy9ck199w0ZsS v984ME+zEForqhpiMjrmigPhZF3nPs66KcAKHKWS4DTWojmfjvnzxrsXV2vncrEQpeH1V1BW2TXa 3VnfY4v2obpikFdDSbE+/F6oh1yFn6dkF+f/kKXNMV2k0T7df81Nw8v387V6DqWLB+/ZntiBixiy DC7C+iVjqNR7bpJ0SyHi2PpICHeSLg91DNvw9yB9hYyLD3plOxwC+yqPaqgpor/03ibVSml8AppS xFoo5vtjsq9sDZimLkgMpoWQl+8oo/0ddiwIKl8liLPqNyB52j8s3PK7b8du6G8bR/gYs1yJ1cHn Jjh1ydJL/MJ4d+kQ8BnmzZtKT6LcP2hIG2CGEU4X2eCXACNHjsu/qOBvnptsJZBZwDALGq9iEPZ8 3+FoB8QxDrtvXObmlXhikA0l4rA5+oAHLq8xwOFMGsvRfyzr+KSXse44UfpFNm6yKrgiLGk319Yw wD2c7m3XJQ0KhOFE6CZeSpBbt40lFfJFF73Y6QsgupRYBwh8IAojB7E0oBF5TexHqSuMDrI22+3i 1fTTkOt4IPyzyX5XpqDpEoDO7K0hBHH6wYY937OoF1qfT1B5QmmujGAXzcPLMb0DemX6ULl+L0Nz 0FzAKRo8NgjzwJBaoT9aVD3YJS+gQTMZCbl4dI8jLWPTstPLupeHrAF6KITNZiI+JjcyR+GlR/Bq uN0CoYsZE4QdbWR+ZPmn0q+9KI1mzf/mhCvGplh8bn6TM3l1RxDIBZhn5n1vGNZq7nZViHXGSHLa DoxZgtDbD2R8ep0vqAramN56AAhf+ESbGY3b0FUpiZJbm0byZ8LKmuC3qZgm7E+pkVPc1uQQKsIf d2jNDGKOeHOLoGR6FRCR9NeyuCzEQ3niiMdw1Hf8EW9ukHfYTxUTHi4GdxSxgbEMS1IaSajgdRzx W8SjToExErqjdoET0UQfj8vzx25PpmGxpDQVpTpAUGAXLdR6/ldaY5bulpYJC/FZwcpFIioX8P0N L23ZfYSLujULKFNgVIBW2synWt0K4pq2gTdYE8K9OQQWmyM0Sc0axsj4yC04BSETIT3K1PcP3rx2 NKwcXUYtZld73gajfeFY9F8LpoYSdymy9AqkXPrLV+UOHBi+GNK+a+ascj7OvktogULv5fIFzPtx nSChH8+NzntvAaVQo0mGnTQv0vOhxjV8vVQ+90+/JyyMnOmdzjD15pKpBaqD+sOnOXztWjTbsIkm dxaKPnaOuMFdpG6GLw2RbWE3fpYV638Bx9ib7XhfQ+aHK/uBpYu+HtfbdVMfpR+UQ5Bz3+G+ogni aoMqzfrIWqOhPrB1cnfIYB4JtNsSF5TuYlNYsHy+fR/IlgdgIo0EaGgfxPtVgrmOHwOL9Q18EvY0 IDDS9d7KUzOiyKdKjw3ieAlvIp3QV6lcOoNLybf5ZqB5r+FzjNopi1qjvLxZsXQ/pdGP25NddtjE DPzQdOOO0gjC61HHO4/1lTO1wSznwqjODHnZH99XHguVohIpckMgYEN2sDiDGE/+SYP8C6ao7ogS TNmnNTzpFoAaA0YTaOCAHSqT8G+31t5+6yc6mP9qUU6gwxvgusyiUTVwh0JkgUSkWE8bHqE6CI32 07hHbtsEgFQKyOb0N+hDSHD+11nxA9szz7JLQ2ZqCeGCZMbqB1br5DQUvoq3KsGDFn1gSwMhx++Q ehUBHYtnxvAd8eoxEMdgCgzwxjUVwZfUHc8g1iw5vBi9dz9EvaDKFGc6Xy9JAOPTIyCFRC2MKF6O NWne42gY0ZDkfgMAxbUS5BsWR8O5f9ULPlvdWnvNB7OrLtL6VlSgzOlehWzDChIc3etO7VS0X8At 1azf1c0rsdO7NSNAh+fQrjjWm0aRFzEZA+im3S/AtppeRFcnl02yaiGaDQZcsF3UUb60CdjrZS3J 2jXxf3UyeAC2QiWa7mduwEPzSqouUsBToEv2/EzAxH/UpXNezrFLIA4NLdX49bJDgSdSAEqoG50L UR+QuCrX6JNS2KoRCo0h6s1gmAYA23vKPzv7l+JyvpRzN71vvznsFvvEH/WZuTNKpQmm4g/9cfcv 9igRLFqjg0SnKrgD8B9Vi8JdUZtcTHi/OuAo4nCiXtAYZzx7aRWClunFIcmhYTuBJ9BtX9gEUhOT WiZrbTuR57jq9m8dCfxSExFu/abhy/qrmwPRG7QGU8LOnownGdDcSuxwPo89LzpHwXRCpQKp9+eB 1eWhLVIa9OMc1U+FEhPRgcJRvBIEr4Ilz3oMgmeAaXjwXaM5slYjToWVXnC5Vm8/BWgefr90/jAI dhqr0WE/wKR/7PLwD9nq0/TSqZw+kXQ1oqnCwPOle2qAU9IpkvKSr6RVeJI32jtfhvThtErVJMwp skK1HV4uwzFaus4KyU1aJIw9ejacp27t1Z2pNnY03wiwFdq8ffn8v3sA1ibzLJrXsA8bnbQqP78w lRvUEADP8Z0InULQN1mRCw/AmpCeZfmBiIV1ekhxuZWVpe+9/Eh/n3CSirVRmStzOLt/NHIcho/2 uLLJgQC98ijLiw0AqmhC8Yp8cG5fD/B8WZXKSuZDrHed/ojxIHBWkv6JJO4AoZa/FdYnniomHWBT SrDfa5ybtPra3D7vq9i0NXQyVj+4rkre/oacDt/gdvb4P/I+GVcNFG+KZkRRBHdnskfj5IVxUf9l Srq2MHsrWwVplLSrVd8XoGH+VfwNZzIR0WPI0+P+/wwtw6CV9mbFG9Ti9iD0IjjNSCjd5cNBrHhq Ybh9SVSHTJR2t3BGX/UcbC8oU7PtcW1oyDe5HQXZmEBN+CfgoNfyUhOKfU2CoeT4NS/dnuwmEMmn qAP7u+TOLu33M9DrlUIwEqYw1g419oUMSlzs9tPlGn9MKiihrn1RUq03uUb7AwBPIjMGlR/A/CoA WuMr8G4E6rg6sc3ZDihSSMEoUW9oAVrPm0RvL8Co7eKavVImwpQhl2JrLkGzrbkR36u5rBDsXHub yjKnbB3z2QYHZD1UtAujZTLp1o98AqwjwEM/R9bSBoWjTQU1jDrgL3+PPZVJcbdFTm6xj2gShWEh JlYXzDcJgmi0C4pgIzx6TSCmOMGs5QJIcPD7dis2V8xNGrelxr9SNSTJ8fvJSD4MqGJRsaD31qlM DKeWTOxnQsTb8Gu+qeGEDAyBCSJBYctkpRElQzkZx1oxc53UUwBCWCT0ZWSdtbtCX0sp9fA4FYc/ 0a1U4j8cuIK5mqGgZqSD5ZyzWooo0A+juthfk9hWrn93wcPPm/mu8roPggOW/7lyQ8MBZ7WfHOnF RJu9aMXpfEgh1q3xCC9YHj+qlNFV2Au5qr/SXN9LAGyRWjRaGAOcwlSbLvweKkWsOFtjVgVoocyW KD3vgDRTos/VXdbnvWB4de9DGJtD1r42WdwC0X2avsHR50sc1ePi7HtPyghMxYOyMUVucNXVsbgn Ln32bb1uCFCsjo5Wecv/z4or21iJ+ZMnIbIaXASMiji0QnNYVotg7z5hbjLuYZxdnaRbOkljePB4 A7BrWMPcGLrtbmonhgVhb9oJxz1NgeO77PF7CYHCsmgi55DlIFuE3BVJYsCMzov0sP3LEg0Uy1NR gnerHiTk/EqQZhAvAqblsACiHgKCr9x4tQt6qroBkSMEerigxP9WkrPrHMjQJkLPkkkbBjjnZ1z/ CphuViSLKOK4ycVBOwkKiHmJLwikIzc7yOyVY+ET3hdfJOHL7RxbnzcNXxbgDLc4Mis/v/SlE6cM pke6aGLBmA9vHKy0MpNx6B4PYu4NgXDv6lMWBSoL1+K+oTI2rI6THKUMV/xy53F610j9NzcXQOAh u7iq4g/hzm+AxwOvcpw3BKU7N8HFXjz20VGKb8r4Qr458uCMUqITdT9Xc5soHos0sLK4Si3vzvLo WA4GGcAzNN+mc6Xl0MvuxxyxVAcIYXcfk7AGUsifhP7IDHRBJJAyinWxArHzSIy/0xmXFXwSnR7C qNI86UGj9DtndumFjyfafh2hPRkzx3fV7F014n5m8aOCeqv3r1p+z1gSWzTWUweWpp6ie6vXQZ8b w2hdW3PuDN9/i7K49aFsNoQdIt7WdbTlqkcm+4sSntM01SQo0BaiVAvF9Z1H+6gPbNkZrvfd329O N/pcVxfIEdDbIiusJhQQGPhQuKCDx6eyFIiVROWdCQSFUV5K/zNzjrQD6FaF4++DBw8el6KuQSBg 9a5xt9M8429TkimpXbzFexrJK0oRbDwOj6Y/6P+Rso7bQzbOx2AkFepByuP/rmGrNMgxkUX+bIXI +go+AYnkcq/OpoFWiW1WQpoD5+NumwA2tNgU051ySc+6e69eGl2y4MFxah6K12PeWPvHGGuBrL93 AP9N/k+W1+ayWGsAflTMVlHXzYn0pl4w5WQsYUA33RIBp79s+1O7MN6fiJIDfOk383naHPswe6A3 C1AE9vphkR+mbj6t07g/jMja/o8c3G3Bc3e674mqoxue5RC2M1xwTT+QmhRd9X+ULkh7lfZ6Ak2g egAB7iWKlYiTdWRTC03V+p2liSLgB+lKTI5FptxdPbX9wiEybryxssEMXxtBvUULZbczZK/xWobZ tPFvP3b2Aa+VKtxhG6trGEQFQL+0v+LqEwJoYPvGBnWxpwb7Cs3WQdPxLWQeFEjjYP0niybrRkA8 bqdtV6UYSWImDZXPuGxFQj9TMfWTC1DD3pppKe2u+hO4X/cCHqGKxRBwcMlxYLXQP1lV2JTkZZW5 Xb/5djYtiexAsHbCFdu1xJNqFr0DQZYFDH0jKlYH89itcVzn9JyqIqYFOeo5Ky6WBwVigB1m2JeX asYOi1JwS0/8W2hbXAtGke6Z7VzwZdDLvprLU6YewSnOOw3IPBkNt81KErNJVkpAinMl5y0rxPDH K6tQM/tXOVP/E7+lKV2x7X6P56CiBo5UoK+pn0Jt68BDP8+JNkVFCMcXWIDSa/2Kz6iVQRDWP1Zx N0Y0IE+5BbmR2Zr8GJ7wwHet9EKYw//f65dG80HHzey8zppfutPd+4zloCkpXutERU2M/3oTaGL7 2DabMjWxNsRlfQ5RM45bgbbfG8VKcP92ZItWPfkKC+0mTo1xoCzpAZPiL+919QjCy8VtxOkyTvyX aNyF4mwCf/IZRKzAW1r3jMJP1GXeXWvuUJh5yrbd+v2s0juRk2VEAEboBwvK3k0T+VpUTWzNsLZG QkjbBw7WJANtAsPk198o25LgOQJQLXg50G/P+kB1SfMVbC1RmcsZ6UK9MC8B61VTThlZQmHH9ncx Lxrlxm0uthy3ioMFdBfGnKZ29iRdc1btIzt+aE1ftUcmqQJiJx1IuX8ua0c2v56QKqdOmVmu66d+ uOyo3qtp1Z3uDIvoxDlcgNx9zIWH8jiYFDhaH94Tv5LZTt9rMZJJjEG5VrHie2Z11i/cf3fXa5Dl SJft/sJ02NOEGZehpXacOPG0c+WiVYMsswBt/B+c1VN+zbcxPeFzsbifliDWeQQ43EpjCopLzMvX ztQ3Arn+hYb7PJnph/K6fxYDwbg/tfEMsHw9XMW8i2qCIR+nyMoiXVl3QsVwMJ9vECFYN2umTqiJ +jz5iI6U1+qTH6Rt1ys1mH04IzpSVhmFDy37oewuP9+P56Mv8pVb02r3zV+ZEe5PpdWUuRRTb/ID +66HKdBHSBmgqBVWy+I29rCmiiWct9vg9piy8ItWFk2lR7TanMbPBgaETs8l1QEH/xEIYrcNdkQk rzoMgArx0VDkfvxuNIkxzRvRzriIdkCJ7ha53TD66G4UoAu6L/0IB3Kkgm+VC37iqrPgoAz6Pyd7 YkiL1g8Wqsd8dvzDnofg1MU0ifP9r8To7iNelqjg/8cnThqzb3NUA5zoPJQiFqs1hueYIohMv0HW TUvMJlmEGjuLuO7gGDgGxIBVd60dtryKq2xUWNOnvQxHkGS56nWXMzQ5cw/UJ2kozmKm+TS0QGYv RNsTmXnKgU5BqrF0jVdXJ3gGdBTBIpzvlwLz8tks6B/hgw/17pTXgXajkz148aCa4JZnXsHGJZ5H 30EJ3t+6w1KSYJm6VCIzO0QUgqZd+FnZHMzsk5gJjo3mQRvFFoVKj2LEli6TpXCDyo8+r9r7kgNp QDFXo9wZKiH2FXh6EaHsV3MH+dDuMrGlm5yj5VHoni8JX9/Q1LrGT62tD4pdIwIiUu0KRIxKr595 aCekRcF+f8TimjpY2+T4NmhWbGYVo0rM+gpe1QP1T5zZTVam/VeSuYehXlwmKJ4QIOrX6HPJ0EmM dzRN1uottba82QFnPblP6nRM5w4ir3+J6a8yvIqzrRFoglg/CBzzn+RJvLYY5C/Wow87ztb8KpON nRaqEOo9achTEiw+KRGsSMt4c5OIw4uOSuuohPZAvxvaK41ao3kOscTFQLeJIcZM3A3IoyDUHZc3 7hk8evhYN/em5/ckzsM2vUBMoLm9HF2WUNbT7CkPkMsgO9V+BBqfSRyStnCf8gnXHSAa9bBmKPUH FQ9ICDsW+QuEyv/SeuuMr7OPqspopc9aEo3HJpciBCLhx18OV11VgBRemyOhBBBisiTxw9EVzAFR R0Y0Jt2ujFXee0sTDNdJm/LSAMhYZDVUsrTS6S0WZzDnJhftZa2eHjOejvpmOdtvG9yoblXpmAg/ J4itT4WiVugxFXmz1m7sPflH/x6QmELu3bu6cozkB7yIAkCxmjz9HJLqFBjpxAYvLvHMt7TK+ELm 587iQKiZSQxzc4E00xZTKYDb58yrgZqSojEEyWzlsHIEZCNrFPvKHXCfxkVIsg8NM1ghRYUsnA1R cmjwy0qXqhz5kAiV8xHMItwzTPzAt9hofy3jhn6IEy54wtI5vT0aQNl6+H7FxTf7pV3/c8cEWh/D xd8hqRxTHekCf+Mxejm/WFQg9D/nWV18F1GmDYFkUkKpoT6QaDuFZJCp/zFxlx687WvECzKjGGU0 7v5zdqH5Ln4c/dT3eIvn+TWPgqhFAoad9grfxWQrNsK1aWctYpP+BWXjzeXQJyL9QQV1uFdJuBS2 DTI+zNu3vCy1t2KZBPBji7psoEEiou7uVgs2IUHDczwFcCiZA5lVidu2+9tK1H/eGHjwGW8Jn3gn z56jOIZZLVQihium89fBLSkqd6dzvbfeOJ2twkj8WbykzxWzzLN5wmPRmhafOXaIzXWtSQ2+6Id9 xNJbrBP/FlyixaZsxyh3VELs9HwC5CSXS4N8IeIXtiC7QmQl8hO3JqZ849qPGPfHx8QIvjhZ8NWc lu7xOJkBDa6cZUCijvV699qzl1+uOBNVL+N9CFP6qdLQYpE5QWZXydWZW584t++qOH5zf4mz+UME MnCF1Q16V+ZtfhuifJf8Ky2cZKcM9I5V0JQwrc6o7Z4T5EImF30c37VTZbaWdwY21OZYaKKWKrwu 5dL0WTJQRgNz0SbYT2+rbNUZITrVCQIvQSSUDiNmLr61By9vpjgM/y8530O9i9G+V9abkjf6LEnI RhlW37e/ociG9d6vygAYYXGjJ89isePDjcRgEg/+wz3ctxwtDbfx6lCk7+7w4IhWzzxDKkYgSg2p VFmxj01fyR5mSpLDfA5w+pK92L2twubbbLKYQXuZwBaIvC6XKOqt5H8Q1Ty18adLFsIUo7WxdXO4 seHisdIQs3yaOkoq/A2RkyeLpH2bSq6QgClpk+OgHDfnMamONwE7Dc4Wxw5bHep8zrCkXKF5qHL+ LiRuapo/P6LfY3fgAdXo+6z1C1sYVuR9comuXmSkSOAAHNAFbo8AHkhxGdMPGQ8OsW9DXAzBvd8X 2lspbUGo1fyscZiQuyLzFUu5byQiqmrRJl14A7dW+AxxciRfJtb6NfbIsMXdDaxWuGgRIVTOyW47 j8Qj2nI8xhA0qJT0+EPlnGmwWQ3vM1x3pPvd778s65BQNt32Tn0yjIgpZn0xUAQscVkMPAZr6Vk5 1hP5pLduq6vULqA15zS/a2AQbAoqkRo2C6sZ4PRZzx3I3Bo+0gADH9cYAzIZZaQOGIewwGzI0dAs SxuUA5Xxi/NFZWS/NKgnVyBJJ0T1nIRdTqsSJ0wAthKCOAlCFrMs37WAs8j0FYvSBXeAdMnHiQn2 TmGWus06iQyxBEa2NZhQQIdTEHaUmxV+m6UGPtHUuGvS6w4BqV8UV8xJJnMvWzGvg7bnssvF6SRE lFS6vYVhHFL8C5Du1JGqOui9ipnWyjJ8jTSRTRSCd9ZK4y7eF61kzObYtXvybPKCrl5B3ELjIFnL N2izvjFoe+lUl+RPrjXhJtu1ceeMhu1M3xNDFJRnlD9ydqPVLvGZz13tKWXEaKrflJYypr7L52fA PXVhw4SmAaWSTXRmXn4bnYHtQM7PE7ToE2zpf05qRrnfoZ6pNnaoLy3mf00zSXkcHH6DXCbU699V IayaSG3F1HWYj1PVi2rbFA6+4eI8kHuPC4j0hicFWMX0zTqNoAfrVgCZtPpQTSKwbxAWR6JQjOKI RxkwpVld+R8Pd9YGBISvwaShTfYaqOghGDV9Hu9+vqbcYv3J5ZpkZ8ziwbxN8e1LyqmURU2iwA2y EohfjUlpD+SoQScex67+bxh+fFPu9IQLMXFWodY6kevUliVYWO10hzbaLh1/NJ3Jy9WGt2hYAs4Y wXSM5xZeJRrmZZx+gQizAJQ5069SNNPwjATbp1bmDPeR/8+6eBwWkCloVtv8m2/Ik+MUksaQ3VYW bWi5FOa/i9Pp8atb5U20qcYj88cS7Pf+atZfHe4g7AQ+RxpBZcxbog8OHlfqJSMSc1M0y/EApibC GGSh8ayzizig9eG2vqEfCfnbQZODhbzXIhc4p6oTbuvDbo3XGfkQbpZCGD5hT+MmVcjEmeP4d9k6 vazgBm85KWMUSgSNLDGc6WH0UbXKGe1+CbQwX1kDxyLMcg/ayUgJWU5zrX3T6GzqV+N4maEAG0pJ jQAwk4TiEOnYIOrzpzy7uhX05aTbJzYAepVj5Ogi+9PgMW+brsCRdJSC7RYw9tRKMFFcP2ZXGevj xOQGsH/EnRiqH7UZiHQ7nUr4NoxxRvcGKHZBCDT8qnYTiqxh52oAUN5y1+RO2ftNsKBQDVfl7wrQ KLUdOliXpPprkgmZx+n/oiRzWC/aVLZ7glhFlK715oFk2FwvptXYnc66ntePnULbPcMNTEIJfib4 5ZcM+BaeYJq60FaUbnIrSPYLES2gUb1KX1xiFvhVKh8TxAB770YqxREeuE7GZX7A9ogjSNaKkXeL g2pwCZCHECvcPilcabd5NPBK0h3b9qd45fopDr501Tue2u4PXbp+xcZhrUTqt2c2aovuj0j6RBkj v6FGNco6rOGyiMiBn84qkm7CeRfIkxqjaj37xU1O/kPODJ3LjFr+SaoCs2uMY8imdiPU5O8utv/5 rbGjFKnRz1E8aLsHRrsG3X++7hstjlgLRxPERYnu/GQufA9gFPEJiEcW2zz5rI5Tqge8iqK+kvJm Ij+5GV9811BMHLNMXhHzvud6RAZKchF/9M5g2ygYcNxWsWFl0R7GmV4JoqXncW2ukQnwA3duxMqs jZSJkb2al70afL6vQiH+9XdzB7daZ2KnAOhqzKCJCDKuydxfi/fPuYuyQ6NWZTpf/75Et6MFeg4v 1oo/j3fdyp3mTKhxhTP4lk+R5T7sv/5oEQ9tzisLDT5t25QBZRnDkOXAH0iNWUgZ9q+I/6TgPo1P AiceeS/PXLWsedekqc7JM9mXP6TvJFF7H8aOjsaZMQW0p/4p8yhb7Zyo6a18mt+URZ+jjG35BdIh dUlerhgf2H3Oe9dn7f6NQoLDcs/815S+Aa/zy0EkCbKWJvu6mIAWNipiaajedvstJ+AjoVpwsLWL Y/PgVJFJRvFS6At/+VnZKv6M2GSaF3wR6adBC1dEE2JZfmf3GsqDzplXnhhSIGsl+DtieawOT4L0 pbeDfCP85cpyB5PLNVTx9JDrncfo0OGZM2eV0/Qk/5sUi7u01TItepzH6/6lvn+M2Sk93ue2rhQN 91oBsUS+mzMUsYwRUCDmrS7fAsbgO2OW2J0I8rQmnceooK9T5B88qET4kt2MkiE7MwE5h3f57qfo SmgRUVJ3Eoscwn4n4FSGlvHWynHA4UKrgLe36dRf/gtwVap7/if+tudx414xYrBGGb8HK4G6s3cV 4Oc7ewwTpA3kDx7cF7SL79WzRk5v0/W8e3jol1BcsO8Ld08SPxJu00Zo+FOvZJaSE/tkzEGWdO38 MC3sjuqqQ92kZUzP8dxZI2Du1F2702gNqTwSGe/uIs8OXIcPOih8JTi0lrlFpSRXJbWKPLBKuDZS 3K48L8T8MdOeAc5p7MiNSaLAwSb1Wog1lvJ48ddqt8NcDbAXb+mOviqpwJluT3Vnl7GK/86sQY3p 9hv8tjTaPbj/OPl0mDTpPN7qKPuU/8mHzjBzBZM3kJ0kn4qHNKCASCCcLqYdsk+ZcAJ0jRj+NSSm h/sZFMuq2yATYHZzriGPGw6aP8iR0/5kDoFrihkOXbd95clrXrualEjCYGfS17P5iCtrCkSIVpYx IA+1C3jLuGw+GgDewrhEW67ReFIoySck+vfNYj/L3k3BbhuuDD4nxojvg3682jDsbNSbL/nWbITy owtLXdNPoVpojgWe0iHsgqvDBFJCw3ZTNS15etZzBBEQRxDLprPYH2Z81blFyXPjMhhL8ThFVblO ilr0JbjIuEpoSOpvbmkN/NZRfIAZnzfxJzgeG3Q5JoWC2tKPWs207hUuy9DbastADukFkrB5QWaR xDkXCki8cwN+/zev2qghYc7LGq9m0YxwyDUc0tXkm9ya4zwYW0pkt4cUUIBfjG5qmGrYtDSS9P8S 9Ge068t4EUT0HXKV+VJR6lYJ0QnND4pC1awT0WaQNWT+hGIJ90+11a2Bk3Hwnh5Hw9Mb6IaFyce9 2eiJ0pF6xQfrGyDtciO3nB4aQIxYSsylKVINzyrBYdgEpYLjOD/Cy7HJii6VOBnJUC/VP1SKjrIh ZS9FjSqrxwMOlToVwVF9ggt+E4T8IwGIEbS75Kgyh02SCVe6vBmPuSCgzWSIlgTGv2QD6Qfud5Qm AOYXtLq+gLtyTixIhCEChseCrBOiUcpLeNZHCHU9vqEbSAA/Z3yYpM0KZrz3EOn0YwRkqWRlcHah FvzY1ZysKilxEns+bDTfjbn5YInl83jcTvVlfdHkD1HkzqlG6/dRoRl5oW3TyxI7XrSaxjFaiQmR T4UKcy6niZQAd8tsgsD18ZvhfV/T2syUUaZSeHZItWJJfZaBwDUbdYf7wqEQxpPjpe3zVJ3N/Jgx 8036tyxiBOdmBswEklsrZ1mXBw4EIh/W2zODl7z9H/HjfKkDN2S57TopHAoNd2Q5b6DEa8ECrwox 7vSaOjDsMQRy+dQMorM/iP9oIBcQp0OKGKqU2A7GM2Ws6XR+x5IK9pdqC/ClzHzh4uvWOTLN7feS O0zCvKd6MmclLWuefcINmii3uhEoX4JzAIyk0Xt/tp//0kPfb/+J+A+AmNEqZDd5hkOzo0O2sC6/ tw2S/39Cs4kOyKTjY88GWNXq9sOtiwHgSA2+k5aJxsiL+/CKUY5m9mVOPPSjo+m0tmA2jkr+tKLH PU0nyHdnPMeHjTRroEk2HCU2Ycm/wZxNX2yXAk657r2sVuXf++fvsyZR37GVTauvmpFNxnHvzBSE LB3MiR6dPr/Udhh+2ThLOHJbEd1LmUO33EyhG6/pRjN4VCCixxdv7HQGTxkZNc4Il0kQOgadEB6E /W0T6SQrTvLF2219/ONeK2+lKkts+gPt0+hZUTFkm0grwV8NPbEMPBJcoEx8H7tduL7zP48p7z+q dIRcsJIchdUNg+mkL2OWH+QEv+ME7oD4rqPrrsslFcYxodJgu3o8b76r996Mvm3tpbfw2LHZctaJ TDW5GtDPO1zgDgrrPIVjeYxDXcOvFhiRp6eunHAQbHwOKHDvFY7zFL5pdlCI0u5Uk9bnHR/Ukq/w dWOVleYZY+0vj+3DlL5RYTgmg5TRUBKlRdy7Jlyox4qp7lbTXI3xKpZocv/GLNceG2HJaUco8N8Q ZRgr2ZuGpB9sPFUOyvExrk839KkZFG9MW0KQv47wKX1MWzBjxSpMUeFq8FXgqubjgbQrBU2kNPKB rxPDtkd/vhdkzZkpv39ixw8k9ODjptizO9Y8LFbQZidCLipHJXh0MRahwdDqCw76tSgIMhAsPBvF IUcvoxvWlanWl5gqgZpp/TgzKYucaJxlyRiB++2CKRQHtZoC4MiPuZg4UWLBt+HvVNa9/RWN5HKJ uoMbmxbInETJDpwMVHa9/9LDlFfT0rvwdKoW9UJzRL3KW3VLtmI7JtXUAt/V4gN6CzvUR2U4NOov s1Xhu5g2z0PwYKxF1lX+6yxqK9+MTMNN91XM8K9QXekKq1EXgVMFjWp0LPSmFnlP/GTq9sbtoKeA QwkTMM6JgrDhFFMG/4mzowoCTdIR9NtqRADdGDenVKdbq2VLcCKbHACcOBPqlKou42jH2MTCaiof j5EbNBWigZ+K2XiOavhBsiI0c6Gr6RM1i2YJ+6ifgoS5HzNJgGPhYOwEJgYgQSSglOuXGY5iyBnW gux6xW0ayYiM9qBzAjLKlbKZ4Qe7qCwAKot7iupN9pzV9SSfjegO94eWknvoYgYMQSuL0J6Sov8g 3iYXlc/3SGKI0AnRCcA0dDT1/7jUCdcvIF+Y2b/sq77aH6+BRXcnXdz6SaVGzFMdWT+WEXs8flYQ qxobaND/KoQTMycMakKezENcpTKnfLXj9uqsZAOX6e+UV4zShYX+6bckmIzGeZo1xHBTlXXyAuOB tq+KaOdb0UMb5p/dI9HR+ObChkD8r+Yj1I5poBnssoA+CG78Py2MWzPzZJGJOKxIHzYU+mvcDOvb ow+5OOuXjQLhsCq7ap2CBb8WhUBBOU3iGu1ENSMdEy9AUAzPa103Imh42Rg7UVKqFqfS6bTpLUJb AO70RKvXEFi+Q6hmGwSdvgWx6lpm8dK4hDLG56SO2QMxaiZuSv19x+tvScz47fCZLMZGSTmFJ0/K gDEFK1nHVcH6rqY1fLI79fbDqrbFFfRsOTG52rAdbbbpM9aqnGj0IPwcG2nB3M5yac5goTEyGEAc dbo05kD9u/Aooob8rBs1eSkFvwbt3NKWfzvIKL5Rf0XRIbXjbhoKVMg7H9ZGt/rTKqWO4ypFYE55 +0p+J6moXsmUOWYT4tzaJzZB1sXnDXO8mBt/THyTzmdu9bYRYZey3+sz5uiWn3uwJqUqSjKNiMCZ DKKAUdd93ENtRpHEnzRN0Dw7ykc90KOYz/7iwI6VpnPfoFKng2eyhyEZRe7jq02kjTEGWtNbCKxf b9L1Kcl3ojWwjuf41ewSrJ7tXIyBShM104rBqQ5DOsjwgHlfipWPwvncJtdCmhoTavcPnT5kUzsN FK4Uu16wYbIFLfcOVns1F+U8EjjJsT20WCK6Mv0M8oRC2Rgh2Y17zHTaJ+vStbF5yAwLIGsGb5iO 23nRcyrdCgm4OrqOjGmNi9qKqLhKQ1HwOC8fTwUWI4VULSiTCrgidBBlCB50kO0sohYuAD5KaKL1 /sgDGwYDEHxCS2GYtu7lMC2SHOQ2SX73dlrzHDUJFMta/7XCzVUYRQRqAtleJ3QYQKZmuXWmoSzC AQLa/O5MMp+HoO/4CY1JNu/T4ALdnRONYt5I5UaXQlbP/llSKsjHSVtQoBjX/YXs6NEprAKZHNVK FZm+42i09KqSyslAeVn8t56gjZJCkmRXQytqiGCHm7NedWNeEFB9Rf8U262RNH9pO5ZWMhPPNqaI UgwTzohW+d05YEUnnQBs1Oo5txHuKDYmPMWCUT+vIhahpRCFkM0+bpiwcRXcULdhlbt8p3giy6aL LcPQLDSFoHwfWNocEOupiQzAp8WkIGVa9RShHCKgqdhkDI3M1GZ9/Q/sJx6Qu15i2PXwza3jIbQl lasndQ0leAQr/7cFqFqC1KSYWvqMSGdjXTNiJdhkt98fcWGBPcPfPVIjNB1MHam1B3urka1MwOc7 rI7qwcjCD3eDxgkZx1QBOcJjtu6Tf5XLE8xk49/4SKPLtsnPynbf/xVKNNNzxkIClMFR56XuVzlB b0ND4fOwdOibOlupT5MreNXs14twRLc3NQ0oo+FY+pDS/GjLy2K0+Vp04His+43xYts9fDG4sNyF rAgaRcjbjr+UH8bJBYT/GTmp3CKM1zDsQppYC/x8XVeoeZj4ABHCUjbMCDu+qHTEaXwePQ1P1Nij oKve2b7/Fs0k9YBM4Nj0itxxCr6vGFjSlpBQPPX/Ec3D0xGxrsMFY7N3ouql6J/3kJVg/EHqUJKJ SXDXq+P/FVXYYslaH/H5lAhc1tnEgqJtMbD9sbxndx7e9Gx0p1x4Jgr7sWMRHgk7TuPqSzECguW+ SUpzBnwv9AQqPJPrEKvQP1GDWctDr6f5vfuaHrWhmtiyLjq3zl01h8CQYutisWtY6XgWht5IJQ5p ESGyYzDYLlebz0cSaN8n8OzqYoD/zbyKV9jdwj0RtCi7kXnCNM0Y/UUZGByOc8YzcDpK9cleIP0C WT0fUMo5LfcTC2qQgPthuQbFdEbvBRTF5M5K0WIex1eMv47KYhd2NqhPU4cYCvVSa8LPtUHt8sbZ RGtR2EXMeUkFz87rKmk/I2HVKLP38zHGHH3cFwEX3Yt/gJIP0YoHwsYAwgQC/PRF4JVs4umILFnN 7LECPdE9ajj5qwp2KBcC9yEQcbIFwW7cAFIcOkTmAN0S1FOFDbsnfy6usoGoS6Ex6gLIpwAXz2pK YZ558Iraf//UTurUCiJGZ0FoHJ6tPtFO0wvCgCo7IzBgp/7I6JoRA9MIwSy1x03PfcVhAwfydr91 OiFEqdSxywr0v4ZeYmG0f7Wkytip1PAbpZZi5mdAVRrZ+BRVJ2mwB7sO3WYb9GcMJWuSWbCaWIqp FT0dvlmIVNYDAAH4CNk4GRU0f+1rXgfcaptb3DKDhK8zqP2WutuWPmL8EaxWXSLCPzBciNIFsrIk o0I1v5lr0rGd9Mr5za6jTPvG9b/oeKZtyUV+zpaU1BdHwntoy/q/Xy4VfyxQBlpsWx76vLF44LfW u5Xlyr9tuIa4HF7dVotSsNQ2HZ10+jaAyEFzWr2v2ZTzS98OP7KsO+g3bgvyORWhFEe0IxTQ/6NO 7O8eGux8D/rAwGC8H9R/ynpzSJETB51DoeUupyfbOMRyv3iDqbFmC7+gxIETYJzlLtP2LFHi1TSp DJy9Ccwc8F+gyw8Z9xOAarLrvnYKAbBRBCJ+BVgQk9hhBzYTiQu/Y1y1eJS41uQuOrlF4Ra5uIAg ZgoZ+h+uIqYEyvScsLN641EJjs5HUMYMC9cOkZPG2nYScQQjhRy3S0yasVNpN2LhsYv7J0kxmgpr MyXAbm/yUw4c3EsUhCl/KiZ09R9lKdar37O20+INakwfeKhsYZd8bsD8gGQmy6Vt410+gc5lJLNn yKuwhIjkiJWfCXmAJ3lLs5eLqhZT6vYfsEFlpEpYkUVEi7KEtJy6/3/VcU253dn83wBro9aDOXGU exbjAMVBzUcZqh06mRHuGh44PMONGCYyQ3QWRwInq5vFYkm17rOQcXjx33YQlzuZxl5OuYUmlpus Uamh9KvXomLefaGcT2DSIM8LD/5TEzg9hCovtdjv0yj2JHYWQb9w9fUey4Hju1oL2z4qjdnJrhEI iKydfxZiUz2IGiVVsLO1g2A2I11g10RLrscoFWk8VV4yEZvQLohPEwyIRVY6tGHaUWbdI7GwV++N gYhxQZH9n93kTx+i8E/AWNTdXX2MUHExgzytokNct0K75H3iuFd8mfoY/eQxy2/pEAzEc/joV9bK xFJqlhxVPxny7VaIGJva7wJXJioQB4+bQbrTTvtmb5jPDF8fAKjIkdbe7wHkTFCXXMxBCAC1g+hr FKboSdR3n+DCS3pdltxE5fKO8ixv1dfwgXAXvODc1syUrpPhz0HNpFb9Om0t7bFa5yrJzf90Y1rG ufoXM9SJha+AeBuwKLyDhbSZyajTXc6vEJIsI7euP9uTLDCa2ZpU6YhJ/LALFm7oqzwSA1ELK8Hv Hb6iR7aD814fHkhCpoZyu66S856xKbwX3/3+hkXv5lKm0wY77x+wmS6yl72DA+k9CtY3qkQ8IdB+ UxGWQ0j3uQiwYsMI+gGXXO9LCYvIjMkMv3t3kFxgZmxL5IsO51id9r7U/gi4ZONCjgnJXeXh7yDB CcUbeewZJw4Ylt07wEJigDOo6/wDh9zTRB72VSyiYmda7zB2uIjMIbGkWRQskqC4oeB6H/p+Eozw nWXir7yiF44lC11rJ28gcZriPNgSYFm8HoMJ6ZfJXbnJ+nFa+dFOHth7Wi1leOy2q845i5HigW7Q sO4UW/aeW36m2D1041eg+goqVV4ntZ0mdd+CCJfPNfleqVPaZTdHX3DU6gn0wX2fmPArTxnME98H /qC0ACyHymWHl/lPLGx8uUSLDFoZHOUMcw387RX9jdZGLbvgksrcdkgwmri0h1pOmhBUMlYgUupQ dk5axJQPm69yEwO2trJWPBwMHZj50Fov6Obqm3cvnLf6tTVQyA0fZVO2rzfZOn7LzLwHKIxhLDqW dKy7s/URWIwNwH64N29i49WiaInDv7Tt56z2QTdCDGoD9jZn4i8SipzAUQM/b4McjIhL3IHuqzDd t26iHC1DCOWfaw32ZUYBVEDUjq0me6YXTu6+lN/PSvTmTm48nYRMoTrEukGq28B5bs2MBt0uKIrE oMczpW/1JCbGXhVShWo2NaaHxAqImi3xmvQxNcqCu2eu92eLLK4VS4CokGbe1t6F3JvQB2hy9IxL RJ1kj4g/fdV2ZhoN747hXC/cCdh5h4vS8MO1xjc0yLSVAIH28oWItU1blD9bdF7Wx4m2PyenINDg lky7/IH3KGC0nswhQhZ/OkIypnEw+fP1RLR2sPKNwTG8ut5UVoeExs9mYsGKI3yqFkYtVwKMj9f6 CbR/vPKLq5zYJS8qvMfvQzfIp2Ocw9+raJkS7KzylWzvCB1cNuummrDr/zVTojdv5d/w/3pAO83Z YQTpVE6yO15IeTv0kEMtJMwKFxHl+3rxxePSEOMvcW+DetCI+5E14IGGo41hrwRRHycmdLXhgu3b xsZEpzgj9iEtl1i+YUHLHl9O44HLW6UrXmi1pT7grLqOq9eQN+rK9Scgyxji4Y913bo+SPPwWgUa +Ko5BJAC7h9d3NB/XEWMf4DTkalM58vL43IHZf8cW4S9vBC1K2x7HDZ8s8QNt/0gl/kQuvTc4ho0 KP0LZdMB7fhd7TzP2439+tZwrG8pIGeUGMtloEyvtIwheaAzEb3oOLo4UMrNOY9YY5lguPSo2ftK /UApOv+pElqw1fdrsqFfjl7BANBRkTBqvYFB28++kAE4k/G4YIBs84iRZbe4TXSOeU+X9zWjkqAe /d2eTr+SOIOkI68b7DCGiJlShqnh+i0C2gsccrGNIZ2oZlvewGyxT+r4XqM+ECyeA3ULbgIUPpzA zdc9idghp4jUNdeNDblQ4VDkK67DzAvdrJOXgRKn4u2tJ32SeoeDXKBe20y9jIhAOoPj5xOITcrv 6EoHXlQSj8CErRdKEHStCiLt0WVPLVYT0pCJvBcpoMFmzJfZ0oU2xkX48EasCjF4Aqm4uBF1USwL zssf/lNF8uu3vGPwlUhnwHKHHYvIC7MWifTan0G9pjtyRL+8crjGI7V6YFuNQFmkWtRDssafbo4l qoHCfd1pJWKdv3dD+Sudr2BdkzGp51kQI5/i1NXP2Nkk7pfDn3Lyz8Y5H/4fMhIq4c0HExv/5lQH BTxMNO6FelZfdLAiL8R/BIaCJRzySvx4oCItvCgXjoTlNKRdrnls8RLLC2/E0fkNpYH3vZA2FU3a UloVF+I2sXh7nmcHK+Qr2L9jVF9pVD+5YlX13/VgK/OlMVGrqutrww2RCFZIwvBmyu8HD5H0iMow /aFh9dfbLT03q89obIXeuIAPJGiYR9opFNjnI0S7s6ttB3956Y3HsGCYO7Dt/sjSV9TlcovrSMHe EZtLz+TwMufKYbl8nqNYU9117bhLGhG/drZTeQu4zaqg2YxNxnqjV4tYwoHzqSxmPGoKkLeGQpmG HE714P43pDTA0JrZx3GoRYrR6vPk9ylK8JF0S9b7fsmDQK27pSZC8xrdX5Xa6+uCNEg9MBF8GEpI YwCd52/vPMa29ZsOxpNOOflI//if8RNaMyemKScM/pJgexHKSsVoUsLYxSM0JtsF19y4F6rQAUj4 S31L01c2bVVG+ITOsGHLr89cKPSeS+Mb/whg9Hf9UztqE0bYPHeFU7y0/yZBWuM4IjvujM9mgVHd U0JffqpPUEUFEhezHe1xcYAGr3qa7l1B927FbwQXdqEvcg2SlZBLB1ZeudCOyVCPkqG5H6g4jAR+ lCFsItaQvfrvigGBj46SmPFvlfJy8zwuKE8fkeed2r4/LTCRdKEAZFyjxLddJL4XZp8NEyHjv9b5 zzHsbZa0DQBYmL8XniLqP0d44Tu7OohceTw2y9tApqFeu+cwWDmCrmiV3r9Cr/BDMf0TS1/+asam OY6K8biVCrke+0OHJyyLpn/AS0VqHK1dK+wnw0mN52cEFQfM1YCAmAmPDxtRHYJfJeFniuT1JDNZ 6zeiKi2BU5054s2s+6ca2Mtf6DwDtoBD2EyczAUZMTXeWKpNi4g0A2eMrQMWxvMyd8o5wje8icuG +7IWs/wSuuxkWJze0a1ZCKzoy9eIK+Y7asx01ISjeC7RYMy7hc1MUzc5GTnBZxPgCdPETdpq7q1g z1zDXblvcOLhOLYWhSNJXdviEDTAx/KrsnQlpIeK+mHy3xLuWIk6tBAyi4i871C0LMpE/qo6RjjU G44GXDzIysQ57dSCmiPdVri7Th+pO1lYRCPMF69Vg+Q9+pKCA3uefOe/eSKft1sA2FeZkdZh9HRd leaZ791R7TOa4wqTcusJmhrRckp/ZvV0KRL1cSADApC23uTTRZUyKo1tPg8wsQYJ1PsPffn7K+DB eWcOJSSVtLc4ec7JZBtxdw95fLzIJ8DzqDeVdHELQwevoIxjgnIpVAMOKNlP/MAG+X5CrwHJfbSk zIj4PfOTVhbNnAVzTTU9+UUxmBoCFsISLPSOAPoyJQVhopb3LYQkoY2UQj2akF+YqF7c9iLsg+mn kzHWEx0pExIC0gYZpp4JjCD2Go5pKvER72N/u+kmbp0ZSGjjt93ZmISDifNkH8/jHHXvc0Umga6L BHgLOfJ2XFFFqrZToLt35QvybLube4lOGzvN6FMLy9hOxadZodYfUKvVg6EHTlmCqiqheOiQxPze Q0i3u/R98caHLQE/B6yYJvlE0es0MvFMuSUmfFjnI+BuW7SIdwAgHGI6PWHoxJLyg4Q8dv4EOtsL lb5DZK5vtSmw2XEb9RNoIcmCcy2b3YxFhTjr6YRTc0ffWwNMTtGgFplXMV2gD0sDSaFz/0lXNJgw AMyRIryd9eWeeVe5qL0o7JWEj4zzRsEK/zLhn2+cUtmV/jBM/ifg6Yj9KVeWm1ED1iGAvCX7MCzr 1nchc9iAz8Tq4GWc3pWQVG8XbJu0Tmk+uaYkzPIH1gxkw0FMNYh2j+953s7Y8EW2jSb2YzPKrbQ6 j1ZBFsoydJVNitCakULQUiZYYHcmDgXdFxPjVr7QvTAMds2TQd/vTEnqIFwomAZW2mx1gOwqC2fm Lm9BVn3jkSFkpdI6dGAnYXCj/ErgdY61AKBqYRamsXbBD1Q71G7rRs4j9YwicqklFWShwS5klwNg OdTIjHSAd5/ceLejZkyy5ogvbWDTY4WbNaAoaYQysETHlB0HTKB3WSbF2JOxRPE+/dfygmNf919m uJYQi0km6bf92Q9SRPbEa7ynQJxOlzKd8JLpqBhdgWAp2pSLsZyhyng/8+/P1Ub8ylhB12xky0jL qd4GOka5EWFhd8XtYV0TBWVwyQv9IpR1jD1mAWwfg45PNGLiEBIeviiDnhsVKb5XVkyw9fTawR7x wF9RMlXSZx7yjd9wHtdcdrgYT4zOeM6P2znkAMMTcs0dGRosGYf7Hlb5FwrS46DO6t4fxcGNje2a koNXyMmSn0m43ez2Sb67idPAHd05YFnJ8cBLVdYeqmXty46Nh3++sI4clP2G/jB2dOUsG4REuoAZ ytGbgFiLcE3BAPI9zZygx5d7O/z2scnDCkh4TS0hD2S/MVXhTbKDlum0eEUzPGqc2j4mUfwxGEvi EqALavNPw1SjPiSFtYtPB3/p4a+lM5Xg6HFVH3rgGvQU0prubcrnS7b6peMk05fCjY6Af3JOxaYl doRT2GMPKq/0VlYK15jt3BXBxCmjOw7ivYPyle5px2qqivWDLCJuCOi10wmlisP+4lLgIE0RLOWS D6WqDklnrLGk7YjYc8WGI5rGviL16V5+HL50113dzKsGCLStQJUlnmWcw6fwlkuQ71r69SOnQaMV 1KerdjeMxce2dAUyPp03OD303eMYGWdw+swP5Sg5IodiGgfaEpDTJcZfm1s43x5ecagUG196Hy/j 8egy3MlLahYpnYs3Pq3zh8JkKARxFhfeLZ40mpgRuxtodH74GQI5sH666jCEwa4Q3W0Ex+HwmHSI I6dzweKazNToD53byysknp9/K60njPW5E9IzL+Rpe0GR5ecqbeQbDc1Ls8OR/rc6zahAop2bHKTp /BquS1oOrjqNsmQRPUUbxuMbtKzXvQXlfXNZZyWW0kDB9/AsoRZi5ZeBl2K/oNNG5vPR1X5OsqkA lU8u8DqK4EnoRzXp8hGumpAy9yzdIHAdXEl8PrunAPusxu0BghljeXLQnGQDLUftJmFgv9yMoPB1 PKxF1xpaIJ5CLnhvqBE720XNc7RADBPrbWxxL5ocFTc+fNZV+s6N2iUJdzML/PGUwdleaHS2LeuP fZyQZiqsBK8W3I8+V+yrZ0N9e9QTfWMB68JkThLRSSkhpLQECmASvdNffjeXNx+ftcLEQFtt0L+g 1PaUD920iX6Xb3VCVp9Y877f80og7574l9CxwMd/VqXsOooB6PF9tIwe9rOVix5CWinaXVxIA2C6 Gj53kWdPkT1eEx4/gknAqt/EZEnZvKqgffzimaKQJwIHenAV4NlvP7uDmrvUfPkV/mZH737ebhCJ /ML2MrKn6nttqy7g34x25tUWmRttMcpBsf209OrtEHW3q6wWNKFNgM8iM1vydurWwekIqDgW6cuk 0TNw0lZ1DEk4eO7yMyYcQi/miEcXnNPLYk5wRP7vUIo0Kx/MM21DDHZVQRz+3JHvoGkABiNxS3j4 WnzrzElSRM8CmbB/N9SMmNuaUHFLlg485cC1xkYHKfVQSd16LF1bkPNUI/yuGbZQmUBCJW+mlMTS EWrDvvvO1OsV2jfzWyCbotOFHs4iHxU09gqwcpLEMGSa0PxZ0kjJ3KACxu4XdSV2++3MH56lICmw qABYK8wR+YXP7Ik7Bb8wZyUO44tZuCN5hLBtRJH37sdmQVnKl55u500vtmDd9QToAJ7sAbSwrBG3 2AN/kTKzXUk3du/rfiv8q8/oML3KLLbWBtpe7F9LjrvhmUXgNWnEEnlcKW51/PoM9QQIHfpGpI7q CYQMOk3KxKXDzdYzPOL3rVVDDNlG8SSd+NRL1UXusoSL+fZP9XER2cmTdkXE/7zD0eYMzoGZPsP0 5VokTp4kfiVEoEf4AE36s8BH2N1q08GiE1mCq+Qai8cHivsynDLLUPGyyIKOf0MqNL5VBsw+ifff VuFt4T2ICg5bfyX+k2RRuWvp7FQlgnHut2m6SHh5QWdGQx19Dni68hXD07Q76i/jkI6XT5D8UOo8 lmtoXbom0NnKJjaKO1blhbY+HCqHYlKJamg90L/Oawo3k8DOhQNiHg/KRafRAYfstDW7l4iXzaDg EEyBj8hWn+ilFnvUkpDcVDeYqSHDKlv2ntp3z4sD3xuGLkodCAyLcVY5NYCunOzo9XpGgkASLnu/ vIU05M/SP9P1FTGReDjgspjPmiAjP/J7VVlS67xFXK47XGMgF34Jqk1QDnhNog3F5UiB0Nw2m6dA P9mMr7ct2LALR4QJPU4iIh4jyckc5aRhWy2OUm9LC/kQ3bRQtLoy88dUBi9u73ndKAtM56Q3+ImI 743z6AWQJxFy2KZ9XEa5yXDafTXGk4OUwgT++LR8AacZ7noYMJUoCuTY1YgHe8JTbDMUltWRPm5N ZMXqw5mNufqdRf8X673RUrxHtgywYgxYWCYj5qAzvK/n/D/KiwmsT09ikHhnvSai1O08BtGQ3JXc X2F0CuQH7GQn5JJoLKxWwrmKF4K5q8Z8SZVhLxHICIvVeNnUBfc+JSmtG/Cfp2Yvpk+jb4SaJ+i4 bwlp+Be5OVqVFP+m/CbjvRpnG4D5mGp7K9dcq2yZo6daYjVhk88gX9yFzKlzjIYnPgk/+LWDapr6 nT3fZLgjEEQ8DYe1+MBAWI10amSOBltz5+iVE+SWM+yuQU0CSSeDBC3yYEfPNZ1B2TfGxcp6Hkfi 30fVMEO4jGcgiQZ8yZlYbOYMe5ZePoyHzzHkY+fCD3MvHvVtX8o1Ms8Wfm7vyBWPupn/tQ4chcFQ m6u90/wmq0HRsUQEsXmry5aiyyapxatuk8rwtjxVBytpOa4OEwGa/0VZLqyVcYgy2DSXoDr6WiJe uc8ZMb88y+B6K2csjyGrE/bmlJIPlJkwWZHNUTHsbPj36uIvnakfdVpvO4r9pDvyLNoodPQKM+qg CuVVEgt4+7QlkeKvAO8SwHYmA5YGFVPWQea568oN0jtHjI46SoLhUOMS/v0vItN0OOWiSYtxqJXj KaEIOZljbignzqv35mX9EP/+kcjaWa3INcTqc0M8+ztqtlUNUsOs1Udz+pZJ3gSfB0CO3x7FHAa2 jCV5laVvGKLF/5thxAZQzPO1YUKESdEXIUAdVR0jHGPKeFkpSgLAXfBARmawsA2oR3Fdygiwr1am PmyaE5SypC1E5UqGVJ3eBB63ROWLAicx3RCaZplpt8Q0Hf07w8F0R1VG42Gr4HB0R2Csjqr+rP6G lB4iT5D/923cd+bBh12sWPV7PU+mcoIYFVU6fEdXC9OMEKS9CZXBeDNsJkPJ+e2JkGxbj3ahJXD/ c/srksJi/vGvMM91uaGEO0d2uZi6A99XOr0g9gkgoZYbM1RGtgDdcU121H4zl12RxE+c30z1VjcH NYA9xd8CgasT3Ki9XBngFPNIwYhp/T/KxWHGuQK9RbRzWnOnxpXtAbD6XCBa2c/Q5+dLE3PveCLL QaZxlYiQ2UIkxIe7qiGtVMvJfg6NwAzeiWtUjyMPnMkxPY2xdf0NnJdDuQZVo6tmjSJmO9k43alK 1YDNGAGbVzceZ7oMT1pV7peI2VKRn0K5ImSEsZTawuhP/uZ5HxfFP4EhyvHO9I6V5YrRE5ZeJY0q G45903eGbDHRweM4GEBxr3h7d/aPUShGUTV3WOMBE4txyAov4tamgQt2XZeH8fRDb0KyXulJMG8T bNMntbDILZXmB89G1gnX0dNSYyjiTnW5thUjCuVlUOmhteIhwinDxTFVi9l1Qws9dUWBscXRLVhT NSSAIU6YsiJIjVURZ4uixblSJp5qK01omx2unmIAo4nDdKDPLT2YtynT0FqqeQE0IMUDSs2xWPaj TiQfG8wpGwWsKxx2k+3f6E2d4nEV3+13p3wSuu4esXOJMVwl0SO7egqTCbdn3nWpwtdDjLadwF/E w654sZUfOenKo+PXTIXy1qLOuJ3eTdc/CmCAc+GGlZHO/NzaeyVuB8rN/w/j5XpmnsxoCzVNaq7E DDE7y8ifU73Ej6FxICxUnY8W662nlQnmG7Inv6+qn+BeVtzXMhJHnhZO1DrH2gmM6+ayteKKi1nD RNbWfJDrJRUuLVDb4cpgmHQoy/93jvf4CSGaWclfKrAY8beGp3qVnQF8jQsqeAQVfQ/Nc1EIpWBY btfGaWNOalU209tzfbl7SxwCxEOA2rZtxXvPWitIxHOGZ9Hosg+idxj+DQWdziekjFgOdmMp5Owg eLIKTWzQqHIsz/XvvsdjNbcH6rTYpWveyRv/sC6GtcOt2V6QqgH1Crax/Q8E7cFR/pzn1Wew178G +1ODe8Or0nMHjlVUVDWrlg04SlU2BeU/bfrPRbq+b6q/wfrCSIlCY4wt3nmwSeT4ZrERCukeqcNo 9sgCXiQeXWpQOEmW1hBFMYC5lk/Hja7/xLr790ex2EcAgPYr/voUk0/sGvs52jTqWUgTAyDEDtam kboiWXmpQupOYkEvLjcmc0P4/V4BGaI35fwY/HuOpyB1XqFSF9JUoARmKJkodRmSuB+Ri5H0iEgS 0Vq20OisUguoir0EkeksliyPibQKZDw4SrmIPfgUX0/izCeBo6Uuhl7nIAcdQy2XDYh9qrhVD7ln xu5Irjt9/gsHX9DuZSa0wCYSMuoAtQNLVQ7DdkMR36dP+1eLdAqG5j4WwPJFsQsGfqx4ljG5Vgbr VZJ+sSGAziYkW0kvNcXhPj04OvJxBuUvQKdml6vgurcK6vffoHyMjApNtEfgNf3NOr21i3Kajdm/ UAtsIG5w0+C+r8rkA1MJHJO7pqhL2QuaXoTZQgk5lTrAar7It3sIxFPHlOtWps8oo77dGKm/SXnI v0zJnS+w7DZ/v/3/MiIk74YpgRUIgDgVktESiWioLQYS1cp0KkvSoIalCges32F5xqWRHOgOYdZI UBVJfC6ZmeblfhZhMvko6+EsfIfgpJ1eUHFBb4MlW+psQpskj05Dr/KD99D3RwC02MXaPaNwQk2U fUdC38hxtPkWplMGzcJ8bJIyOhZGlJIS+147mzwTTMAs/5hfGah/RcVY2mWnEYwaTvpZtqEeGOwp enIOftZjZQrhJxzVR+GBKkJeKYw0n8qiIIgKHfuvecC+ZiXWXJRN141vXkTlCszaRAzUOi0hp20I PJtCp8+JbMmd5IbjiSuPA/LMeh3tFju+Gf+jK/dN9PPn3BvoZEsxiEvgQqud+dO+vy7GMkaVtG4X THg6/07GTxKlK3918LQTOqsw6V0YJ60ypCxGRv+iGutYyGJTV0GNwxEuFYB0vbDm+tky/WddeHHC 4vct69r+goOC4wmrQ8uBtp4CflUdeRhqtqJjxd5KBY4tmlgnNPAutI7TxxaJZyCsEwQkCZprSxhU f0BYGnSyxfMhpGuhCHFsM1VsbdjWD1k+LC72T0R1Hj0fU/L3pOf3Tyb9/GqXllkF6VOlBLtUOaC3 cfcQavm/vie14MKT898FN71Nz9OesVDjuMDXquyt8V3/vA/IAOe3JAEmwSblwbSC5es9RPx1gPJE YBsJE1v3QtctE+UfGxp3/7Bgs73jjmLqDXEWWcqBZCtnzr3hoOr/a6u6FokBPnu7d1iLiNEHGQnB Ke4fWkrtRgQkWy5kB9Qb6rQ8+GNk/qsP78n7APTy21Y/7datTP3SAcMA1eR6LQKSZIOnNVqBnB4p WjgX5HLLtyB4AvhSg4cedFvPhJHazNzmIgfkbCt2GFtU9E3Hml4i/ujaBxhv38gOxyPPqR1Z7p+i IS3nLFyaCvKkjfyCVYw+gblWg2Uu5zYm3rtQXf+nLidao1FXhgjgNbXW6gukLRCXe0kP2sKJhg13 C5Fr5pgb0DsZnr7dQm5tPCkYRFjlh4mX87bsbTjhil6JPWo/HzeZOE1vCd1Rq6LgIKIZubIVqpBb fygv+QGQ76MaJJP0hrMqIe0qiLin8cNmUaodfrJMHgUNNgD/LSPgb3YHMZT7hOo0Q1oReZC1j1Kk XUrJi3KeRGdLCKf63WWGaKxgHmmKMSSokEYaNMfQYhpDCyNGVQs4mSNTFLfWfJCSPpus9chlwaiJ j/57fc6A8CBKyG7Gh3Pw1Q8v8I8NXD1POguRwVOqONhRXGnHBlQW21QHCjB9Sp1w3REJ32QFJoxA 3T+CADY6HsvrNtS8FjnwD05hChTGkbjCheTUOQ0TEWf4YGh48io3N6DVy5dJdO456weujwjW6vCG pblqKttV0qYGGJAO8sCQow+T0JE4i/sSurusGNZ0r0EW+Pb+F0sgH5HKxHUCf0RXY0ZyFaFQYBHt tSgz+tTaDrdNaA28Pu3NvkNjUZIYA6gVhNxzdaUJHL5yLlQC5WaO3y+91bMSSGxrUtqrLRQvgP0v Uv5FJ+UjtimCjQEc5zi7vTxouL8DjYmuzel5kSj96i6rKwnFcFoO5bae9HYB4ke71FJ0pW73ytFM /dZJZOHkpMb4+Y5B8Zo5PIA4a5YKXYQ3lxOw4q3JQ0I3ZD/mn3dlvvy1Raznh5SY+0P/3B3TacqI fHmAywY3q2jnL972q+bPzHaoGyX5acA/Zxw/JjjUc9z4ZvJ3IrwnYmqF5bO6pPOrUL5gqTVvKsd6 MB+F+97vVaemqB0dw2/qIvBIRiERI/R1N4ZS1m4d485P9v+02sjxeN2XsQnEdHEIvhAW6MYBlf5B 8HJvEDUkj69q29DPbBM/7Y0ZQpUnwHJaAqDPnMq3XHQ1vMP7fk+LcjOQsfd9AEd5GICY1jjTFt8l yaHF+/TD7kzbL5GyV1mf2lSeYGuSQUBHcVw/rs6I64xjSuX/sXHNnDsSnAlF7CFjD96ZllcBD4A1 CrK/CaiatYBr326fY1wYW9jFfyXby6lovuyhtsbMnHPQ4J2QtUYaWm2M85ePAOBWrY2jh25a0EHx 2Is5sezrXcSCd0EaILCjkc5NY985czjCWFTRM1UsZTPmcb0tRGot33V6mhe6LqNnriYhi4AVQQM6 HGvG6rro8iMhYNa9NsQeZcr09ZFgEPu/J8nAgamt0fz7Fse3900Yqz99PUhh8vGNrjFoNPlh2zh/ l1r2s7vhWrht+regnAhHj8dgKcv5VkWia1+aQWY4KpPBYQSUYKGCZgSqAycf1sLhmxgjQh0JaZ3Q wYSlhr6qJb9GUF6pk4OiHdkhCxjFWLNSVhSptIKh1JUzKscIWjnenEGcriTmtzUaRjTDWkCqPOlL 3CmI/sCRlvLrvhjZOetO86T9iFAocLg+L73clBsJjScqk9VjLEiUQX2D6/7FhN3eBhYQ3hi0MiV7 ZQrpVS+4ymUnedb1Jdq4ONYiJca3bzop10QxIPat3IK+YRNxNUF9v8Bhlor9LLs4aUlmER1VtLe5 lQM1u1jq0MJXxkPMtGWL72iO8aHoxBSUydf3rCTXMQvJt0iYefn6dLwvZ0D1cSqTzE9uPpXwB9IY 3q1d5v5PSwjTcKXcpcKC/KiOApR6z5S7bsDaUCYNO631mz1zA9cipDm9j6Sg5NIXYMgviAsENVWm wo81hGrjW7ONN1cy1wgvrbLRus0A0bhGiXjFuGHMjO/YKDc8yc7MhGuQqqh79uSfj+5EjkNJiMHg YCW7IjakbzJIcsrGeS7tdZ/R1HoZqSS0Ffufo1NAIS2TDYPXyhliU0hIQjyF8Rn/gtFe+u7HVlPI ICBNgOy73BOqpZYAaNkrC586yeEPsf8BBMbFmroofFtMpy28XEvePnH9gYSnq628lWz7xGs70Tgk n4JoZQ62jTSy2JSaYLhwCAIJAWaIQd8vfLSque4UFvAJL6pT1Kw/C9gohqhQSNUutLia77f14Yce 87034axvf43RE7uzzDD/kKLpHjdO6+3YAQ+QX/p5krX2XUlpre1kJj/iceqlAGfEK1JqGBsLe32k SR7K37k4UTG3WlztJn3agriU+xmvsoH2F7oIcxUWFS7TrTU/0Qew9IDCOAP0iCRL1cO5jTIHonXf zAzGRN4Ru8w7Cvd81OvAVJvJ6wDdxc3CZja3JWjW84/jf842m8Q6RAjISJqn6ERUO25/m/XOGOUt L62tPd3NK/SYFiG7LC7KQvWima3YHfF5MRxCjD6L83z8wZoVvV6scz3tKuIagKX5h3VBkaG3X+AS 7N6YGu//A1T8kFgpKkTjMKb/8UcEKXWhLkXsVjYppeNDI7eQVFrmoMy85NJ9Elay4uRk4xWFLHJy GHoEMUvZNNlf+0aY+cCTP0vY0zSkZvjQHmDLe8kfU/fR3tzD2Zx2UO4WbS73PdMsl0Yhl7BDMLFK Iks+L3Mk00cu/GRnz+Q2uQA4pY1Ashb8R8WebR8PxWQcv8F8tC+TAk4Byf7qjPp85WvBY8KUp0so 9DQEwf10NJL5kniJmhaJGqY1fK3+5dK8nEfG8RHMZXasRgA0XfVGuNjfWz3iv9Adnyik15jZ38wz XTpeVMk30QDRtuz4SDqOmIQWKn8HEUF+eLsXs07UovRR7M/qag/QzuIeYqsQheMFnC92VkonPLac b990+oclyeYftSxwiI7lwi0QeV0vW8dSKMUtjjNPGeUFXMgxxD9R0MLiUPptsMNHnuHKMODUvfAR uiejnQ3wgS8VibiHMNxZoOY9TEumj+SY8UD9Oekq3c69RR8WWxuJK0rcfO4ztCBaJTvp2Hx/1kz6 yFwHvGvycA7oTDamiuLkznEeX4R6F/Fgi6U82ZNQrAwqUbjLtl6FcyuKF3Ihu9NBduUW1BMxEgjr MUzi7snSai8XT77i/gbwSb4JfrVJjHKalkz22PIjmB/c5Zx6LV/3ufLXf/tmhKP6zb8BvG4w889D hZQYoc+dqazd6oGVYQNSry3y28E9y51kXihmMScEmfPYUEe2yu7jd9bhcfpbCihyRhCDpfqZ/UkN TojLxoeaD17fOBAXVf06cI6Ng3ANyPg4UF/FtPyYg5KkahJqHtgMH0jQBrfPmbPJTzZqBu8SA1l1 kcq8x8VUm9vetQLeOv6pOhm/nv0l9kfgVHqbXV9JZo32MfBem1v+VxQ+E5gfR8KeH8PjPkdKUsuQ lHdbwCRmn71KVMz3A5l94NzvUg/CnDDosgpKIxdBBItN8MD3nCfsIC47WhZ1C6D12wugjEJCPIhX zHhcx09dpdYJ6uoVC/PQ+trjDkUhhofxZR+qRICLlA5p7b87V3+s5Q+jtqRAJdcPH7ow0qf1O35Q 5KCPvSzAsB+4EG+gm2fhb+bXEMDfHDE6NYrVAUWGAQ2Ajln50D3ReqHFMKmRVIpz3TNIyqv7qZCU 8h6v9C8KeoojJP3HMmDo/FGJjXpIvc27TlpsUVe4cqawZL72eZHhXQ8KViM1Xf3LeOIOZEfpRL0F Laj4cWcVZOMK8L6VKs/cZX6r9c5xVHm3HFMtRezksOzrlHn+jGbatoM9gZOLDGsUC7m30lMW4SnE zcpX2ZLWLAQiubf2klI3KaVam6iQddWqUbekjRFQHSDpv+R7PNkCIjuVtFxaahdMV23CARqiNrPp bGi7+YXsGHeBymJPspUJLFLUQaYEdwNrviBQIz83zHwOoiXGGEoCIG2GTdzpWvimB4WNx7shyC/v /TK4xXBBWZGwzXMzsNxJ+IHJcuuq8Q+gZADLAwbF59fhDEnGY+t4x9DiY7NZjYtq2df0ru8eVpnR hl6/1GriVJ+HmDWu0/5LVrXxSZW0uI7E+HkuIYBLFJ8XRE1Nw4NN+zWzsixlAVWbmSJTlRMOCyFJ CiOm2/8OjuI/G4+nlaGbdNo8I/JrF04WBWo9HcuPeYIDycRqYpkPqPqx2FF/56Ww6Ph37grrEQt7 xpbd76asxfHX9ppXvp507mCeVc7BUB6M6YCq1ktzT3mIcWBwFV2lOMffg5Sh2yeNSzvSoB0UjT/N n0Mtlp6k2d9XK16Ln7w+Nb9oMyIHlC76ujytvUa5h91LdZGvJeg5YF1NhkqxzvIKdsIOXnnsqnXX 9XnpxFbNJi6IUNYU+J1o37YoG405tPAuZstmWjy3WccJDC91yNvDnplAB/4YmeZx0dsZ6yTYXYhp 98lcwMUD98JyNOIaZEc+TLyK2XyLEJm94VQX9c5QBXW5XtiJywN+aWSNesRULHiFf3HnHWKCn+3L 8BmNcRLCg3CCy0TADd/fk1UL9jskS1C8eLMXsCgMz6H9uJ6OGNIssGWcvaWUkmyUXNuyXhXCB+p6 sSXmPh6jieLPlcUE6dn5eGFXL8CRQxGzzR6su4EDnrksvqmL9+N5YxSdBgL58Q25GHRJjHADyInM tYmdauMe2fOrVY9YZ5H71yXWLxJgH31SPc/KFwwanuiXF+x2lk2P56RxRaEtxU+dqsVjten8Dfgo h6bldrkgfwfv+UcKALXFlWJnA6atcH4A2LogxIlpDBwjvmnehzwLwAUogFZjtow09r4Qr1tE8xv7 FTbAGhdGGxG0qgfvx9AKtCHeqi9dbbu58kYdS0+/F4UO+sLmkf/f5XNykz55oq9hiBbuL6XdKrPY EvKfW3jx6cxhQ50sD9PZsNaPCk11akWxd7kRc1EwCS8+zK0RiYCUPDs6SbquVC4Drtt98l/DPAIP nHQeZU11G7XgvHu60aaWiPbERcgG3qZVrUKjMDOgJiPSFGVXcA0Gc903602CZGEDKcbEu5z/ADZn 8XKQv086/rXaTXUfLToscd4ySKbio3XZMgn79S3cmZPj7CfJc1xKtxmfRX+cEjkkVScvbjRqYpLS RzmihyntsRmPDS4dCfb1C2iqf5g1+FHqTQcoeNjCUS1AHZIvopiwxAeeQpQ/Sw9nKTOCCMB5CyAp eF8ZdD2P+LQjb+KNrnonTCX2OPEHqbuqb9HNVLjlZRHlJIQsefcJLWbX4bOv+N+ActkSeRB81L86 Ub8yrBHpyUGJoPnMBxMkziE+42OGqJ34qXrRx64y2VvRR3DOm16pzN3k3caJsktTYYPpw0otpy8b 1Bm2XDN1sqgCVrWzxnUvmrgr7WjWbZ+KKFun3rK1F6BuiEXsmDDo0xfu5OgR2n36y5RsQY12QoNn sw+dyNSJt46WX/0zXz2HJ0Q33d7awookJciuQbyzCrWZNZ4MqBOY6ia+n6A1ihtlifW4CQD6x1Dw qnPCqYFn5AXOk+b210eETavNfb1LSNahDXOB4rR/4k4SZ4W14aevxW1HDR4hqiteHvbVtMiHgqy3 XQ7T9vuaqSLMBrfPVewwN+fHbCbMTvrJ7mqWoFSct/yZrOuY0NXr3jz9zh5QQsr+apwwnMqo2nQX NJuQAAuF998TX+PSmH9XmZUo00iTYbuPee5+v/eiEKlFyoWtnZEOyknqtj1RnTHLUPeANHhyJDRu yVuOzq1PlwiLou9vccDCYHD48pxiKN4T3uLJWRsAR8dZQdifLnKl43pCEb6LMUgkvnhB7DGgfQCP XqfWkKaV7aYq5HSu2SPEZ9qxGW4TI9mmBEWR6JeYfNi5O7FQa3oH+hjGsgpQMeN5r8oaqJ/PyJIL lp6X5c2Xv5z/s/LGgjiGPVDR6Kv1R8+nnsjWVjs7lJvwwgY3WzzILMnIzw7mmir+jtTLGlpzuxgs uDAoCIhBjV9p9mgQ+s44wpduEU1NVk1iuRP/LybLYK+n3P7D3qmofS29tVaai7bmC81YABXroc6j StZBe0jt9oOkbkVYo9NmmrlCPJfdWDMDn3t75fgdz9e/4xaTR9eowW8+qv+FxxYwmi0tgY6Oy2cz yN8fXzWOlpDIJFVe/CQmzY6Yk2+7gAgfa9J1hsRLZ490BsT7rlGQg44dkG3Ml1xjBfn7OHcwv0ss D0HmoE0PGnKtJlqNiKcv/ibdlyzYX8LxUzpux7XW0iC2vsD35JkTd2yaeaBOMP2ud8JZUYneDvVV ur4dCizj2Pc+1GnD3aoatO3a9hGRLk6shOKUB3V3+Bbm/aylA3asbRw94Ga9U/vaqIKOhj0XkCxr 3BWjOxw3WxCXINq73f6uZEewfEYitUxdgmKnWFqe45BUwxw7+40HiL6QBTzVvwMg+bfCGl5111rD kUJsso81h/wugDEpTfLPXJkjbTw+SCEuwemg1+7Y+NBn7vzBuSstXYRXsEUQXcU6P5EyVa0DUSIw 8HksXJIM4HO+7GqIGljy4u/BZu2IrtaIh/JqG1Vo7li2Ht+TMJL0j6l0Mka5GyxBYZTqRO/dJjz+ gxxgQQysucLp9FeqSedx9eliCrEodE+0u72OLH9B2Ij1/4khwVXV/Z+kWsH31Za6VEFYVQPYRyzl 68vk09V7cKITK9GyD2iXp3yn7My185OozDvDn4jkJi6ad+XBX/VOGQ3oVt/3wNp//wbLAMhG0tmd IgVOF/0wpPD9tUYj3pNkC+sYfl4PbwZdTK4W2N39UO+/36Iz7PbXsePFgNtKyQqcwMl/0tl97Z29 RJ3Rq3Qz4Pc6hvva3gOi0tSuTr0EkVrmVGduZoeY4kZ4mUFwDTg1QZ0KhKevQ3cr3268l+myebpm j1+Pm7PHjih8QEHdn2sscjWGN1JDkFKJ8SyZ8vX3HHvZCvItJ8UJzrDY2tB6zUJxA7xOcPGUqlOS YTe5qr5f+yo0ShsPOuI7JnabBQ1fMCEXhyFcb48oB+LOh0gh89Cu8p1LVb725boJkcYHRiIH6VUq xlV5+D68dyIaWM80W0NLgnCtji0Dn6w3M5CDeVJnqXE94SDQ7l/YfSEotDAmt5nvDQarWTvaqCcD nsNfZ3l5VgIERfJe3K20oVugv7JO9UFDUNCfhmRN14vzestDbvIm5WoNaESPlQA62VUKpqunTcDk SsE3DkrAyR0pDnF3/QtrMg3R+L1Iqa+8DTBlqjCIo9XAnAxUg6t2of6Nh3idIz3V8r6KEKPLZ1uG xvPvb+RgNZoS2++8cjqPxkzWIFgrvLV4TcCOEZUb9/NujUDmjmTK2AzzDXwCiajCFgvbuPgs2FI9 2wUmZH3w46Ygr1bHSbkqFOJc6chcbcJuXQIX0d7T91eN2CMMPFdBtBAnu3x+1SFUn8LAouZCn6Xz dvfBEpEi+WH2Ucha1IX1j7LNeamdiRpwQgGIB74avaoQglSrVwecWaj204bWaFDBwTbyS7Eg1Jbl hoNdUCKS5bgAK6yizbiA9ZxD6XBBoZG1m91iW/cZMS2izfX6aC0ieHp0W/IyEk3ofAajy9Y6W8FV Edis6w3YM5HTpoefRfX3IyPT843eBhUVKoDy0SjhH4mHbCP2VLXmtZY/9YfAjESvdkWwC7BgS1N1 RiaKspuLY0VxE6gDot5Snx7Jm2dzNtk0TvdwvUft+8CUK9yca6QDDPrG7VRKuDd/o4zbfLPqOgnp h+3qnZ3BRM71g8FxCtEjVKhYkvELfng05pETNX5kAb6B4wMwF+/A1qzGLTufLGzObHZtGKh4y/1y wG0UVkcEJxBdBTs+kU5X20uJKqCWu58vjNKL3PU4OTOKOZ2oohL9f3pb04hmS4t9EmA125xjX+Zy 7Pe9wXaoQeY8lNDfdH3auVkTzTBO8PwV3qwmZhT0O14raKA1/ryyBa9TID14WYUmbmXMEBEKiTbF F8k0GYwtC4F88yji0uzD9Zydr07gzQUfiowLS5icFdJcaxIE0TwpPjgAanAhGJyxbbxXa+Wupr5M ZpqNezMHOfyi0PQmDrijKe5WkglgPHNrENJOo3WOP4LHvMG61AYjUIfF9LOg/+485cL9m9zGfcLB iF3W8SzVk7y7bH8uGJJ8MCJrqOk9EshrB0rrlrUPXxbvAwRvCdwkIXJqGtGG5l5r1rAcldHKpn3v gy/h0HkyQWKZi2uqtDleBU9y6E0j9AErKybVO+zOLApR6TbdJDIOQkxu6EbX/tSYG/kZiIyr2NZB rHJg/rpbNd/eMimA3qBQECCZYHIvsB/H49mgAE2SqJ8MpyisjvWmZ/BCt4pacfSZ8vMlWqz9HVsm ucvXZ/qxdYBuhzgzgSIyEG/7z8EeV4aQdTqGlhGiRx82aPaNW7DkATmCUpSGvgwSO9+0pKFFnoAc sZrRcTSzfToKe4PQ83O59piFkw2ya3useskfZ61lFjBDQrNskZUW9qHdjeyNrQW1s/eWcZQjAoAu 0vtQ9yk5SddXpSWSULXTQazgfMKPvp0+/s8MmWtk8in1Fdv1GT7BIekBR3VXTn8Wc7WjR51N7eiw dOSIKnE0N1s01MM8fmeF6lbhfa4fbkLUXbDbQS91DXp1qc9OGHvYWYDJOdmZoRLm19Mfu/oCPht8 vjQcsLpEoD8SlJe6ZGVyzcBayKgoU+X9QtJz6ufe/6kygpwuC1JIQajMSxnGM9l7aHRvXbKt3uzT 5KL5cTuXeEBaU2PKpQH6mL3SrPxtBw+mKvwCW4+P+nX10IVK6jIH3eCPJxMnPbXbWoigzUdNXM4/ cwar5LUon1qQRzhVx7wnyOtZMpbbAiLsSECVrBqARGbIg7dcww1TfqAHKgO8CmaGvn/LO4++hAyu Vn8yUHngH414fLjdH7onAJ83Jt6kZVOM2DBKxthTmvBdOreUNcPqPEIJeLE6xqeudRMp7UuQd83L S72qJ2ggOeDsOk5BghNYGhZcZm/THysw7D5M6p5GQ1kb+atz5LW2oCz5FQJprFXPz7J3fz2GagZG lfYz3ZSISKZ7R+d7wvekgXBmTy3SKfNlom47UKNFDGgRH1F56UDEECS+ntIZZ6CFVRaxHFIKttue tFNv78DkS4kjbgXgJ2e5TGp+w5nc866gLicBjlZDgOlCKgA0q4PQnGHZevCffxGB7VkHyklDS6h6 hTIMP0pp7fa1uDVgqu1f4dzCoTu41ZUm4C8+7LnWn50/DqiSTJwkQF//wa/0zyR9AsBFLQNsTnYG TiqYff1mmvXL/+Wlkc0BJtfXVkAwbA/OhLdlixBFypoNXNbhrKcP0tkkQXmU1FjXSRlG449eARkN m/LDbstKqwwzyje67GnLJuBGg7v7+LNt4wzYKDdPxtelo1fzIhL+a1DiOAEwmWNRZBORpCv8Hb7v eSnMI8CG1gkfK/P6Kx9AAtriealbde0GgtnJ/Z725bN8M4/K476AZUr34/CkeD+E8uhGk5btmhMm ZgnvUh5A8KUZb+AjddCGNN8f60o0KeqcZJ9LEmkWdzP0dIIK28QMoCRTlnUSpm5+XsxtT0WPJ2VT Q2xkatj4SZ3Bp2a9o2kKUF46X0MeRVSc6PRa4ZUhELLKnERA+sIh74kzyHoKjNGu6Fmu1beA1T/D xDHbJ5p3YulZeRsrtJLjxFTLbdA6kNjLr4Ff9ADvzSJm6TYaoiJKthAoGhQuKkTKAenbOPm9xIzu 1Vu5xisowE8wF/9SzREB2PAogSTredu+n0lFSXCJSOIk3b4e0zXj1U3R0dk0fYFTWEWGoNsOVgik XWg5Qy36x2x1GRQol5SnpDZTy7d0O9ol5P9XH2R73rH9+RY+CFIWPoacK/wBq4LvPsBR5J8xIGv/ qpxI+hHOazN0RSv9WEdFhPMhVEUzm92/6V1rAj2gkYVGPyWowwji/BiacsHRXCaQaQj6p+8TX6Oz pRHTewmJs21AIw4AuCdHfsCK5BW3ZhybeK+HkJQBt1mtrGvrHOlyEbCOcuzkt2W9KEjlJ1hjNIx6 kIDGwY3/nz+MfHg/9LJXLtPdklg9rID3VagaOuDnCB+ZVj5DurBuRWxBDmfdO2LgB92TD4Q+1uVT OFDjo4ShUsgl+laeWXiPw9+tVSm2zxEjdUP3Nx5Mct+BktwQMG6xwVIk0K1tRi5x4UWoOT6B9kWE spF/0/MyTpvAHuEFwq9JshaWOjamY06LeU2w0gaYo4t31vtnPkz94q5Sr0Aiq966KO4vX7+1skTp MuAbLlFr0tloePDVkz2Z2nAGFl6uUBCxnB8RfEr/PLQCrGuqaoGuJsSxsmL+EZH/RYdgEw7kRN6U ER6eawVwEaEpYXtXGc+moL2pEvYzbeJOF1nlDHxmsSQu8q3+SDlujPHxiLOnuumHmNIAXqVCdpV4 X+2n6cXGOXgpd5TuwDRGsn+6G9+dwpj+aRnJ6OfasrIlYHutcaJabA942+8n8SqmfH3I8pzCmpVA CYtzhtJqrQWVA2k/r9MZTy9WOjgQzjN0l7KEgqDwKmyDwMkfnMcD6eC94WZw+tRd1X0MotXFtf6y 1x4m87xyEA/xkeZgzwEJT7gzQXHw79H4c7QgpFQH7C0ApfzJxJZCI+Yo2Km1G9pkXYF2j0qKX82Y jTPmjdFDLJARMYKMio+EUL2deK2FxUUfhS0r/hH87IpGIVw+/UFde1YGvnY3W0vdum0NnwQ5Fvdb HgpAALglP29F9aua0biUx16dZpjCdyDZVFzyxlQyD6Pc+Bcdt3HRCRh/Ap48l9CrrmNNmS1yErvk kek+uhVNs5i6Bxp6v+T6lg8VHlR21O0HxGEYwWts4VzQR3h561N4pz/+BLoGWfxj0v909pe/DoYW 5fFIMRjBFWpqLPZzc1AOIlLMX0TzVYAtrXf8xeKw76IPjaC7wumDWuZRCc8IOP1Y+NBF/OiO4bbh WHNdvugg4pUlcIMqWreVTxpPKoUg0Jo68cbox9hsgIjHUNuio4eAf/Zuf+wBzWx7QB1mmZZ17Qqg ar2dSO2+2Nfg27cExN/gvM0C6IpTdrcqJkSP9C4McCuTPRlzZlVyjUe2IIynXuB6ugE8hTmWeVSl vCeQPzktlO5zdDCa9a1Y9Nh9uvD7pHEVYIQ7XSzdf+75asuP1FC977AqqinTe+4LiUTLNVBLIqP2 QlN6IHFi3Njbmm15z+bqmN6/Ty0r/6q3XTOCAnNDMEnYs60dwuchWLgwxc2EMIj5C2a59Gs6sw0B SgEyzbbVYNll+NyXD1kjlIkTbkcacY/bbhxy8a1yx1N0/sJPiAiQe6DWiGBpviXL+DwpAcovZcYF dx2W+LGgfMXTDMXTs+K94nO2KrESCEUPSQcPMz3tk3Dlf67hTPumOtcIdz9ABlz5OoJLMn6u7K1w 0WKo4ucvaf/4RaPbZpwtcx3OZwsQ2o1rKQtKvWq2ZCQ3mmLfZY0wKdpM/CV+8Pf7yN6N02p/c0P8 AKRrxLYDD3JBQ5ehFaVs291wsa4SESBQzO7KBEdhLTu47lvFKVrrUEATFOKhIvPq2iJbDVgfWw+h UQrYg+MZaQHmoHeQrPg6icsTrr4lGF6/c/52Q2H+HCEk/31ziBTrbTLdJYlLEyEGH+U3vDg9oMaz 6NQ0yZ17pCjPtzpbZG375lyaGKGDRIH1fBOLPjXJ2Rh7tXDPjGUuQlYU5/uYvDNR1UFo/iawJJHO b5QxcGk99hQReyi0FNJy2EGz0P6p0a7on15TcELrAi7dRv7PIDxFTpZVuRWBrWAqyC1tDdcFFu5c j80P36qtWcEzZdi7fssBwu38AbjrbSQGcGK4YCX0yc54DQbrvL9ca5aiSZTbS1/rB5V4hO5VnnWx 452aqB6yhnKWuKGWcwNeWy8zDHZNSxjL3uAhg628BetIlXev2GxlmIzDhx82pcHZhm24+csJA1gV cnSCpG2kLYPWmK/9LmM1SJeACxpWDRRacgVGPVO3i0qZpGpprwuo+3iNcfegbAokmCEHY03GJGHU YQ1usmKUEOnbKbphkpORAK1AX+hb8aTJwAL3jNoVrUbJ7o5mA/4V5jq6E86VWa+FiDt+QLQvuiEm U7M9LWLcGHkAC3zbxLSHe2j80gDbUjbo2NMxD1oMStEA9q6MUtsdgabzgLe/x+gc9+KLhdCleDYm iYapya5GyNErMGrbPtARFDbjZWykS+tH2AwL1ytjZ2Ewqq+Xn2ohVzFK4ADtgygJwTcIxif1xeIm 6Pk69aaIPGJKyDEreHCW1wRwiWlXaAAmu/61S4y1XJZu1NVbg68YKdlofn4VUary2GpZLe9jbNWn NzL9w1ya28pBZ//kS4mEVm/B+XrzbDO53p2kGimPfb3xLrlRGMDfrhCEWjCP1qOkPK+5UoqM174k Yq7i2j6dQEosmmkhP2EC6SlsP4gDPs29ZA2Ob5qnzxKFaDxRikbhVN+qYe8neaka7tkih2suNlGx Uu6q3kgh7o86gdNcFBfaiPPNbGCSkaOdLIchTSTFAdVYiUSniHCKvggQDYcqTZg0HBvQLRG+gB/L YDkfBNC7a65iKF+4ie8QgszHwekz3ruud3aHVMRsFN1IVFS9xZqgKbNOXEQItZ50YhFlrN+MVgi8 UzJucoGLBaOySHqxL7Elng0mjrMaHKo1lwC+4Cjk0iHMcWWtdJg3lCxqRwYLyrSpV9poUijQ2MHT x37OPBpGYaRtqgR1JMTDMLadECPmRf42mhCYUIRzYSZT1JWHFVfMZuE1n1ObFIlrDTJYYxC9eYYW 9bKWEMN8HvmscDra3UFrpS9wVc/fcZCJcKt1M2lwq8eK/BvtS+fv6zOnY8uF+N8tpxUVuHYjgUA6 pzcXyiXjOARfZG3G0hzLh4kTyxFZ70q83r8SRS7K+3oruCC9F2CvOAFW3yFOtfVP9PE56VGUr+Cz U5DTcqU6SNaSYfhsWyYL8wbIF7vCCrLjhua5kwqLLreE8xAfzIqA9kpnJzng4eExDyuNUIo5lY2X AVlHjAgGY6p6KXBlXtzYz29MXrjxDz68r350KyeXwvaFqNux1778a91jA03YYXJYxjNrObiRJ5uh iS8l8LAJthnAAKQ/yHzFnfW4VjCM+llPsHI2DhdHkcMCTe6GrTwuHxdoDKkMj/jw76/Tn9j+pFYX 59HikpC1NEVXQ6cxqfXaFjC3VjfF9pc6zUul5LCdoDOL3aNdK9COx3RoricpPfF+WMZTsTyzX0rl Yg5sWSgZX60jLuAe9DOWozVu82Pu9/rG4Kz+aYaB+vDbxeyO2aVeThH8mVqQzPVCTjLCP/1rrJp0 5w9cTtMyt5H8Ebmlsf9FoQpj15A0GZPLUG3OkRJt3e8zCnylSSfDSKzup+me9x30Ecw8XxOPdZ+2 ulZbXaAMN2H8ChV0zTahFreIOHZTP7eBw+dh4afRK4Mr9O5VQLPFrrKvNzaY1VUEpA/z5OErcX2q 4BVzGcIjDMxgDhXfctmdUF52CzJT03RZmNYqZq95rvDrqsP6BHBjIRm1nzTo98J8DrRhHAqQACY1 g7TevspvlhXPyaeXBSdI16/Xywa0CVUgSk48tLZA594jhmzFTlXo0j8VV0jZIzHblB0D6ad0kUZ6 T8X3LLAaTkFyOt5CUlJnQDY33LdZGYBHammm5vn6Yd/fvmyh0usijKaT2KuJHjHHE3q+7NuQWnZ9 DSVNjtR2nF5Ch7g2xX7JlHjulhIlZA4Z/0qN3kQyhaIXtbPze9QBVU2osO9YXvATwT8K0zDdFbJ5 mMOYZbbdKzGDAvGG8ictS5ln9HeXwqptHpq60Yqp4sQor6RoYjTArm7KqsB9OAbKhvTmcuMN6n0q mj2sMiyC67SXNZoLsR0ZregGWD0eQ8+LbmUNBIJouEjsF3bGd+y4juBwJMFSVDZSTuj5qNzL6Qxv 4/wBCDNRYWWLmh3pgE8xmRcTkIXmRQhwG92kk3lM7WxpYH92EtzBGKmZUEgu0NkelpI8z0qWpWAA MT5YQYdK/fCGfaxSucY/VY0HJHWQ50kqhCA47kr1y+HrQKqU99tEBXXyzOhw9qvNaTyIX/axTr/d ZSaSec2NLHDtBKM/qup0PCUPYGqgC+RCAatoctKjCKPrzr16NVRnTMwOSnBaWRZsnJLuLB9yu5Wr w+YOp/VluipFLkZX06zyg6qmNAws1RHb+RZMCAY1fkhUcD2JoHQfdofsiCrz/YCK/SzAq1aJuLSe cTWcbdireMCjP216sAU3hH5SIvdFlgokn0KV8OlJ6OvVi2sY2aF1ALMPmvvRHl7wG0oU8d86UoM+ OF2luaS7foy2RdNmPMxV+nx79klMp70+gyvkRuKE6hDdnwJLExv4tAAqMUbnThC4VpBAZhwmce7X S/Fg0RCUpyJkk8Td6LXKXIozwT6MkyAblB/MeiN7mqHWeAmE/LKxZsGpSGEzbnHQo8T50HW90RLZ hAf4tnFMkB/8kBivGmNaVu5+CJtzdXNUDI68/h0aisQCX7KJfPAyryxEG294o4Js8n1QL3Lm8co3 9LpJhD/eHreu/WDCU8dGgUbzjIZ7WseUgZAaRuUZgbaWbjtsxA3FqN+sStS4V8RDdePiwtazm0Qv UNobXxNFp5P3aApjsxkd85Vk1tUA/6b3rGXJLL5DtdsMt25wWWMXDA2ahEBChEqdJ87R+jkdUeBD kHg8H6l89qz5EuklPDPWJeFYRJ0y8Axq+0HoRsU+m92UPR0aoXi8HQA28csUymTu5oP6abFHQ80h PgVHPr06MKy+j01/+tggbSAjAI8KH1aSVWjp6pdXBIEQHXWhLtOWlfTq3+u+dOf9II+zZ/5t5AWL mgYgA2d/frSuVgDR+5byMQyObs3N4VL1LK0f/Kqo9/W2SEjdo8pt/OAODHnM4lOPXA5OFFq4sH2B 4n2EKJs9vM07PHS0yxsMH0AAGMI635o/v48JD0zz/gdDDHKkCufbcbNbK5lgcTCZwZosXtQfN09J fIZIuyOx8Oqb8hGXmDjsQKF7zFHnEj5I3UiTwK0pzsRvJePX6/p5lWFRyZ291Ylf/v/LbjUH7af0 xrskHPcJdxr9DO6W7Yvm9HL9H7qQWVvWGCMVibO3FLn8P+kufDCndA6OP2gM2ehZot8zSKDnPIrW eNgbbt6oGzJtI0YLf2MDUd41t3kV6Xd9wTXa2bx8n9zelscvwodbrYRtMGXZgWU8IqtDBzKQ+4VN QKAwMUaFsufX2Ud0z4jy3svi9y420BJGKGiCdnfmIT4askgh9JbL8wSvWdQF70Ip1y3ZBA7lKfIh JROji+7sZ/fmo/PieRgctEJX3mUReZ2zP9Pj5qcBxqUx6CrV6s+5XJUHakvTbxR6udouQ6iA0MFQ IX4zhiESGG2widmeJtxPRitCoDbsZgNEgkzaA4mHylrsJJ7j24UQdeDLiKJIrrrEUR+8tMt7eEEf WPDs4uv+fPz/IopEfFYgw1u6QFqVEGoC5QzpdvLrHN+5i+rmBHN6GUodEqho3VFbJkmd/WFikQVg puEWM6HKqYmmzl1KffQYXhLxznP0wc2FWf82JyOzH3ze3tzvzhLpExfx3W6ZkI0o6pvUc4/udImp 03AGhy1INOJxbp1U/gUpw/SlUjNQSRMQJ3ddDo9eoaSRlYrgWC3bZTbBIWrutJPPa4v4lthUoTFv 6khLxhjLMXjohIX84YPpQPqA4OFzpjIItMI232QbiGqN4pCicmJIheOBQ4WWujXTBs3QVJuOJRFy peRsGwP4Vnngf6dqIY/58znznHbZSbv+he1MMBYmoXDR/w82SH5XGWtuurCukEM2fkvgFekisrBv WR6cvRVdxXbFzA68eDhlfZEQC7e68eih9tyrPIZu3yY7Ukv0hY0LYBwydCu8hV7DoNQRY7jzH6Ev JvGuUlyZyjei26Uwx0j9mFEzbfWLOzSwERfprcXGT7A1Oe0dXgwBqgizGK4R33AmsMvfTxct/4Fa 5eTsX7Ey4L0g7itrC0MYM0c4OUFnyQyX9M0fjN+C4jD6pzjA/otm+aP+J+aeZIHu2p2EAhybZzau L/sh+Z8mBjXlz4FRNPx/vrFk6W1g2aA+LqYpkNFYIaNjC8N/jg2Ox8OAkgLHTRXT5zMu5VVj6FCD QOP3eNoS1UdJqRoiuzHQrt6IAtlEc1LRIUgvscSjemmkZYTRz4mkPf6hOmW1Ub8PohniYetM+a0S C3e+lGne8q7Fr/MQAOEiIrtOezNAfUDupTPNx6dwUDHdhEfPM/XQ0KQWxqaNp91eorwd2oTUCTey qa6WcnqGAHcx9UNS7q4Raay5YEmLJjhQAtzR/EQENsNqBZ7y+Vb6bCnVFYesS1pZ2D6weRj3ZnPT RR3B9xZKxZ8Pp0qhWvljogHIajSunGBmPBT5oTHlc3s8KxVQSPTXX/bKOOJzGv77bm7jz6X/7Ekj UciqUy+p4+pgTa31c9Ly7Mb1BWSswQUbc6RFT8c6efrEuPjEhIKji7ICmr2bsnIzXAATt66avzAV RmG33ceVy2k8sZfezIjHLA/lUtdd2xNXuRsynPBxmIjEAEOVOS1jJbAr/3UC+xmSaHggAz8d5lxJ 5fBaG4/8K0BPnrONVL1CU8sQpaS7bceMG0rgCZvgywDk0+vqCOC3fGBaucpnQKgfBx24c/8WQ6fS /txPhIA9nEK67/NICCAqDKY9nJfwvR7iV8ZAXoN7l0+G56/D8bW1ecThqck5wVaYiWn4hFMxFS0C 5vIw5ygfAGrQxYDM6QOpMsKUm/QOiADfDIOGgmUv5cYJ91avtQlE7ZkhiLDoD47fRPFmcRX32bsC VNX83DhJm1h98j2Pf2KtormOg6ZkeUkbhWZh47W4Za6UKE7oKiWyqgPcZr+aE/aseLw52RtS4qlm nIF42C0IvxQbt6IFVaQWG0U/imWmH5mN/sMC//CN+O1GAq9c60rFwYWy/Izexf7BB7LaVnuA//e3 ZE/jT76AwpKTVo5Ihg/CK0Ws4aVCVv03DuoIB24cbNQ4AYj/QSWAc3kRAT59K2VvcCezbh08dJlr hH4lbnKHUlFXOxphAF9aDWFUOSEPjhz4QOtHv9ONlxnNNZaYpbzlc1QHBEUV+FCzJKzSh008hYqC ync8Z1lmvRkFqRL0bIjnrOfdkhsmr81svGYL1HALyfNfKbwdV9k//omlD3aO9EqxEpc+ZJ4HQGfk STySq7Dc4OEIA6ldjVxz3sadIb81HNUOXsbfRcANO4cd+vVz5NaIDNcHxUjW0habzViIENKCfAm+ 9Vh2Ev9DQuKL8DPmedRxLuHr0IICtlQDjGpORHSqoR1O57KYjM/LgZiSdkvAGIyjut9XT0gq06EA QFqhyt3+Amicm1dJ5G75nXZlUvPGDS/8MoLEXs0PQH7OrJXD2PVEgs/NbwbqfC71c1UvL9tuE2UO jQuRmx7fEyshNiSevNYPLcCxdftBvnU8tCyq5ge3xCb1neZRt/aiUTY0hZn+Qz2WgQs6GeQD5JvE OpYwgP4h/7HbVVd7JMEUyEbRBuHWpUExaT6MneJ2YPWwFh3kTJO4lF1SpJti7yY6xzUsYmfHMIby LpHW/7fpazSSDtMjXZdnCBQVxyI6g1lJJ6ulrhd3hwpK+GVk6sNKtYsq1oyjeT/Q0sAYmKI+hWMs MsXkqSntqa1o0AiZQrwEZjsVENN51rmnecw7kh3ip6YlItJ+vYfZXUqFHIEmHp0iVzh5HS4O9vRx Xi7jBjFYqZ5i6BUPKrT+JC0CV9tK0JrXezERIIDDlmpaqMmPI0clQSxNnlFvOz7oM4+dE+FUiZ9C H0XHEz2qumZ8FBpoWYIhVcZuFSsevQsTNyUKXpqOXTNQG8KXITJn32vTmiovbFUTpLd7bzFNjCcg uKyVKN/Sbv4BAEkDzZJemSzUYxSrXikRR9Hx6BQF60IOoM58bb9e6XfIlwJsPqKFQDBFadgohoGq /xGfoAt9D/Gmo0pkCTXA7plzz79KHXvET8Yc+9lqS02MHIZkHSsPqLTuKFBktlqZc/NYgZQWSXmN G75uRcGU7valtMV+7FTvkAHcA/czfkiYTCGCNHas1bbCISqLoHGcZtLizFf0NCD4jU5HtpuLc3US 5n4tblr5RivvMRGuu5hz/WUY0A66K2ieP1km6QI36LgNNNMLf4TDMCBO3KrebEk8NGdfDV8um65i CH2i8VSXWde1/vdeHohMAzm3BIGQrVcSV5drLH7sYy35YX3FuVi7iiBTcWkFGMZNlktYUzMIrhXU /o61P6VSJ3tDAZ1Gg6CesP++rfwKYhXYX7YhSm6tYIO2G/mYdSYrVhl/F5pOx/h3K1tB1XLgWouJ Lye2qLZicwXK88rCWhDgQu0kgWZ7jvn0h1H8dGmKtEflDwXrHHPjXI7XNGdZrSjlKSc9mPTsKeH0 vu+LOikGPkm5qponosgT9E1RnFBT5H3JsLKUOSYh2Bvn3tQJq0khobM627fAZgX7NWP6J1XWiizP dFL+RaarADffEtoxjTc05aFXcQhJhxvo8iBTk14p1ThbFlGjleIZhd9VkLQF+N8Q7ybulvBzbAIL 0kODgT5UxnkzQYyQbP5uUTsjvuHkz7CVml0umFV6dmxlxbGBZGoixINIgeGdMyBHTTAJS1z8dBoz RTHZoXFcwXQHhGmmyIlmHR9a67QYNdUC7eHTKDOCFjsA/cwWJ1YhOMPnd7qRbPHjWzfaNgZwDueV i1XdHxcG/itfxwq7biNaXC4MCTfpw4F+DDcUfxGgnvQ1qno4pmMyeP7V38zS3Xq3QI6YrvfN1Wfv RchV6mudljaADIG7O9eK7xIy3tPguqpdYEZAiBH+yfC8AoSHBmJbZntthaCS4Oh4zAVWfUDsbFQe +Pu45XEEZNj7a7Tm7lk3joMObeTW/iDI2ZwXQhq3dEPN+xw6g/PuJus3GD7TM5zRVg8J3+w5w7zN ugqbhfQdeu4pUalOQJheCFGtLmKtql/TrvraoULUORY5iM5usybupisD3p8JVLgiC8C8PHgus0O8 glIcQpV3qUnZkWRgHvpD6AKUouSIlGcj9PquxfASwgc8fj//aueAQ8ZUleg6aVNIniXWd1upljOn /cERADRUqHuTiiozJpSw8bd/Qqata1lEmhpnq8X6pwTeQx62DpWJUkTJL+rxjNRTCEkAWP6DkjbT NjBIECTEKO/ZG/haISur8V9e7uc7457C7ykNMXwt0ptSTu+YL3zXiMDxxXhwOMo04iIDF3Th+RbU mKjMNAQpDeyDZKDjw0FfxDEf7ks3ccQTpdhWHszD3owfYKeu+9QzBa8/MTpfrodrKOpmP9sKL7DH 1Dv+ID6HTWvfii2JuyveOOSuVELbWBZmrDvTY8P248xgvYzdS04scdgCtoLsvVPa1L1MV3StGbyE y38HGDG5MsKa3tCFFP9La8Gh7Hgl9YoOc1pjI0gLSCbVkhHbDPMgutTS6PjwRxoFqD7rPxdg5ElN NWFGKRjlLAekOU4lfDAmU9w70vT80J+xT0vdROZvn0EoZhNX++QnDDKOB97nCN8dyreTGkCl4oGH a/ptZcemvrLVt73Ncp7CkaI44JBG4R4/hzm2wLzG/UMMXgsAoTr33nvZEnxP/vyLpSKP4XsGOnC/ zWBTl2TE62Lm5EijXiAx2DKT4XNO005cqJrykS2EXD0i70usSG1QG3kpHOZOftvCYRpYtG6xZTxM nmRs4sQhf5C4lD4f7vIWvfVvEe50Ae/Zr2XqPyfGpJzX1PKYAUHKU44vjefWxPMZJA7S7hDO3ZNi /7g4A3bdrCS3M7U34wOAjxANsLgV9WQzIHQtI8/hmVr3yrFUjO8WEPsLcVTtZI8Mif4niE+AtAMf R/V7E6dWOV3rR5mlWltfIofjtQ+kYVjFX0L8SfAz2ynlnE33/j6uo7vUlzqOoS37rTMzmqVZf6uQ BKOZ+lPKf0YdLeaxRzdTEbPpoaAND0h7DpNTNvtm7boCPkwRsnOHrLSw5w6HBLtkwlLIuWwbYvJO IbLmiYwI8t9DDUJbyP0ecED/2EQdN8ypdTHvmxMxAh4itSTvdr+O51eQq5wFF5uc1WLiCFJxiisW EHb+biBILbnR315zpVY/xydzAaXRwdMc2Gj50o+sbcegK3uJj3ECdoaiBew0Kgt2Rd+QYZCEAD4k Dkj5PamxvBsMNjT8cKxCvCUflP7Ea8JENXN7BlKvaflcCWWO/WG5tw15bvyj+gtTyL1MTymqJdgn u1PRS1CkSWuE5uL39mGg2S77Gl4breFv36Is8SKT07M9nMfCsx8Gbsa4BFv3tDpF15cCHhVyviOS 9iz608ZKhyd2LpZ6lhpqv016vbk2Cz+KFgYSAktHomQO4oKCisvLpWhbXBSHwLyhjTAdYNJ9OiNn uzzATRhgi9L/TP8fCeM9Irn2N6ZRKp9hIzI8aWTtV5aRFEZkiSv/uiiWyXnzbuNyxqqQziIyYuUZ 9I87MvJznpoCX0WthHkxlx5DhQA9tEPmBxNkXQVmLony9zcsJDN3xYbpawqWNQEOXpOVpUYW6C0C s80+ib+z824vdVFNYyJg47j46Py4WvpxDknTBbraGzsMDqXbxZ1R6Y6yHiB/g9usQs8sgVlqzGPD 4MwseX3ho5X6oOwx3j9t7qfae7NkacTNfskXYz9Q/VHbNml6SDPcHNy98TkrYJ4mWlM19FRHE5IZ t63Td71FxBqJhL7qktnD9B3gkyfe9Ec+NZ1V8pXuaFyCQMIpYcxQLVOWMCvWeTeUtxcJ4tE1NsVo WCHj3zW7HDEPz/r1SWJtZpWIbvxMvsNI5DOMMP3ehoN3uIFZnKmdeymP4hbLFoADu6dsmAKrwHja rWD21JMNrcWaWx7Lrwej+zTryINoQjdksI540jqvqmgS0743DxR9pb/2eSfDNn2vjggRVkP1NgIU IAs4vq5wvRIyxBq/2u9fRNBUs58pMBs8KiRvM5awYpxAbRhcIsxqq5+rXVHSJ00bYz9xiEwD5+kN +QAb/GFxEPOZNdOtVTms9j2/ZLwvTc3AZnxAMf5m+g217nVlPJJ6MI/oY93vVsba9FpFJNJ4F2hi BmeloKVWIMhEBcoECo5hqiaPLZZlcf5ppu8Lo/YlGk6EAEVf97MnLA1yNujZZGaEfKZ5A8Z1+3ex 2CrPDZTa+7X/Mln2FH7BCsNvXbtRyBPN9P9cGFm/Ju8U0vtC/BQFr1pkplh0uUNXnVCWswZ+avA5 T01H5Exbuq3Qi+5BMmhsv2kH2euCELBZ04qSgfXAdcHEdjzLZVYZm9+KpqLvd6b0ukeprNPquxzy 3hrTPyof9TX52JzB7s5hwrSSDtLR1V082fN7huOiVfW7AtRHtQp1+oPC/S5TMUQLhDt6YFY56cNT 5fDjTgdN5sTUd9d4J9gvdE2nMuT0yICxBZq2r27UQMoY+wlLtAuFMYHBHUnR1a9nDUzWNPWIvT4S cX427ICnPYIzeLHelH4lIRRUVjn0KY9EpLwgsn+9y75ljHH6Z1aZ9tM/SNvyRcCUhFy/7gz4fkqU 1nu7XiLV4EndGx2q/DOp1RzOl/szSwLL4HdKtDFwwtrWZTfk1cFrDKjufZ+D/JeJzhaAtTcjcOT4 taCpWraFqg5uarH2EZooeLhmTNLMgJo4dguvm4PN16aPvilWzjc9s45rMxf+4E4LcHL/sX5FsZGj CrKAcY0nxfHlh7xITi1xNWlQyrwpGYna5B10lesPu+X67RjIRmoYQQynbcDheEnpjitw8PCx97RC BIw4Kpek8FntBvRL7BjVW+3M/WtihTB72DkCWy+iADEUyBk+s1/xqv0s1V+UgsBVPu6LHEcj41JM spH36IIpQtzCdNb8/vL5EWqKL5eVg+KsYRO4olI+fAIisOup/kpw2D21L60zT7saBSRWs2sUvXpt REk4T0oUr9HA/MlUzm35/TTmZZA4PH0Rzcm6frvPFUi20nzd+pUp5Nt/2+i3ZeXHdEgUPzhRy+BT /RbYpGBR1PZZOuuZOWvkZ/fmxkAE0qSIGkmqKZWP8LFQ8auZwfyntaWH2LBLWHX3VmAHttuPjW4P Y4h7Im6gNh9LgtMyDIAmQ8yL+EQb83NNaZAMZGcPSBvZLo3BsLXqAov57DyQdastlJQYEDUdkEF4 Eq/Fd8WgKv8mow7zH4nOSzrYax0u4hBXbjIqoAxVm55EGM2Z+sdA60MkuuiCFNFFExJiUARCOOVG 4SJsTmccPhW/Dsxbb2vE/uUNb3GhAI9jYexIn0ULOya+X/6MXCo49yFjLHCE6WFGC7Ju6FNqrbL0 8xLYlZw3aLjVqnQGW04mke1E4DP//Fyl7azSdmGgG8ptegcDcAzr5t/gZOjqW+Umg/Zcqm3Dz0Iz slK4CcfPMGHy0IG472uCDxfrvyiHvNw3Cp7Ls4GOCUZKUTGYkCH3Xh8Wfex79WSfwCymafaufF28 PxpfMjCE+n+QQAyvM3BFePCpxiqYWy67uRuDBzZawCL1qRBYual4A1AGs6FMptczyZAP9u+8A08g O4cJ0Vku7Ien1Bs80XuN1acilEm7TEBpCFWB1Z49WshPo3OZz+mAw26J9uzwJTYjxvGnJjE//o+O YuayJfv3vYpEgIPUgHsCQbLj0tAE+TNi5xnNtWIl+6//jBYkpVr4eyth+iNjUPmzcmG105ZfiOqK qm/yOiwxeuvrldck+4nlJ6xjbKGRcUL3/bboNobE/CRqt4z9K0b9wVdb/Sa6bJOilFC1UhlEN1KJ qkiSj+YNuzG0SlvrHmVvL2By2LsyHpsAIN+YkdDbILbBjpKlWfBBfViteayRMmOWj0iXezL3OJWa lsKSck8lsSyHp0LxtGqNmBsI+7RqfLW4Ci2GMjKk6l/X1vjl/FgbMXaD9MrK00KGUftBCTtH65hG VC5CATU7LOYnm9lvYLPS/88Wrx/rXF/LDnB0LguoIqxsv/R71vueGxgmB/9l3aULLj6bbvK0GzAg MR9GWKskkSrwor/Im6ILDmNlZ9BDuNEwsUJ/9rOlc+atszr/kFd0AUukoLzNUxTCmSnMHbzEBNyW 1nrdZTWEcGO78dT9vJAhqbVWaNB6vgbtciwHW8vIWBUUarc+OcfRpDQ/Q6z2jfgtd+UD3EchRxE1 VxeZgECSed6zTe7gbpF6lNYqrZoK22D1h21mBAFidPDlfZsj1Fb958nKZzC/EZnmPHwVzNv3WxqP xg9wDjnripv8Bm9DyFU6SJLOx0JUCTUXeLxyAWPQAhYd5kuCZEfPkSa2hD0MuMdeYDnyj2r5d4it cQ9SUV56DkGvA3Z8ZpmHd/X/7dQwe68vqbEhSTrsF7sQGjNvCxOBlThf2z0KzUM7xKKm42zqu5UD jfJhdK2dpQLspLSOvrxYVDEcLoaOPoeWMkNw+COUVD8xVMEo4OuNgxuV58UiN/u/bOf4gvk1R96H 8W8RLpGZNvk2B5AZbCmQOpPJrjBuz0BhXRVjcEvmSovopkZpu3lqttt37t3rsLLg5gLROYyd+Pqm 8wk12sjnM194K/62oeF6jYHTfKVJllCUiYzhanpZ8iMxy6MIErCqzW/mZ/edcM2efx/08sRkqwYx LPmx0VASy44YwmpUUH328/lt2mYfqRO19FIrtCcmfvT62KibssgA37mTfM8EjQOnwQb/GWvZRvIc B23rvrWJDapU+byxq3q9qxoFXr/gYnPifqAGitXmptdpzL5IqRdEsCTQrSXh1Wy53DHZlTU31X1Z Zgnz+fqB4l1oY/GQCqukkNJOt4/cuDCXiXg1XJZnxCoVTWR9p1Eo2ZPmHxZecDe9W53A2yDVRjW5 dIDADXbjTGRTCB9z1dhyEMz1grbsHXEBO5FTAIp1SbXN+XvdQK3Wu5s/iXTctK/MbiVP1Tdg4zJn rJW+PvGOUZ/MVXYkKlJjXm9nxnDVcK4vvq3OYxLPytXy4nDMhW0zcQbnEHphVsPt2zqob6DHhjUk HyHxejCIzpB7/2FsNnmZlu9fHs58lpGDEzGq2zxjnRPbfVxqB+LqPL75cuX6R8rRZ5b7pw16vmjw cd9zm+KAuY0qX7Qsdr9z4ChFPJtskmEc8BuBymJkHM8zVxDX5EVazPwpqoJTxNy+dA+8XqH/a/TV TQG9LX1Ogu07dweAiEZcaZm8Ol/BGan+wHhxL5ehNVBbI76+hZsFFQh11gG4tdu3eC1wS/MgAXn5 IpyOA3ojrjCJQWo8161Zm4UqXCvR3GD9Iuc0zV0wgk9jeZJcufW0nNNKrOMewqjpKkODArbIoinH Ih8WcIC8HJLTJGOw4wSubFLSMGkI7l0fGM2/bAvI8RI1bWFuuiyp8j+0FmYa1FdX7vLi17937wxv R6F6dZ2361MzA5df1pjGW4yaGeVCQRAW1/rD+IxcskDEG4HUZtnaJ8VcFK6zbVfk/ifySSsFZjq2 PZhCDEDSmMIhAznJZEvzod6RJwV9NUcbN/7duK/B8PUX2KPHLJRzYgCp6a8y6zkR5Y3rwp0h6xwi ZvYq8HbaAvThba7dm3h9OmDEf/2HCo3YvjcQvH7wMEphyfWXsLE+1YkjiGT/EuxSDb7tysNYOXqH qFkeehUakhjw88DlnmP3ftd7EKlK30IUJWJFpXgqZm979MDJqqpEbyUsozCbu1GZeubJFx79hxdF oC7fpUDQEraf0qks3eztMXDFKlpcnTfRrd2dvQTqahbGLyLld4VjhTWw8dVN49mCJr4Y86+kcfCS nkGx8sXoWjEpu2HM3uyW5tJ2vHEdKMfMmhRel9LZN1+aPxdr0f7+cIGqI7MLDsp7ZswcW3x1brvH MwunXQuzT3KPQ0y3ISxS43NvAl9MaGMisBXZ31glcFgCDqaHRFgvhkvX2y98yLWsAeU42FefJSoo Ffo2XHqiVS3XAKKtFB3hNvqYABbvTAxc92w8znbbzQ12ICYNcSC9UD65MUT/v50ls4VxN5uX9sAL f/lDkAHAF0yndOZCKd7AKwZNSMyq3fmKoteXyDWPfZjbS9WQizJHh2pOGgvJyraHAvHlB+amuIgP dQLhFdVv49hIulZ7heNjeLOXf4inFT1iH5qSnERslgJUKBPYoCYcVLMGk4qg1OvvIQeYqBSW/Tid cRjxM03hPQt5uF+1M4+qF+ca3Pqiqn6pauxLspz9tWLQQYB8udU/wsQT/HtZyJ5Ue1jASkpB7Q7W SepGaedu008zz0nc4+74AoqWE7TNp+t9JGkPmIBkPUmpn16vbCrLYDTQUWjch8l8MgqAqXtI8Nkg FYVUEMJ5z5iTzt0fE3ZqDvIjdqQBh2XFaFdbSjxQP5v3MGeXgxXHAvZ+gjn/PAaeRGu+vt9v5z2m 9EQLiBfemIaSX2UU0hDpgtvQVLvPAfBDKgK0uf8botddqgzQFyXUDm3Oql+jr0X0kOGwRUaQ3Vct mmGxNSCap15wIc3dusHHIYyKwhKyyrtmyAvCw5B6IxRmPYWq8LAek2O4k6DwShVJqaN3FZVyMUaI P69noIOt/jCHD8mhdgdO5XVMuMv6ymtos714Ij9u2VDw0GBAR3h5zThBVv8t9UlfENtN0lczdAij AzeYqhfmck7z/LZqE3DkB7EI1d2JngtLIrY4HTEng7iInOOQfrxmXe4BxEIsgXBgTID9PewN39tx QGGZkDyStOSjIeN4IpvLhur8vgp9a8COC86RUYTGBWUmUtHFeVtksxtXPlet/qYGi5drxGUyB8vn qfLGjarWpTcGUJwZt10EvhqjW/PKiXlPErkArtRAJQS4zZ+lBJmUeMSpn+0yQVwTb9FNfqz5gQWF dOd9k7wL3ZQxCeZiupHO39E0XGJuSDB8Wo4j/ZnHRgB/ODAoFqJNcyDfe7W7RDyshK4x8NbO6ulE zNXpqCur7hu0OVoXKOnmG4m9oxe0eCgpT3Ds+6yxbssRcnywEyprhHH4LJpg54BN71jGTLVK8Whr 1DgCmhAaxdpze5E3wS3pl7mFGwgpIZSFvH8+M27EuZC1GSRy2hAbPnYzLkKRivNc5/NSichOcugg kYFOVVjZb8GSKh1FCbLMoFkIciPd+X7Sw2u8x8LuZsCtuENgdHfTtI8Q4gSq5KdbVDmcy33EhXm6 HHZUklArf6xoDkQUy9m+cx/W8fI965DiwKrmczYFK4+N3OSIf5bawnwtRHoEgl65XTGoHkf1SN7u 4LEG8cVmFKQ8YTaR8At7McryJKkdMz/8gH4NFBO/InVm3k/ZleZBygsF4pHGNgbFhuWTut8fW0uS aBOI1pgbgbrVb5SL/XgGyIPWL45CAFBQFNNrvip4+yJAX5f20SJZenWLFaifEx5GlgD9/3laQqDP xb/MLbYC5FviYicns8KqEzSEm7cbWj6jTSZ+7qHuaNdK1KgaHZatkdsbjNjQ/+f/QY+IKVTmqVir pr+3ZVLWMTATLtXBCLTpK1+Moo16OzFtCRCjuNcds6UODuicx+ByIbIM8W2pQZSxABs418r4eOOf oPprLyyQ339EsiE8nnleXKG23YjEQvi/eB9DPgwa1TWIw9wioXpVHq6hbaQ9IIxk383iix+wHLFM GMdsbHKcrOdkzcFMmfOsV2PzK/udBsffsjVbueqdleXDERtwO13K+w97dnkvJQ24cojFJxON94j7 ME5XnmEPATv239zxrrFC7N90UK/a7Ba8ElqbsZ+lU8E8Qkii7i5490oOX3Mq+MbJQpDUHgJTDylC fZdhWfIT9yqud2RONf9PPAiaCme70PHz4fLgCXI+mbRVzbX0qKj27/v7mjTDDmwIsgOeTlZJwQ4f qxkVhpDRQ0oALNbAcObyOEx2qm5CNXnQbXOXpv7/+nnZ3Roy1GHGt8vSMQ70kO4jvNiEfMYzAphc NfT8LbFtOBIbnWKsEq65aa8v15e0HH2qKWcKmEurgKXVdu/B6S0lxLXg8Z8Xz8sJsf4T3jANQNP5 eohZq/mqNLukd+H/cfcFHn842dpXsGTbwrgYb8cP1sbyuGPhf4mLBrabgDc57n1iR5T9tOMXFBYK 1AVnOYt4f9j/EvZVwb4vKvDGBQyfjS2VHBsrx5Gv/+BJhzAq2+RkGVz8Qa0Q4OsvWq7OnJPxbNNd DGso0rUFbtJWZS5fJ2+oleRXD9GhMYbfESaeqpvPDIQbYcCVGcc2MRFjNY7K1/E0XaYFyqE+CH9Z UnleVx33Co4emY8YYNc/xcUPfYTu7KKvXLdu2jMaMCjKQq5a+Ktb4WUF0IuOv7p2nbFZmrQdjDJ6 45Mno85j/58T0E61W5uATbNTJkrZyR5oTpHylA9883YQbierKhcIs1jxBtPuWtFB47g2wSoLrJJj /s3aQD7xOSo5sjZhxCIS/vzi9Og2bsdh+Hw7IbIeg0/18Izxuj4rqC+Hbp6ba+gLKuZzGJalmFgN Zoiug6vHhqPcd85roj4KDzxwCrzho8qKCr0bbzS8xpGeTmpylmS4+Ge60ZUQQqx7CNnSBCXJStFO wggrMrM8sQUwlpLJoJI3GrJcpUNd8WzMRRQ88HqOc1Hz8uUDmUbjt8SVzmromSWnNUX6BjyqPn4b VzZ3/dT42/Bf4594KXAO0oGOwEfN2s2w0rRSLoa1doe5XlnyRMjFRRDkTFiDfBxtbyQObuL50aBz HKQzs+SULvFhAukRh4zFMzsufZOQgULA63h2coanMP8A+LbLEPKGrA4o+s5j+pvPZb5hFCZW30ae xpzT7EroYqnuyt1SecxNGWIja3rRQz6VGDVgdnC7Uu+Ixx83ymLQkSlmJNpOSko+2GAl+IyWTaEb YWllp19HtSSQCA8Hqz2pCwD+hQRLqZKRfkVYX700XHnkaiTVTx94POlGof5rC/BVBI67ZCs7oeZ8 fH/7/E6pTi75lvDxmsI0n1T8uGQ2EQBZH0FkmhXKgDWRvBnOPvK1snwHIq0b5zN7nt+XEIlbxvzB DS2FIp/0nDiPCzECwDKTw+3VOJXdTqMcHmHUbk4jKBQG5EG1uyMmvF1uLYQj2WVxqdGA9UaSpW56 36qPeNW/j2w85LuFlo/1Q6/8wafbVhSQS0CvKPF0GdaTLSYm8AWfasbJjRv62ZM/fPluunwG2aIA Km8JyLBDhzzK7/OeWgKYAB00sMFSNKyJoe7IDb7vDxQmcBElOiYbznp76L3a/4oxg5YsBKrgIyM2 ivRHL4HyA2U0xYVU2W+ING5vYwzu8sNbXoAthCZZz9JPibkuOjflrJWxM//52LclGLpHkU97dSNG z1wpFDZL3WVhxY16fsfO84H6ERzkPgSlklo5WnDgqWv87w0yS3DzhBCEAogL7FxQH/JFT89u4DXz 3hcglk7Zc+Pl7PmJtcoYG22YIGqfrDAAKy1X/uvwiutYeyqooPvGMrEzsnleGvUD67s8tvwcOi0d 8J6QSxldjMVMhg/Htq9Xc2uzYZfQqWseihshJY3AkjJOxR9u59CbCV49eqo1dGN3zMdTL/oM3fQX XfS3mr7/A1ntSm29uLdwmXodhk4ye4WMnMfbLUEiHgnwNOJKHCBkh2aGr25P0ZzrqxBL+CmvrjZG Au6p41xBZK5sz8GDvduVBSR4rajyfpVEYpC/dA0nGNZpJdE7YmYZXlqP7Jt4tf+cMOFjWkNGkw11 APVgn1dIWJJ7O2ps5JINbfFARYywoQ2Fk+Pcbz0VED0Ziplp73lbvxfWF3H/++bRHP7sqb04KUI8 YKKtxov3N07gQ1RXPqXVb6tM2nFz2Aymiz1JhjZLyBGjhR9p+fGSxiF8zpMFdjoAPddoB0nxR/DP Rr3TXRVXIkfzMETqTM7+VsSlHwkFEt7cbPBJ2RfkcrK+5XZ7tPmVaFJ5xr8jjz2HhLgBCwzEVPNC CF9TpzG7ap9XcXsxmSNdU6mTULSVJUnd1WjFnGdr4sVXKIsimnjDlaNv3n/0+O0lm3DbRPiNQldG mujUslKgcqOXKVJk2Qy3+fBpJ8aRx0UQVFrpi+X7dyVx9jjqwAizVDxbARSPL6HVW5UcI5hLkN+X 7cLPO5pXkjRa0Mq5Xj2HGl6J64nV6gxmzi+aJZf7kufX/sqE9dGKilJfRKwYsH79te2S3tAIfeA2 7Z2AE6w0nwZBQIQXwtmbXI38OL+b/Lz2DK69Z3rQiIkggG9aD/qfCLtiN489he1MZZl1wKirGaOv srGY3IfBKt6AkxiJzlQshlcFWmzfW8omo0MnAb2fVyY1wBrcxzJUopdgC4rZ0llRE5ypam4GlGTo ZhfeHaIqoSUhVez49k+3kp6nV0Y9qxgmovM+FdM60/mSZcY9A6/YukQRhoKItTHkcMTC27Fr9Mvd gLpsfGEaU4lA/JB/1TeTKtJAmKYxvnq3o0PmF4lUuUl81ZO+uADnmLqw1QFXrkPSSrIGzUh4IV5N 7btM7fubCTFaZHfuDJbQGMnk9pCynOlEs2QnjykJNN4jqNrOINd+wFCSCzXyF3wATkrOJNfLzA+i 6stHuvOuiU0XAFFVQ4bnh0exxtdbYloYs6Maoiww9QJwXzW64v3R0pb6A46XPIl+PjUG3GPDAQId BOKTvyZNzDuMj/RlWn8qPE9HUtPl8x5jr+vXDAjevZFjKOB9yiVVF+SkR195ULUAspvfAUslKGmm HwuYioKbiwN1u++Esq/KqhISqWNPJC//8w+fpvCermduvZgSD0A1IBeGzZ4FMH/yawfhrPhIIVQM nVI3SDI0MBpzvohSDesmOFUOu5rpZ1YXAG6ZLNRW5jaLy9IBQOZ2Fhl5DJJCIRFp+5rbIhJh+cec +nS0YWLop5q2bGgmJy6UGYcWdTTJeBcYbpRa53NzYz5V38+e9ZOKxkc41+KjrCNxRMvfxs4ooYn8 E7T6i3wl09wBmQwo6pgflUqdFY1hjZRQXfB7n8M7TKBpgqT46mrdtgi5QSn+M3v1f5RqgYQyuelK /mWcERKQyCtkAH2FxVMKpB+f8Now88sHin7oovwmrMOztYmYxyJNm3tU/u6etzfNodE9FXjVrteW top5PkYslmdb/BS1ivk+GRVSKqEWv8RUbTQCtMg+40w4zmV3dMK8hmAqgi2E0D2e0ecSximFBSN0 mxEZ8kJt217/obrMy6TGe1qErdhpKgL7XWrs1VrT1e2onPT2VmVEAilBC6RhcBAvU2BPlYgwa2lK FNcL+AcfDXbik/+4xYmqV+T2T/VATq56smypIGfaH1TNI8SN7njHzU2cEBktSN2iNIVe6MJMkdwa oMBQSIAOxuTBxlQP48oqRNj/zdhFxExWTZW1WaGTMV41JZf2EI9QfTHWNvuBZJo+GX7VmH9WaTji dDxd3vH9ImjKKp7gub+Bt2yBGc/FVARPl2JH7weYM1IPWQ+Kq+szEPJvzZxalYprTv8E9FUIuGeQ O2SMZLVNSaa1eHbEciB5Y/4DQZu+pKZYYdRPc4XAMousoyCuh+6GLPOW60ViAg9ug0EqZv+BshCl hIpdraSBLoIazLNoa9iBKSamVk4O+jsxSzRliZbrOlEOTZW5YTYxFrRepNLVF8061UaNwLIj5bEd 0PiPjDVCjkHQ+oiBQNJEFLg+EmCCp8t8XW/1jwE3tJhKNVMBeRIWN2+oqmSPcxtRVsD0qvZKnEmh qSx6k9V4F0QXGMjeYG05ny5RkfVOkBAxBZV6ybPSot5r2nVSBcoU7ymrkUH8vAy+uqEgGP6kTtAM bhvrBhW3FGZEvM+7Qrh2hl4Ey2PfC7LSVkjQvcPfNoDK0uHTniY/wNQaqpzOWBapzQDW/IQL/x3V RaJawzxFd/tZC2xjZ+1g6DSgABqVQU8eFTITkSg6VMx77RT7UoVvLhZs/Dls/KaDn0IdHjap/q8r lmeEvDsZQ8f19Bma2oEEB1IKE0XMPGjrfLBK0fXiuYizlN0pF73gUkzCAiEKLyeculVCxjgwvX6p jj37mT9adRtlk0SW0Dssr1ewYj2d4YkLZa0JQ5okSf/xtli8xOxavhkTW6uSsALc9M/nECaO/HHo CLMedfQe8awCBesOy2BkVze+rSC3gCLkA3eGGgQl4QftPRvRmLAOw0ckWxdCrI3zGA6HuGilmG4D k3BnnIsz0jBnnArszuCAtAC1mieVRAdnjGe6YwCDsaO7RXsOudPerFrhyzcMXDj3YWMbtIC+7gNN oV6gVBVv97A+PWzH4QOyUGgN6aM2lnkqTs9G7zLHNPtF/bFGTQpG50U/i2CdZUCLNC1Z8WHDFxfo gWu1O82G9+uNrVMBFRw3AKJcu4yUVenTO8YDMjiIIyZra04XkPTO3qSLTc0VS/rbNim6uQILgB5P l3C/SMy0AezD/OAtVbXwso8SIQOH59rPGUIAbayTOh7uCtbBfz3IRy2yCPKJ3jeS3Jd9UV/V1l3R CJ51AxlLs2TG/v362MHBy/VoOmTCw8PEDmEV6vIR6zC4NOPIrcfxMXNsppgPQRgTJvK7YFrfv/Uh 3ubQe4kY+LeCDXRh8eGCPuuOBDEwQq3sRxnBrym6tvm9nonyNqiccRlezjAaOZVw3uWFM93N0V/u tLJgUxU8B2BFCXvjHjBeUvd2txfa37RtbwuFpkeI6QDqOgO5mjbq8XwGsYtoC1Sds6EJ0QoEbL5J Q3NFBRfmvLtMRDVknGhB8YhXA5LXTtwlsGi0JH2SqFdbjqCGzcp/6PXhXKxKlgDY7duOlFfXqW5P l7hAvrn4Nv1/TXWBEP7yNzX73mioiBD+l7CTE2zLTqAtQrZlF5VWFKEpfITaSHC+GR+B6TlcvXoo dSB3Igq83e71ZE0Y/CkMASbv1CY5JKnSs++ZRGxF4O+XiOZfjUzx/mqd9v5XFWWb1LE+BfEVYfEA D75yfTpCJVUorreBVAaOp3x5e6PWBxqZxkAsPmwU3B/GLsf7wbW04sM04fR1KYzWxuU5Fg/Bd3Hc gWdvphHmzkyMjAaxhfCeiOCPSA8BXuhUlw5jcJMlG3aY0SD1PhyhCBs86SEKEY1uZag5XWyFnl7A QNqAubNABovaJSbiC870/eC5ErkEbzD2KAYuRdQjxCVelaqJ7UalJwio613zTxiNVRBVQu2ylU1D A7Q2YHAe4nT06yWoDlmP2eWVNX/1/f7lC0W/XolS6Q1u9gttsUVWWoaP9dZMxFnyDvhSF1lx1MsO XOvwHhu6+1n4rB6G2NHlgls68NmBKdUuD1xpfl6QFei0qj8Ns5YJOJven8dhbBGkURddmrBS48Wk 9ReZvH63QbPf81WaclZXQc5n7bsm8SoV/xN9ezJ6eTdeUAoPJ9gggg4XeeYCtY67INUsX6dZFra4 ZaUqkxpuiVPhMJcqp4CLGQYkrletQBqyATBA4p4sE35HR6acruZmu1ZxHLyeExgBZyzsoUSO8um1 o0K0WrXYl1eYyp8zx53BKfZvjSYf4DS9HjJNav8Y74QIGz1RHDu1wkt00BSRn8xDLS/v0G1bRboA TSTb15gabL1jFdMHyr/QtV7bzl9T5HKUPhAznc2EI3Pc1GMXr8xcX/D17eooxWoFg2w4YAU/TisM r2zLgkle7N5p2NXJR/MZvYPEZuI4678Wrv/kIsM2OspjpgE6o5Mfr/D8Ex2GcnDoGPM3/6VLPZBT NYep6gDn8YxQFMG3VGHmE/RG0NSnZmpVdu874gZX6+FCj+poqdUOJs+NyqobdtzUBHxTKE+2ZK4J W7aov26bR8oAfkLQtMY7e05md1pdTjQioiTlKzOQxLQnOWgoAT4ymRtLszqT2ibbNLHIPfDIVKdt Jz3SwyYuC3tUnaK9onEFpg+IMYDjY/MvZc+H+gllGcVf59sfQaQTosn9eVkqzgY/6oLLJ1A7wMLL 9lADzhuN+YyciHmQDiWrOUK5wI9bAGjf0u1euGOilX6i7Db0FBYAcOGeQIjhzoxvX+swlUONZRv9 t6aienhWxJG98FJQYqQkTo0qSCVUQfH0e8wS882/vHnFBolrlM8sae3c+Pnse7PDRJWoOU3syAUo OH6onZrvhhre7VdsqtL+q0OYJyrcJ/mVDYhBzyoBpQnSknKaG9aJC4JCK8jemRFZVkokXiAdQX/Y 6NeSigIG62LlQFUo9o1PWIr7QKQw2+5RSxtLE5RSUfUZzjGrCHudw6bggZWKRPZEe8DzygqKKf8k rcCIRGkyExJuqw/mJ6cRgDC5c6EPy9oOAfz7RXAFUEpVl48tH7rQU+q1Y7mppRD358xKkP6TAnnm n+Q0vbc62NBTmgsAlY38Wm/AtsmZztDbZMEzM3NpAsvUnO9N8PQR2Gas5iShe/6NP2C1P0kd2iu1 n06DJwsDHEi04PBk2nsBFXWVm2TgAanGrG6MQPebV9/dtFSo0+soKrF2/pAVYlWUDAXdmGHl0QCe x73LvOQpHASwNAo9bEYhbq1pGnaU7+J+2uBvGq4WChKtdGYCBVErTB3GdwNpIQ3rsTzMRu7JEfQZ WD/8yLDvmabvy1rgGO6IXFtGoWhn0HIkH9MKvtCAELFRt4dmm7p4O1Rt7EX8KmssaZI4I5u+fLZv huK0g/lvjyOHX29+TfDgf/kozlPQc5Do80lHXRqW7/P3hrb1MbOFVl+0iEvwviEG4pUojqXru1+e ld8FGixjSJNB0efND9yqAU6FykOAKm9XN2flaPIb9wSvVZwK8rTD9wmZpt9E7oqlgKun8LM8W7Dr VAUTFqD6xevhhR+1D+A5rRZxvNRbPY8sA9Cl3GWTKk8RrerWqh7FJyC9Jp3vcOgdi+y5nXcXHt9s z/2NUJadEfbNyLVqLpFacu6Qe8/uZMIPRRNqGpaWkaac3mk1CGlkCahc4P18fDvEPdyWCQ8jKTd7 fN5OwvAmlEUcmMbPF5UXlWGt8oKssDAv5NHA2lc8CDQlWfTNL7ZLI0bRlN3Wk3EXTkWzKiyB65Yy s6h+N342mncDiJSxoaDk52HuYre1VxpYsV2KjtWEO+Sr55sx4OPEdjCFaCxEG6vf3tjGVP8DS4nX nKjxuJEOn7U70Mn8l9/dA7hTDx7f71VcmnQc1tmhmp61ysJTzfh6X1X5czkp4FYlVytOre0Ul45t ZM0NCA/D9vUzK+xHeVtyJ+kt1odi0qa5R0awBu0kbuX6UhTEgCg2o9xYAl05Tx+j/erhi0TtmNQr SZIqy1hcT+/9u19/WgkrWcz+ssV/vCweVBf+U8lLVGZvYD4DVyDw2BQSHgNhFZuOhMVtP7gfRdTP tofjb/82NaIsRaFtjwNGa2KCw7nvxPtuzo1scGWIjKq3OpoVVi6lyyNQQcw/BKDljKsqXDoCy//n BkfEPRkz4Mt9GgeWW0R1OPW303BI/67AUupMEI8c96wlpzhDmfuHjbA7/MlOMHOo2hnlvhvhbBxJ avxdpMcq5fnnc9giGUdhHWBKhd76F9lihVo+OGgjpR5D9uWqbwSMvqeyNT/qhafdyYj2vKTmDnf7 OkpMziFHs4AjLNFiwrOJa0O1Do2FAT4yfc3OyKuvJM4s+fJg9XW//cRHnny0HNFS5+A4VlX/bgiy Mlowi4NbEDHJqa9tVDJRinJz7EtHhbJIBxBRrJxpwwm1grhXIQ73Mw2SXmwpD4AjatxZHfmlXgQb qKSLeXdrX+u9MjGT73/890vip+d8l01JqS+7KhsmRQ/8FN1tFrNbMwHbepw/CbrEYwnEb9VOpYGg 8c5TO/31o+wqx1JeHqWvilGGidXawPc= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cKZEuRPUxEc+5Ym7Rfdo4xybUv49oEv9MXm3hjSgcx58CvERI70nXaqAcWAVXI67oXkIPoPBTWDG ptEAr3BBog== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FhrK+34Yq2lYMCPfYfuH2YqtISRsYLaxmv1/Zwplqim6ngelk9IsL9cvV6fRXkvoVapJq8SIoiKo ejnoevfsVco1jOj4HC3WwqKjUauvIPAshQ+4H6Aaqbu4/O4NwwVrdL4N7DqGHtsNuj+ScdcKAjye Lkm+o3kQgHZ9OUDB0yU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3L6YLtAKuHVJLpjkdzQrKIbQqalcUY81olzzseClC8OhC/W9myN6s3eY/Dt3qpCYp3FKLclC8gn8 Zzt+1XGuA8pWJwUssaRZjV6v59qQloRDWUom7HlP/bIaKeric+bB9ax8RXWIgyIL5DoyBDZDZ6MA ZcB8eCjyLWK6VO/LN1UXvoC+8BXtwOtB0GJxRT0n72psC7J45DavmT68vEJ6EFmNvbM+NbWdqwF4 HJu77HQ4zlZqOerIiN5b5DamCPF3YzF92Mn6MeSL98p9B6bJchSdv7noY7byDeS/LRuQMYNXkS14 mWubK0S9i4Kx78Uluiu+cWTfRaJhplGIFKNRLg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qQScxrgvF93WsBcCTsOJdJPFUc4/O+E0z7pF+nqkKsM6Cnb0VW7PB7E3KUv387ek5GV0pW2rZVDq OEOlWBp8gvkCc5gtq57fP74Db1QOSZZSOAQDuaAGA/3lyzo/FFAFvtMm51dL9Vr012VHnKY5NLvV lBRncXhqDKlhykp7ZiM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WNWHyPyEOHdlU05JuSLYaxyxTwO5PQapFfFDPPiNq7Nmae2uEfIXE9gGAwRtNYZH1sWSIlKSyxXe HxGonGqxbGWveH5b94vabnsMVatFayNpYkHKbASJDh9i+Kc00CBZS8h97J5l1nYcVsyG3+Os0AzO wezlLe8BE9avNm7hVlc9eQz3ubon16H6V5fabJxM2QUeZ5tgBYbuButtUJlU+t8uKgvabrZnQ3qu uaJ84Xk0DLgkJA0lW2MWQycIj/8t6ZF2Xs9yTmyXkH/ug9uG620zaO3VGvsvv5FJdN34GRjQTQHB Faqa3tH5XlXSKpgNZDAmGqPxYECvHg3IYC+/pw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55712) `protect data_block 5Ovi2vZlXPygQa4lZYCuetiyNGrSgttate8zKBnwdx9/ovvln2J2drFNH2uZH3utkSQLRlsQ6WNJ PbUrt3qUaeyizVXArgB1eo3cJOjtOY39L+jEIH7sUDlM+HmaJ+jFz6osq3dvApV4b3oHc2JXbRVP 7DJOLaiBFqLwayX+9xYEUKZXcO6wjBBRD0gkiWCldUc/xf3I583iUOYKA/PMg4FA1am/6CxRNRrQ QR0/PjKqwoydUVuTG6CKgSauYeqIgYNP3ohpmmf1NxLTGpip1NYB/0vamub2BkoL377IWQ0CcF55 w3agtwHHuMsiGDRmmJz7AM9zDB3EiajW6HhUyYAWiNA3Z++ZYLyfxIjnFmGGdxzwvymW913jI2W+ tcN3lIxrzzVHiPBHpRPx9o69YyMhXibtedh9FfC03utrkzYE0/eIkNl+ABe1vGypitJizvXJs5zT gc1L4+Seap+9XfNxY5sjQU0nAw80ZkgVR+6p251ajEtyVa1GqU91sOBOY4s9K8fb4qUqlyscb+sP W24eXo7lo6Kl1UFQVqYhWOUZCwdq1OvzOoBkITt3xZfvrN/5sSD+bsnobLPNCI/KCZErUpLA+LwK Lifx0GlgdEiFePp+097vUKlMUTGBeRQEJezHntfmaL+KSwTyFZpUvPUx6W7/PzdoW+aYSAh31A9W 4F1CaoM6CozZ08J0BGyqS1bSakXpq9hNMXDLqr/cyj4CKKzCVDoZ4LJl+FfEa4LO250A25I9jck0 oSaBPc2O8ttDazC1+91ucpCKMYn5ri0xjqstnQdtuG8GZ0N96+r7kbvvAOFGkaFca99yBB2mgEXm 9NkpReksZxMrhO9V/8YyIJVGNE6yikVOgzYYtNalQ9e9EuYnkHEJYLpVmiqCwSGt3EYaJka2UmTL IIuRNBa6BRMlvyIFNX9ga5hWnW7+bnuHTIvr7MxcyD0GEqY/E/ZqFgbkwewUQlvJbwaeZARekOM8 RF9zrlJ2dm2AzRiwvOWKHx1EBHlgKd6u4aXlu/LO79VTRWCkPuOKVv8/rvLG3yNGmvPxPb0viEfV cwZBnmvPY1jrJ36wpWGP6cZB/vNoobwJfP69Ptu3Yy55hRx7k8prJue8xQnnmQKE5Q+Eyi20TYzq CgQawYshnswOs9UIKpN/2y4jbr3TXIPgsVbG4LbZrH0ha/QWsCtmsUaSo1RbMF0J6OiVSumuor0R FrI/Kpt/v/vPJbW6RPsdrW3FWsBbaiwKpeGdYj9xIwqxRLPC+05/m6WvOIdjFms4sfy/oSRGL1/v ioAsDqNFIgQAykENa/2VLOZ86X5lVUbgH7uA0oc3OjMiC94yyqbS76oR2S0g+9Ib6PLAlNozv5ED nw5dLRxE3n1tT3KYZ4leWKNNJ1p8Dub6B1LvwOWReO98jtR5vVTPvaCY0GRuILh/8XnRMKi2tEkk BSOWToYQDv4JpYgquloGVKjHq4qA4l07RTgXd79vkc+SAgrcM0qW2tQfbICKEDITymRRCOABUwpm dfQ+X6RneRy05abUryzcx3/VxtXa/AF318l13NpWctfOBdlMT7dPpYhe0aLIXtv2Reph93B5stN5 l6JFN/TUkpqFp5JQITt+s2ujJLfXvdh2MosmXqm9KDSicOsscZahVMk6bbYb6EPusEToG7d+Yq5d 2PSi4SGvSQwk12aoyA+oM/TvBrP6curcURbEEHyYSO9X5eZFQWOUYKsNIFKr5DfYIfBcfCze+8hZ X3BTHcpcdQ5qhKodlKOh7NUCPRrp8XCf7kzsN3uyiaoB3u2we/v27sosd4QXU9bmvDpEuhJy4HLk 6rV4962ikVXxP17TbwWyT0DKhf8e/t+yAalicXaGp3IobC+JVJ7YWh5+ZJPXHGFxLOpu921kY9nW xgDLMarBeLUOUbT1Zr0PfmcG7+V3vbicI/wALsXe06JnvWtHiMj1pWxz2TXDu4ymxXMreFDe2NBn QP5GGAKe7fnMSOJzikvOcFu56985So7I/TTDLY/kdVSdOkK7CvagM3vhV07DrhCbNM/ZHAXyjPJq r5Uv33Yvqs0sNahGAAgTVN7azS2bI7++RZz2TFciVz4bsKXHJjCNi20IFnrHtOz54LLX1QAyBM6x KfZtmHwxlMX3VRw2PlyI8Eb4lYWRh38OkX4Qvl7yqVtPEP0rFwgjqZiY7Ei9Y6eEU9+Ry5UW6sy8 N/ongr9Iuj4hWBrcTSlnIrl0gAjugtpUJF3nDjFrz1YS9GgsTjkEQ5LCTOdzfyeEH69wsKlmkHIu syc3JxiBTi5crDXq6tWE85hkaYzNSL3txaMOGob5jLo5dxYPOp7kS2DCm3hsaL3NL28noFCVB+la bLlNmENmJbwV5+DZXt7InOem1sghcM5fFNPX3sZevqHjYGykZizjPdLn9nGkLyTmyKO8e9tqU5/x A+89dVHnrqUFJ6L5t47nm7v24NFORYlIuhBtbWrPI/pJMxGT3cpi3ranuoi6Ll1zCsVGEXaWN7F3 ID8rPERu4PQU5caKynvLAzK37AtCYkgwRaV4a9Jpra6z0SWNkq+82EpGbI9rdHZhFNKXF7sS9Ivu qpTHIxQ0peWwmabkIlpUODUfE6KFmha0ZuKULBM3osM+O4+R/YCA+YEFMpWrPGxI0IJAuo8c9RI/ UEIDXtWkITzsJtmxwmlgT7ZBXutbMgtJ5HlmtLx/wCATQBKW3TN1ufO8z3o1PsbjQybtAQ2+jSiq XAiyvrZLRt94n6kda4p1pIuiGgjFgjIYDzFJ/AXhuoeWGuvFUGcbR015dsxwxQuvYABeJgbyKAuT uozK+JmXXVd4Vei1K0NpPutShb0oyHAFQ4jdqI5HmuCN1QsheaUUbogLDoYUxJ885QZCxo1FPSTv hRJwIF9Jj85K3MVzkp9+AJZ93sh/Zp8mXwRTzjqF99ZB3F7cf6TkMiZjQMcUb6jwKEJ2S8aRYbf0 PDLt33SHjAj94rwZeWRAaZ2WnnP3FZqg36tjzJs7l2W3pIe3ZQ5HYuwmzPwIzUppCnfyPuhFemys ln1j7/TnEXbd8sgNWnbUQrAIoTJn0poXMrABIxFsxqLV16y8xd3YOP9Dhw3PvXJCoXtloTR1K3BQ rLYqrKLAJIiRZ/tRnohwCySZmdREyVSsnCG4YMytybY7ivurGGl+27tFb5tTBKq/ZNfF9UNFCkdQ hyakfgQ7ngYczy/erJSV/A6ghMJpPGoIVJS10Zis6vZ2fW+OIEjvfweNXRfAIgmqy2XYLZVuF6pf 9+ifqXgXMYxfrZwbI9neqeZ6LDNSyHHtHz+tQw9hP7Z1Gz7j3HvqB3RSbaZ1Y5DiskG+8G7BoZTl snahN6JXeaXWT2yQUepfa0F3NHR6236NanVXH5TEocCp2nfjS7HLpTOQmT32+kL1wIv6Z/6tNxLM StKJsZdl4rRI760fkEtD/UryDbSBb8/N/E+H6c2+BGXKsHyWNW6PJIZaf5nfIg0G5bP5lA2Kpyeq GHtpKBGrYuZa33tniUyEXHAV9n/eRcY2j5GzewfhJLaNZsrE5iYGy8AOd4E9TSHYyoJvMFKhdsjt +8bdVYFfspZNoeRurKkXd7gPBWlaEuF6Ss1bGBEJxFvxSE2RExfbDl79BosFpAPTw+ZeWOMWou7x cijEaIgj5rOGaQO8Qyg/lGnli05zcKMfAohbglT1fmcT5gSTG/PvwIQcngK2XfZKbL4TjeJQvJZu C1fP5r965WA15IY3aqWNsV9MQyrxp/mdjOcioUuMAR7jjcg7yTsKhib1N9XSLKfwlx2ZEsqMQYro vF5onss/TbtY2Iq9cdiwvEV6DLwOjTdFue6Fir2s6t323hbxSPeJciMJwML6D2UA6DYMKuGdH0lD w62o6+eekGkYAYX5i5ZjIGaMD40F5dIdaVMgUfzHMn+Kb8Hh8d9BPM8FCGnod9/yrxw1BE5xq6sf ONp1mbIWy/HLMYiyWVWf577gmuzR124e45p+MDG3zlgEDvNRC2mwFVML740lL5k6H2Xmh9lrj7ST DqAWMq2qJneGPjd+n8NJLqOZclv87LXWzoygXUlU4ItI2rqBOGf82aWvTo+D/urO56ADt5AZj7ok ++cX+FUk2980jf843Xv2Jo3G6KkkXfy/3oHXB5LtMjQHsnONuKIFGMOZZDuC8d+eTRy5E+iuXnav HCm/gCPtKscnLOrlqkzDw+Sn3CeD8Ii77dTwzSF50plypy1zzAC6UrpXC1Z6GvzT3zXMsGbZcFJC Bmfk3lpkgD1h8KOPswujedfoIGVVnavzZueVgHNWNYPOiElW/6QnZojPxznjR2j+glWPMZOtDaUB 8rYlKyJRrlW+csd7T3OIwWymwRp8BIpu5uMs9BPpj2C6UI2fYAEow8cZb3g7gDG+072BZo+ea2wI iwqsLnt9Hpk6FySlE8HXrMGZTRdojCnEcTezcq703KlNYw06kJg9yz1eSCMlQkC8sR45ss/BbQl3 Lkvu3fAcHcK4lp3qXgLivuywm8NGXb7JTRF+Lzw6oB0BvNVYOIsrEcnRHjYG5OxPDI8hlp6eTIn8 t7MUPYgTG/oqN05RH3bQMcad2HbKRvaJtWsLJWl+6bsg+Pz3c34iucwkVIAl5p60flRm2C/WOHcO ohyXajlsnyTlLka1/koOjZCTHZ8JqNvudAoNnToKWYM37j0YUplQ1Sb37/n/SnT+ecgZnvPVLoyg Sg9Hsv/OnI4yOSXNL9GmmetaDTdDLtvZdisPjrr5nPiHzdf44hPRs1QwDVehdCcDzCIxsVgGWhbP fJ2lEJcPYGYjhUU90Y1P/cJUnCPA9wJOUlJEqdJqP9q4FK8idPJ9JpDBMlxZQl6hEGJ4OwWRQrCu nad/Od/q1ECp7YtqKSM9qFAeY7eZbio4roCQiWCpMjViW3wtkU3gf7LRakAeaaNwQuPXk5x6sdtI 0p89hSF8rjmk+mznpVggMEnTkgWdsPiYVz8GpdNu4TKXkQ9ZoSfQzwwx/C6QuzRxQAZMFZ4mxBRq aNiGiPQqneGjo++sm0noaOjziqDfjB1eGAOjxR3p/VoDSaRUwsuY3lBOuroWAF+MbwNceI/5oX3y Ah/Ds3D0sdi9Jlb2j0WSpVG90acxMHV/fqgo21APPx9qUcOLrWM6++FrxB9S9KuBICbo/A76l6Yi 8oKVHsHRtQFNcAnRXuIJEUKnT2s+WG5USY4oNA7Zz46iWloVGoS5XZVmvfYFBeyZv19pz6BmUx0Z 7THwuh8at7zeLgHJld7joDKm5SbQLxFpsp7eaK7lnrkTEz6hgHmJWMT3MeEGz9an5m4gvTAj3ltM e7mzFIJQMgfG0Hb1cLAljgdnxHckzxmTt4WVLv8Hilk8zdpyjjFGN7J0qlLi1SKfw8ARPqNi0yhL wHIM/a6RinDKVp5/0RtAMJJn6GqvjU/SJSdEP9AreqCvNB4DoHMtLKIUnoEGgJfvKvKZVQ9rvmkG lT2rvdWaY4bbpZsa4MFYG1nm6tbF27dnq3QImoj5cg3bzEbljZC1vc5wD70hZpa/6hEqE2fdsROV yItNO/smafD/DGGo63b0LHsAj57JCr4BZbERB4+c9CG/PcW+4JyhkDzrk7Vnv8EuhgSLl9qSIbUx T2IVRiU9D1LEZ4ObVRNp5mDzK1QNIncuNETwNVt7jDRiYUTcQdPvGt6lOvX/t/sIBNfQ8ZtSUvHe +Wl+YAdF4YNOtHMXbpJ4mHBeMn6iT06UwM+w1/dmchzWajfI8rsRV/oStUt9GElyZD8YMfWxMfWV FPFviR7DC3tkQurhXRQdnfJk4JmK3PoFD5QSopfRIb4zl9JCE1Zlkk4h5IHrsPgWY5XeI1MJkDN2 wDRk207YuLE38+TkC+3ehJqrGzIBZZaKGP4/XE8Ik0QuR7X7YWjRUQVjYtdTTmUXAyQPtmSsupFe Zpvg/ZpysJBAYMXcACj431dtVj8FmnZhHxt33BMDuEhkiAo26aFVGIU2QZNeXQg+BEs0LiR7SqpY 3ByrU3uq/aCDlXzNPf4wReKLXw3zpLSUMg22z3uEj4xbsq5z8o206DiiLV9KgtEr/JjeG2qOuvl7 fgMd5LVdHnZISDJcUfrkkkMTuvCJ7d8s+27M2nUh1dVagd7lQQl/Y+TVqLX7kOEaTs2Zqrej/2rG UIVQ0hUaPzdnNWogHbbti2qBGX/RSP/3eQoSK+BtO2n3kMc83eQW7xR27xYe/PAXN+FynTxjJm07 MUedqdLe1xEQyD/2hpTXdHYtVDjMx9KC/U9RW+2/j5HihFIAtdT4t7ax7qhtTMoizH+a8ElcyR72 g+5OsCrjCWHdhaPoZ/35dNIUOL6MNLdlcmGckUOVddbErSY7H2xdFQQaCPBIUloKRr5cDPXZ5lAj ofL3E/IeQBYEsks2Q7dQxvZx9Fo35EDbsvBMX+Z5BOYdt84GU55HD88zDpvjQVQUnLMf9K9ByF7k MR/aF1hFMSQdjLLV899Kx+0FmIxc2U80RRatOiQYxLJRfduf1JSIHK1CvaPDUNFMthWr5UliQliK 9KGQNHq/Ab04SIvM1ou4Q4LOoFdXCmMMRpmfUIF8l6KGQeph+wsoMK0M33Z/ChFob3De7GZ3u8jO VeCZPljNDW1X+BEKEE0zS8HRRl215mPopv61+tYKEMKCr5m3aI+3pQJX0A74Smtzd1cnZU003MZV Q/HGgrbYwOJl1umuifk3oewpgq5ed5OgI4kqWPwEsvFaoL88Kcnlj6UcHCJfJX/9k5NaJMdghPIg 1kWQ6M87FCST1CQG9TgCOMvjNPkVwJjs8M10HAAyMyR+bOIIjTvuH7wQptLMDDxAzkV+kUEQ7DJl V1y7CEiFPB/RUbrnlwgzOGZ8wLRgQNq/00eqlXHc//pSkADL2zZQ2rhDT6CLn3i6NnKl/CqaJFtU osb18K+tMEg6Np+0OKrLZR3zQgqPnrR1X4o4xyvuR6ntwpIG6LDd0HhPKqAP/wlforN07FvF4ucp +XLMwfy39CtyUVa9T9u+I1RTAb1VFpFHt6w3ykUwdyCtYTg8qwDfN5LM8+p1jJHD6HdWrVO4D2gp PWEBAtHj0NxSAlSQV3gD9uNCVsuKZnme/uvFWJuhtDssackYVUkMYs8kV/m8+7DIbYWDdkqAWrx/ 5ATK2s9lEDXqeJqgDsQpnyg2qoT7ZnAjUsQ2Kwk/q7PNpjXT50++lZ8DO96p+kiG8EJNqIHeXlFO iqt+ci5rknO3x/j/O81tlkT4vTJpt58adsk7L9/uctv1iAUZExKcoLaksJBADCdfeQWPSQcXbFLy 06kpO07tmme0Pp5LEUniXTwUJjsEOfi8P9kp5Z2hpNoJK5m9fCOy5TD7Rlf8/6lrVZty1yE4VNNJ jj8/AFYXDK5LeAc4nhVhdbWryaqsG6I/iBGmgELymvgIWXnJ5EZuBVv8jrf5eN00QntqKeibv3Is eIEKIhekOf7cUcpwc4VJLy37COTz2bnf00yxHkm1woRmDl+I5H+bipCDiXiI7vIluEY3PrZIiMdd zOQJBTzOD3qOUHIAkEJH4TcKTrOKkWxyYd0nI5r5GF6gLQIcSsADg+rGDXMnMWDVrRs0EBodp42D h73Obazo1WBOQwOzZy/Qp5Ac34Gi2lxjYMyGaajTdwlmvf+CT2MbcAgEWkrghle6mY/hh4xwXrWH zI+PkIxOpbr3zwO/3WqZ1C0o6lHLOoCWYpJMwn23mgpCNomR5Zn1xaqdBKsOldewd4iQ67CkdlCz oK/lcpmdiyMjgDMSDoPpwUZldEUNx1Y5B537nTD7ii1lET7QxCBwqi3BY71S6M5iTx5h6w7YlSzt n0LgU+4/9ykx2XwMwxfK74asTTMMphvnGYLEJPj92DXCXC2ElshMy5LGBPw/MdUuFMZakwCqDBs+ E4hTY6+dv7JYIe9GtTQwJVoHJjy2qd3vycSdxCbKhFBiToHtitOPNyFKEeWv8YOeEfBhsTegPfZ3 AIB/kAAnM/LyXnYVd0rJRlbYNki/gdVcfZAYL8EwHC1x7uaMpvTkJ/wzRJNfDsUqgo5treYKvuZR ElNCGVPEbeUppNomgdkP3Yc4KodZgF3DoV1CAP3a9Fk9oIRfp3Vn/vyuPQaon5qF+kQqYD6+VyXR 1wuNisPPe0SSZ5Emwb72pyxzUTLRgDPxC+eAAWmEUkvwpiOMqnft9jux3fRPwCpsEkZSEsrrMdJd nKDw2Bn3gJOKXzLhqxtowy3hoMouqKqS/jOBGYZFBpLbLy8/I7+XP6BIv7bqk62KoqLBoAsBBMv0 dp/LzrUJaWHyHKdbmP5aDNqs63urLxdvmWi3Myf378MNYt6NBvAj6RPup5SUkVx/lih8SdSJWlFe WP/zGsCMX1zw9lcnvCCjP8L3YslCnd9HT+KsurkHtqw/yf3bcEPqV5xVSUOFiZZTdtA9eVBpiQu0 Uqmu4jEwGcGiAyOyyc3wx6Mh8HYE5k1XwniB/bACHN2PegsHsgd3d9ncrXHl+SLae+Db2ci4uSH/ gFpDG/pAdq1WjVvX86xDnjJutfMCqwkca6wz3x3TmHnA8YItOVi3JSsojWnRI/wIzKLICKPxMsId AA8Bg3/QiU7flVf6lztQh4KKX8Khqccz3OQ+fqBzbdX/cDJOSsE3Ep0a1IkT7yANRVENxRll2oZ6 wBsVoTJHxRoAb1JIjOVgi1cMLj1fNm8X3YJEeHQ7WSAMzrrK88efvAhlribiqdaFp4a4xHnFTpmL rPjtKO3Q0Q5T77Wu11MiLv9Yqr9cG3B8rVuzFm+slDw0FznPcbGga183jKxSFj21MWaUS7tTnDN/ B1Ca+Tt6s3JUn3Yp1NJvc2y6MjkNwO7ko4FgPgZMbVfDHWzIbIdwLsr7Gei8xxrcMFgYyflvRwU6 QxSaYJw/vEZDxWG3euQgMwFapLWkN7uUAHy5MuMy0qRi4u3wck55VXJEddLCHFyZ29LUC09966Sl TT6jLGwPk5ESsOzUuMMS58guTIYeEdlWJUiktNSmL43J37NOWzE1kEib+fTCSwzF098mrJnK6QMD de5Wlq4lA6oquR4j0TMcIAm+ad0TWOw5TfkzcQebrDcfprFCxlDb10GKU0g8pzsjaTq0BAPm1e6G yDwfzubHFVQ9C3u+24SK0xgwO/9w/OZLT0OL/BMlrREQFbilibDUfiGvyDnoDsKTBWOWSyWqsrcO XzjpnEWIkdYrtYV86AyJWR33gFj91is9iIroE17yg/TJvf/Yrxg6o6TygKIPfmrYkgTGs2HZBycd JnEYgF+yg348P2Dxtfk2PSLjpGPcyrz/k1rOMmZVq4I/lLh7GvHTFz1Z7f2P0ckC4AkU3LLveboB TiW6y8gmN4ApxuEf/2hqKd0+Ixd4FSGMuL4XVPgDDcX02GTz9xl7JOpRriWkgyo11BGfODcnHPCi 19JdBv5uri+s2SmpnSpM5y1ryB3lkxZ8Ft4bZRRWPsiyOrds7lOzirZslbIv2OYPJqnaqPK8sRgy A3o4hNzYKY0PXfR57sqtPLPY6dZSFlQEcZoLjwpg79osz1IZGwdO1C9uAEjfJ9Q1DflKCTj2mhBs B70ZmUM1S7a/TafxEk6FnS00JJFTA4HAUhwQae9BJhkcHSBOOm6jMDq67YdsdMIpm951obmSeU3M qf/wbOAqNfaady42F2ZDS1FGeDuUNtJP6ehO7kH9/zyrnHZGsIm6f7xyh9AivAqi0KyAERqQ6Oct gnwZXFc8XEVfubp7VIUS775hucMX3z7mqFXsmub/iG4jyY8pY9Dr1ei/azlfLISjiXyyFPNSqAn/ wth0VFOO6RRavSPKoLSn4dYDsa08M8MZynN8XBjUg2PLE/CJZgG6yIDeOtr+ROPttQgR6oC1lLAo bqcPg7pWywAEfOFj7fFLysiqZArT0pDZkcVh1Zkqd7LSRQPNHBhahE9m6J3WIV3YAugSCkjD/ras f6iY/gR3hDz/lrG6O6VRvOMSnndmymygKu6dCii0jnSQwmP3NYnhNTQtUp6sKMNqf1+2PO1GsZW0 Acfr9YNk+2Pg4yTcfa6OdkAVWNa27D14JNK3FQnQ0/qgZugB19ZJXkZMrtvnAybsekKtKkc3YssG tr2qAMH7v22u8Us45mp9qLp2tzAnZam/v33s2XmvMUF4AKJOrjhNVFa9HiiVB5+/vUMbgx882r8s 51puWRJs0ZU4k1UDLYR6bTTwML5FZKTJuF9E8POeOQCu83OTBQriMAHQfSj/KST5zaYAtIplta0c dp8f2Xr+qq0ZquiJEDc1McAm1OZ4MbvYDnrM0C2HM5Nz8w70RzQajCOB1q4YlOLzw4c3QzanJF56 CfaEtYPBYsBdaL1LmoffBu0PSJZj1XRLG4nTBBXbenD61p3onXBvdvGDBtzdFfB5y+5A6HhCg70r sMwKC2sNUMOHIFN0sKJWQeP8/EAWcBB6FYPDVxEROyfu1+nVGN6RWCESd97B92bEFgtEOWU6w+xm Ik2may3W+/sixO7DVh+ORXubKn+x38r02J+t0BrfawhE4AK1Q8foqVFNcQwXLwODWsTll3+A7JBE mXP00woWNlAqrIIUvfUYTpRN4QHda4s2NUswyHv0zcybALzjh81whi6vLgiSGi606ew3igMnZKXH uZ/yebNyqzjavHnXdwCgEQ5Ct2RDPThEygDIGbsN69G5+w8CcIPXRV9gQGHY0k9BTrwOdtVNffHW o8Hn6yOw4NczvopkmXoja1pvJj3zlw/K4hG3MH0o2r9Pmzt1uQWsK5n+oQ1H8GTVN2DOaz0EaJEd OEbmPw+7vJQqW1r0DgzxajlLc0Xi/WOLYsYQypqsNoeOfgQu5ZIgzVm7GZb+HLY80rG/T4xPPWAe hv9hl7Eipv+UNyGLY0wjoCAwjBeVAzPJ5nQu043zkKcXSoDqw/ahG2mEDPAsfVjoJaQDFcjvhHXp rbMTnBTkzjiBkUa342j2mC+Xvo1aW6J0jhQuJNHvG95BmiGJ4NWyGZU+Uzy7TPR9CZ88P0sYEiXT skEAKiNhgnvS9dqty6UKeJfbt8vXAAtBGQVjCD8fzlXQXXTKjmyt+Dj7tzVY19nknuI8iTtaBQ6Q 7Qgr+IGjghV5caj8a4V5kUVB/GJs3nqFqyr/8aZzalu8QXNzYZoPzTbk1hBJGQN3XDE6lidFLFJS vRvzMenOqpu3qdhPMy/eV2Zxan4oE8cWSB4hInJUfvPoVEs7XNV+laNGwdQZ8iK6LXDmXSGRJ3Fr X8PNzFq+g7KF5EaF2RmAPgepLWi/sSdhP0kFl+IM5UeYliwuW7YCRFB5NTZ1zLZaeSe2DrnJ47Pl AzmMcCRj7oHNacUtNNixQJGpchA3UVOJcllqB6J2DE0HNLe1tfKOzgysnZKE9BK1FUYrMqBnfbe4 wHvLvEQOiMRcrxaiYfka1o5k2L6VJB1Hj2D/jd93HhShELsm0neSSA6uQHnNfBHLVz/QwxAHyN7J GYQDtIoFNnKhvM1oEkRLrs47u2FgxopkNUkualUw5OD9eaZw4wUSda804ViA4GFrvhjTHesWAKb1 7JpcSgfLgujXBEKPxsAtHKK8FV0xPTXZ5HGlY5XGWBERsCdY9Kn2GnVXzq6xfkruZtN/bJIXQioe xSzVeA22QCopqeFYW0oIOkrgghENpfUb4D8SyciT88XYA6Ht5CWl1lOCuWJKb4Ss09mQxcBpGk8k V+AsmqUVZVxfR2JgFO9MtUNrX+6HCbaBOgjehwsF8LLafWLLYrX409QHi1dr9pS87SspWlsNgfn1 QD+6gYS12U4atR5+XI2bClxJCylr7hjQG8zEpDL9j2dteGX0EaBEk8fbMcJppyQNBZ0tj7WKnpgX tJ+u4C9mc6YfAGLHhATX1wVVlLNxafCzW74sKowdpByHB1hczutlB3jz9lg9zZ/ducFCiyiGIzDo ycSYO5Etp7a0Fz3Idk4fvSnSCGCKhptrPOn8h+393nfaAYWK1qDfZN3uKljrWaN6d8hh19zcJqZp MKkqY+pJxhJRjrP7zw+u7PmcsSiBk+U4ClJcwNGT0LH84I66S3j4gX9Y5MemuG1KRnqgRjY5E2cM US+AQnnXZd/o+VmXwfeR5laHRXImBwYeLQ24SaUUFDlUXkcEKaN2sY1ws8Qbavf1U2nBcPI0ARkC +rLLZflpITYSrI0GENBvaTrfOIRJRQiSI1xXTcQqUPC0Ue8jLtgXBtaZ8BSdIqBZzdusdBO8BK+p r0YM9HybH49/i96CWdBy6E5hgrl7jY2UhbIw0eYq/wroNmo4dkkpaoAHcgfSNbTgDRF/XRcfyZRr eyeII/89Qldxzk2tC+C8akUPu/+seq9NqHoHVjopCYayuQKhKy17oz1ttytKWCGc39Jx5PyIrKWE 6Wj/DBNbHlGlvUdYj7P/emQl8edEX+jcdwLRGhzlhL+w9rlIY80Ej9M2SyMkqujtRPYXUGRhGWvk vBo/eqgaWIwjEXuP5zi65KjB+9jq/8PMAc3PgcO6yBBsNAyY3Fe8PwSI35d5gWazcdThzk1bfOE+ yureSDw3/TF0c2UsFZpPo2q1l4t65vtVzMZqf+ciJ+b5FpEXc0b2jZXoKIvhET/i8Iyg8oAplipq 3NEEWkp9S816dNQbw0DQ5XWsYOPH16n1eUd7k1KnvZW3Amj5ElfxX7pWVx72Rv6Ire5IjO0Hx//4 7SAS//iBuKJR9L0H8Xgk2GRbibr5IQXTy0RIKfK99x0HFv4bUi4qCKXawtmCZA9B7NWmSu1sLxph Kx1+CNN1gpCA94HoCCW6CtnuXv0rJ9CcoDhI+oUqCEgYTM6lKOwk+gRyToOmW6/KnMxecEUD/sUL 8Q5XrfhMYQHduBDM7L5bdNaZLPORZfIqTbRBn9IfrppC2pTFrIDuC0DH4g8aCnnRA91S+TUx/2BF kBvwJOUNOdiHdQCkGNoM1WmTufVh9c36gF8O6bSsDdXYW9flR+6GfkautcA8pP9Ti9fwryQviYxx QeuOQciQrO/4TZqzxWZIte7pMQstl4yrIbSvTQuSGS5lf296iU0GgcIoCx7azfmKL64Oqc9speYu BbwMIC3XDpKD2WjTDCxVTwINTAVXnRxHNQxo+Buv5c+xQ9GiQuQvilkxg/EZhRHuesLlpyyqcmKP Wgd6cod8HCXw42p/KqJFfLNFFXeWfp2le6rKQLyRInq4KkxmtW7tjc/vucjXMvJ5Zpq3iYIeqo/0 f+NEu2K1LDOK0ugqE/mGkit/f9EWeu7H0GUSouid5ABednU6+bwMHWgqioMBtbq/4MQk34v2TE02 ErkmNrY+7OSMfwFEk8j1RHRopJG8YcuggO3cLmE2p1EYbb4Dyof3gYNGNGl1A9WO5Y2OKbP7bNJ2 kDCFtmgbpzPwAnJqvub4POuOc86mFbTFQYjtPYF3Wyf4mkAmrYPnDZwbQsELxW8McxarrCZwhA34 bmTw4KxXVLXZfx4wp/fvOGlC9vTI8I25LlqNUrmT451+RYDVL7uMKo1YbyBpNymuuogj2bpRWgMD vOay0tdSA0ZOiH2xv5UMDoj9VYYscIc49QVJeRb4OHCfO1Qt6+5KvMlqP8g/j5+Ha2N5l7+b9UzR p4UcZTCrIl/nR5VYGzsjbxkyXIF516xK5Lp7IumegmePLjBd+ULp2eX20DZHG75lgyR6XZvAEiKk bz3UBTIwKvq2CfYMznGIm8DxbVvmDi+iMyXJzK9VAwBqhk+u1hI35mMz090X3MlJoUEHm4TBPPFI zUOlH+PMM7mCbkGsc9YnXGpVHHRFloV9wWafCXCl6q8tECZy3nFMocLQ6+TQo/gB0JFqdSNf1eMp 4HHRBENzgjft3jal9YVVwRfniBQ+J7gi0/oG6eQ3uW3JVQg5oTZHWiz7m0RoWDQvEEsDa1gfSrLC oEmIjbiTRsfCOI7lpbTc8DYZGiNe6E1cdOoxITQpDOq3P1OL2CXfBTWYvhAfpKhNiAvkPMxAs7vj XEmvrurGWImuOjxZJ+A7u96dKydhD/DjiTNy8nxCP/KeTwfcXet3YCSppFSwzfmpDJjtb2csjJ4J dXf6hBLKa6Y6cOvRWngCfa8D84hZ6UQSB3mJxk9Mpx/kCaU6L6aunl0kalfA0g+QOGYnBLNeoyTM rNhH2l0bNUk2WMY9UGarplzkoh/sew2aUHAVDvqPFqaj6InuP6+1CZUthg/7qh4OdIjTQdTyewwH ZEtbM5eG/Zsa36C91GH4MNYMrTkYbPdhJc0O4X2ex+wt9ZrPMYa3emcNWFAoED7JO5/fOESVed1f 33tETrHyeUVFriyexU5NfM4lEjgcQUEwOitq1nZCL/f1nxdoZuhji15DHMttkm9Xy9ck199w0ZsS v984ME+zEForqhpiMjrmigPhZF3nPs66KcAKHKWS4DTWojmfjvnzxrsXV2vncrEQpeH1V1BW2TXa 3VnfY4v2obpikFdDSbE+/F6oh1yFn6dkF+f/kKXNMV2k0T7df81Nw8v387V6DqWLB+/ZntiBixiy DC7C+iVjqNR7bpJ0SyHi2PpICHeSLg91DNvw9yB9hYyLD3plOxwC+yqPaqgpor/03ibVSml8AppS xFoo5vtjsq9sDZimLkgMpoWQl+8oo/0ddiwIKl8liLPqNyB52j8s3PK7b8du6G8bR/gYs1yJ1cHn Jjh1ydJL/MJ4d+kQ8BnmzZtKT6LcP2hIG2CGEU4X2eCXACNHjsu/qOBvnptsJZBZwDALGq9iEPZ8 3+FoB8QxDrtvXObmlXhikA0l4rA5+oAHLq8xwOFMGsvRfyzr+KSXse44UfpFNm6yKrgiLGk319Yw wD2c7m3XJQ0KhOFE6CZeSpBbt40lFfJFF73Y6QsgupRYBwh8IAojB7E0oBF5TexHqSuMDrI22+3i 1fTTkOt4IPyzyX5XpqDpEoDO7K0hBHH6wYY937OoF1qfT1B5QmmujGAXzcPLMb0DemX6ULl+L0Nz 0FzAKRo8NgjzwJBaoT9aVD3YJS+gQTMZCbl4dI8jLWPTstPLupeHrAF6KITNZiI+JjcyR+GlR/Bq uN0CoYsZE4QdbWR+ZPmn0q+9KI1mzf/mhCvGplh8bn6TM3l1RxDIBZhn5n1vGNZq7nZViHXGSHLa DoxZgtDbD2R8ep0vqAramN56AAhf+ESbGY3b0FUpiZJbm0byZ8LKmuC3qZgm7E+pkVPc1uQQKsIf d2jNDGKOeHOLoGR6FRCR9NeyuCzEQ3niiMdw1Hf8EW9ukHfYTxUTHi4GdxSxgbEMS1IaSajgdRzx W8SjToExErqjdoET0UQfj8vzx25PpmGxpDQVpTpAUGAXLdR6/ldaY5bulpYJC/FZwcpFIioX8P0N L23ZfYSLujULKFNgVIBW2synWt0K4pq2gTdYE8K9OQQWmyM0Sc0axsj4yC04BSETIT3K1PcP3rx2 NKwcXUYtZld73gajfeFY9F8LpoYSdymy9AqkXPrLV+UOHBi+GNK+a+ascj7OvktogULv5fIFzPtx nSChH8+NzntvAaVQo0mGnTQv0vOhxjV8vVQ+90+/JyyMnOmdzjD15pKpBaqD+sOnOXztWjTbsIkm dxaKPnaOuMFdpG6GLw2RbWE3fpYV638Bx9ib7XhfQ+aHK/uBpYu+HtfbdVMfpR+UQ5Bz3+G+ogni aoMqzfrIWqOhPrB1cnfIYB4JtNsSF5TuYlNYsHy+fR/IlgdgIo0EaGgfxPtVgrmOHwOL9Q18EvY0 IDDS9d7KUzOiyKdKjw3ieAlvIp3QV6lcOoNLybf5ZqB5r+FzjNopi1qjvLxZsXQ/pdGP25NddtjE DPzQdOOO0gjC61HHO4/1lTO1wSznwqjODHnZH99XHguVohIpckMgYEN2sDiDGE/+SYP8C6ao7ogS TNmnNTzpFoAaA0YTaOCAHSqT8G+31t5+6yc6mP9qUU6gwxvgusyiUTVwh0JkgUSkWE8bHqE6CI32 07hHbtsEgFQKyOb0N+hDSHD+11nxA9szz7JLQ2ZqCeGCZMbqB1br5DQUvoq3KsGDFn1gSwMhx++Q ehUBHYtnxvAd8eoxEMdgCgzwxjUVwZfUHc8g1iw5vBi9dz9EvaDKFGc6Xy9JAOPTIyCFRC2MKF6O NWne42gY0ZDkfgMAxbUS5BsWR8O5f9ULPlvdWnvNB7OrLtL6VlSgzOlehWzDChIc3etO7VS0X8At 1azf1c0rsdO7NSNAh+fQrjjWm0aRFzEZA+im3S/AtppeRFcnl02yaiGaDQZcsF3UUb60CdjrZS3J 2jXxf3UyeAC2QiWa7mduwEPzSqouUsBToEv2/EzAxH/UpXNezrFLIA4NLdX49bJDgSdSAEqoG50L UR+QuCrX6JNS2KoRCo0h6s1gmAYA23vKPzv7l+JyvpRzN71vvznsFvvEH/WZuTNKpQmm4g/9cfcv 9igRLFqjg0SnKrgD8B9Vi8JdUZtcTHi/OuAo4nCiXtAYZzx7aRWClunFIcmhYTuBJ9BtX9gEUhOT WiZrbTuR57jq9m8dCfxSExFu/abhy/qrmwPRG7QGU8LOnownGdDcSuxwPo89LzpHwXRCpQKp9+eB 1eWhLVIa9OMc1U+FEhPRgcJRvBIEr4Ilz3oMgmeAaXjwXaM5slYjToWVXnC5Vm8/BWgefr90/jAI dhqr0WE/wKR/7PLwD9nq0/TSqZw+kXQ1oqnCwPOle2qAU9IpkvKSr6RVeJI32jtfhvThtErVJMwp skK1HV4uwzFaus4KyU1aJIw9ejacp27t1Z2pNnY03wiwFdq8ffn8v3sA1ibzLJrXsA8bnbQqP78w lRvUEADP8Z0InULQN1mRCw/AmpCeZfmBiIV1ekhxuZWVpe+9/Eh/n3CSirVRmStzOLt/NHIcho/2 uLLJgQC98ijLiw0AqmhC8Yp8cG5fD/B8WZXKSuZDrHed/ojxIHBWkv6JJO4AoZa/FdYnniomHWBT SrDfa5ybtPra3D7vq9i0NXQyVj+4rkre/oacDt/gdvb4P/I+GVcNFG+KZkRRBHdnskfj5IVxUf9l Srq2MHsrWwVplLSrVd8XoGH+VfwNZzIR0WPI0+P+/wwtw6CV9mbFG9Ti9iD0IjjNSCjd5cNBrHhq Ybh9SVSHTJR2t3BGX/UcbC8oU7PtcW1oyDe5HQXZmEBN+CfgoNfyUhOKfU2CoeT4NS/dnuwmEMmn qAP7u+TOLu33M9DrlUIwEqYw1g419oUMSlzs9tPlGn9MKiihrn1RUq03uUb7AwBPIjMGlR/A/CoA WuMr8G4E6rg6sc3ZDihSSMEoUW9oAVrPm0RvL8Co7eKavVImwpQhl2JrLkGzrbkR36u5rBDsXHub yjKnbB3z2QYHZD1UtAujZTLp1o98AqwjwEM/R9bSBoWjTQU1jDrgL3+PPZVJcbdFTm6xj2gShWEh JlYXzDcJgmi0C4pgIzx6TSCmOMGs5QJIcPD7dis2V8xNGrelxr9SNSTJ8fvJSD4MqGJRsaD31qlM DKeWTOxnQsTb8Gu+qeGEDAyBCSJBYctkpRElQzkZx1oxc53UUwBCWCT0ZWSdtbtCX0sp9fA4FYc/ 0a1U4j8cuIK5mqGgZqSD5ZyzWooo0A+juthfk9hWrn93wcPPm/mu8roPggOW/7lyQ8MBZ7WfHOnF RJu9aMXpfEgh1q3xCC9YHj+qlNFV2Au5qr/SXN9LAGyRWjRaGAOcwlSbLvweKkWsOFtjVgVoocyW KD3vgDRTos/VXdbnvWB4de9DGJtD1r42WdwC0X2avsHR50sc1ePi7HtPyghMxYOyMUVucNXVsbgn Ln32bb1uCFCsjo5Wecv/z4or21iJ+ZMnIbIaXASMiji0QnNYVotg7z5hbjLuYZxdnaRbOkljePB4 A7BrWMPcGLrtbmonhgVhb9oJxz1NgeO77PF7CYHCsmgi55DlIFuE3BVJYsCMzov0sP3LEg0Uy1NR gnerHiTk/EqQZhAvAqblsACiHgKCr9x4tQt6qroBkSMEerigxP9WkrPrHMjQJkLPkkkbBjjnZ1z/ CphuViSLKOK4ycVBOwkKiHmJLwikIzc7yOyVY+ET3hdfJOHL7RxbnzcNXxbgDLc4Mis/v/SlE6cM pke6aGLBmA9vHKy0MpNx6B4PYu4NgXDv6lMWBSoL1+K+oTI2rI6THKUMV/xy53F610j9NzcXQOAh u7iq4g/hzm+AxwOvcpw3BKU7N8HFXjz20VGKb8r4Qr458uCMUqITdT9Xc5soHos0sLK4Si3vzvLo WA4GGcAzNN+mc6Xl0MvuxxyxVAcIYXcfk7AGUsifhP7IDHRBJJAyinWxArHzSIy/0xmXFXwSnR7C qNI86UGj9DtndumFjyfafh2hPRkzx3fV7F014n5m8aOCeqv3r1p+z1gSWzTWUweWpp6ie6vXQZ8b w2hdW3PuDN9/i7K49aFsNoQdIt7WdbTlqkcm+4sSntM01SQo0BaiVAvF9Z1H+6gPbNkZrvfd329O N/pcVxfIEdDbIiusJhQQGPhQuKCDx6eyFIiVROWdCQSFUV5K/zNzjrQD6FaF4++DBw8el6KuQSBg 9a5xt9M8429TkimpXbzFexrJK0oRbDwOj6Y/6P+Rso7bQzbOx2AkFepByuP/rmGrNMgxkUX+bIXI +go+AYnkcq/OpoFWiW1WQpoD5+NumwA2tNgU051ySc+6e69eGl2y4MFxah6K12PeWPvHGGuBrL93 AP9N/k+W1+ayWGsAflTMVlHXzYn0pl4w5WQsYUA33RIBp79s+1O7MN6fiJIDfOk383naHPswe6A3 C1AE9vphkR+mbj6t07g/jMja/o8c3G3Bc3e674mqoxue5RC2M1xwTT+QmhRd9X+ULkh7lfZ6Ak2g egAB7iWKlYiTdWRTC03V+p2liSLgB+lKTI5FptxdPbX9wiEybryxssEMXxtBvUULZbczZK/xWobZ tPFvP3b2Aa+VKtxhG6trGEQFQL+0v+LqEwJoYPvGBnWxpwb7Cs3WQdPxLWQeFEjjYP0niybrRkA8 bqdtV6UYSWImDZXPuGxFQj9TMfWTC1DD3pppKe2u+hO4X/cCHqGKxRBwcMlxYLXQP1lV2JTkZZW5 Xb/5djYtiexAsHbCFdu1xJNqFr0DQZYFDH0jKlYH89itcVzn9JyqIqYFOeo5Ky6WBwVigB1m2JeX asYOi1JwS0/8W2hbXAtGke6Z7VzwZdDLvprLU6YewSnOOw3IPBkNt81KErNJVkpAinMl5y0rxPDH K6tQM/tXOVP/E7+lKV2x7X6P56CiBo5UoK+pn0Jt68BDP8+JNkVFCMcXWIDSa/2Kz6iVQRDWP1Zx N0Y0IE+5BbmR2Zr8GJ7wwHet9EKYw//f65dG80HHzey8zppfutPd+4zloCkpXutERU2M/3oTaGL7 2DabMjWxNsRlfQ5RM45bgbbfG8VKcP92ZItWPfkKC+0mTo1xoCzpAZPiL+919QjCy8VtxOkyTvyX aNyF4mwCf/IZRKzAW1r3jMJP1GXeXWvuUJh5yrbd+v2s0juRk2VEAEboBwvK3k0T+VpUTWzNsLZG QkjbBw7WJANtAsPk198o25LgOQJQLXg50G/P+kB1SfMVbC1RmcsZ6UK9MC8B61VTThlZQmHH9ncx Lxrlxm0uthy3ioMFdBfGnKZ29iRdc1btIzt+aE1ftUcmqQJiJx1IuX8ua0c2v56QKqdOmVmu66d+ uOyo3qtp1Z3uDIvoxDlcgNx9zIWH8jiYFDhaH94Tv5LZTt9rMZJJjEG5VrHie2Z11i/cf3fXa5Dl SJft/sJ02NOEGZehpXacOPG0c+WiVYMsswBt/B+c1VN+zbcxPeFzsbifliDWeQQ43EpjCopLzMvX ztQ3Arn+hYb7PJnph/K6fxYDwbg/tfEMsHw9XMW8i2qCIR+nyMoiXVl3QsVwMJ9vECFYN2umTqiJ +jz5iI6U1+qTH6Rt1ys1mH04IzpSVhmFDy37oewuP9+P56Mv8pVb02r3zV+ZEe5PpdWUuRRTb/ID +66HKdBHSBmgqBVWy+I29rCmiiWct9vg9piy8ItWFk2lR7TanMbPBgaETs8l1QEH/xEIYrcNdkQk rzoMgArx0VDkfvxuNIkxzRvRzriIdkCJ7ha53TD66G4UoAu6L/0IB3Kkgm+VC37iqrPgoAz6Pyd7 YkiL1g8Wqsd8dvzDnofg1MU0ifP9r8To7iNelqjg/8cnThqzb3NUA5zoPJQiFqs1hueYIohMv0HW TUvMJlmEGjuLuO7gGDgGxIBVd60dtryKq2xUWNOnvQxHkGS56nWXMzQ5cw/UJ2kozmKm+TS0QGYv RNsTmXnKgU5BqrF0jVdXJ3gGdBTBIpzvlwLz8tks6B/hgw/17pTXgXajkz148aCa4JZnXsHGJZ5H 30EJ3t+6w1KSYJm6VCIzO0QUgqZd+FnZHMzsk5gJjo3mQRvFFoVKj2LEli6TpXCDyo8+r9r7kgNp QDFXo9wZKiH2FXh6EaHsV3MH+dDuMrGlm5yj5VHoni8JX9/Q1LrGT62tD4pdIwIiUu0KRIxKr595 aCekRcF+f8TimjpY2+T4NmhWbGYVo0rM+gpe1QP1T5zZTVam/VeSuYehXlwmKJ4QIOrX6HPJ0EmM dzRN1uottba82QFnPblP6nRM5w4ir3+J6a8yvIqzrRFoglg/CBzzn+RJvLYY5C/Wow87ztb8KpON nRaqEOo9achTEiw+KRGsSMt4c5OIw4uOSuuohPZAvxvaK41ao3kOscTFQLeJIcZM3A3IoyDUHZc3 7hk8evhYN/em5/ckzsM2vUBMoLm9HF2WUNbT7CkPkMsgO9V+BBqfSRyStnCf8gnXHSAa9bBmKPUH FQ9ICDsW+QuEyv/SeuuMr7OPqspopc9aEo3HJpciBCLhx18OV11VgBRemyOhBBBisiTxw9EVzAFR R0Y0Jt2ujFXee0sTDNdJm/LSAMhYZDVUsrTS6S0WZzDnJhftZa2eHjOejvpmOdtvG9yoblXpmAg/ J4itT4WiVugxFXmz1m7sPflH/x6QmELu3bu6cozkB7yIAkCxmjz9HJLqFBjpxAYvLvHMt7TK+ELm 587iQKiZSQxzc4E00xZTKYDb58yrgZqSojEEyWzlsHIEZCNrFPvKHXCfxkVIsg8NM1ghRYUsnA1R cmjwy0qXqhz5kAiV8xHMItwzTPzAt9hofy3jhn6IEy54wtI5vT0aQNl6+H7FxTf7pV3/c8cEWh/D xd8hqRxTHekCf+Mxejm/WFQg9D/nWV18F1GmDYFkUkKpoT6QaDuFZJCp/zFxlx687WvECzKjGGU0 7v5zdqH5Ln4c/dT3eIvn+TWPgqhFAoad9grfxWQrNsK1aWctYpP+BWXjzeXQJyL9QQV1uFdJuBS2 DTI+zNu3vCy1t2KZBPBji7psoEEiou7uVgs2IUHDczwFcCiZA5lVidu2+9tK1H/eGHjwGW8Jn3gn z56jOIZZLVQihium89fBLSkqd6dzvbfeOJ2twkj8WbykzxWzzLN5wmPRmhafOXaIzXWtSQ2+6Id9 xNJbrBP/FlyixaZsxyh3VELs9HwC5CSXS4N8IeIXtiC7QmQl8hO3JqZ849qPGPfHx8QIvjhZ8NWc lu7xOJkBDa6cZUCijvV699qzl1+uOBNVL+N9CFP6qdLQYpE5QWZXydWZW584t++qOH5zf4mz+UME MnCF1Q16V+ZtfhuifJf8Ky2cZKcM9I5V0JQwrc6o7Z4T5EImF30c37VTZbaWdwY21OZYaKKWKrwu 5dL0WTJQRgNz0SbYT2+rbNUZITrVCQIvQSSUDiNmLr61By9vpjgM/y8530O9i9G+V9abkjf6LEnI RhlW37e/ociG9d6vygAYYXGjJ89isePDjcRgEg/+wz3ctxwtDbfx6lCk7+7w4IhWzzxDKkYgSg2p VFmxj01fyR5mSpLDfA5w+pK92L2twubbbLKYQXuZwBaIvC6XKOqt5H8Q1Ty18adLFsIUo7WxdXO4 seHisdIQs3yaOkoq/A2RkyeLpH2bSq6QgClpk+OgHDfnMamONwE7Dc4Wxw5bHep8zrCkXKF5qHL+ LiRuapo/P6LfY3fgAdXo+6z1C1sYVuR9comuXmSkSOAAHNAFbo8AHkhxGdMPGQ8OsW9DXAzBvd8X 2lspbUGo1fyscZiQuyLzFUu5byQiqmrRJl14A7dW+AxxciRfJtb6NfbIsMXdDaxWuGgRIVTOyW47 j8Qj2nI8xhA0qJT0+EPlnGmwWQ3vM1x3pPvd778s65BQNt32Tn0yjIgpZn0xUAQscVkMPAZr6Vk5 1hP5pLduq6vULqA15zS/a2AQbAoqkRo2C6sZ4PRZzx3I3Bo+0gADH9cYAzIZZaQOGIewwGzI0dAs SxuUA5Xxi/NFZWS/NKgnVyBJJ0T1nIRdTqsSJ0wAthKCOAlCFrMs37WAs8j0FYvSBXeAdMnHiQn2 TmGWus06iQyxBEa2NZhQQIdTEHaUmxV+m6UGPtHUuGvS6w4BqV8UV8xJJnMvWzGvg7bnssvF6SRE lFS6vYVhHFL8C5Du1JGqOui9ipnWyjJ8jTSRTRSCd9ZK4y7eF61kzObYtXvybPKCrl5B3ELjIFnL N2izvjFoe+lUl+RPrjXhJtu1ceeMhu1M3xNDFJRnlD9ydqPVLvGZz13tKWXEaKrflJYypr7L52fA PXVhw4SmAaWSTXRmXn4bnYHtQM7PE7ToE2zpf05qRrnfoZ6pNnaoLy3mf00zSXkcHH6DXCbU699V IayaSG3F1HWYj1PVi2rbFA6+4eI8kHuPC4j0hicFWMX0zTqNoAfrVgCZtPpQTSKwbxAWR6JQjOKI RxkwpVld+R8Pd9YGBISvwaShTfYaqOghGDV9Hu9+vqbcYv3J5ZpkZ8ziwbxN8e1LyqmURU2iwA2y EohfjUlpD+SoQScex67+bxh+fFPu9IQLMXFWodY6kevUliVYWO10hzbaLh1/NJ3Jy9WGt2hYAs4Y wXSM5xZeJRrmZZx+gQizAJQ5069SNNPwjATbp1bmDPeR/8+6eBwWkCloVtv8m2/Ik+MUksaQ3VYW bWi5FOa/i9Pp8atb5U20qcYj88cS7Pf+atZfHe4g7AQ+RxpBZcxbog8OHlfqJSMSc1M0y/EApibC GGSh8ayzizig9eG2vqEfCfnbQZODhbzXIhc4p6oTbuvDbo3XGfkQbpZCGD5hT+MmVcjEmeP4d9k6 vazgBm85KWMUSgSNLDGc6WH0UbXKGe1+CbQwX1kDxyLMcg/ayUgJWU5zrX3T6GzqV+N4maEAG0pJ jQAwk4TiEOnYIOrzpzy7uhX05aTbJzYAepVj5Ogi+9PgMW+brsCRdJSC7RYw9tRKMFFcP2ZXGevj xOQGsH/EnRiqH7UZiHQ7nUr4NoxxRvcGKHZBCDT8qnYTiqxh52oAUN5y1+RO2ftNsKBQDVfl7wrQ KLUdOliXpPprkgmZx+n/oiRzWC/aVLZ7glhFlK715oFk2FwvptXYnc66ntePnULbPcMNTEIJfib4 5ZcM+BaeYJq60FaUbnIrSPYLES2gUb1KX1xiFvhVKh8TxAB770YqxREeuE7GZX7A9ogjSNaKkXeL g2pwCZCHECvcPilcabd5NPBK0h3b9qd45fopDr501Tue2u4PXbp+xcZhrUTqt2c2aovuj0j6RBkj v6FGNco6rOGyiMiBn84qkm7CeRfIkxqjaj37xU1O/kPODJ3LjFr+SaoCs2uMY8imdiPU5O8utv/5 rbGjFKnRz1E8aLsHRrsG3X++7hstjlgLRxPERYnu/GQufA9gFPEJiEcW2zz5rI5Tqge8iqK+kvJm Ij+5GV9811BMHLNMXhHzvud6RAZKchF/9M5g2ygYcNxWsWFl0R7GmV4JoqXncW2ukQnwA3duxMqs jZSJkb2al70afL6vQiH+9XdzB7daZ2KnAOhqzKCJCDKuydxfi/fPuYuyQ6NWZTpf/75Et6MFeg4v 1oo/j3fdyp3mTKhxhTP4lk+R5T7sv/5oEQ9tzisLDT5t25QBZRnDkOXAH0iNWUgZ9q+I/6TgPo1P AiceeS/PXLWsedekqc7JM9mXP6TvJFF7H8aOjsaZMQW0p/4p8yhb7Zyo6a18mt+URZ+jjG35BdIh dUlerhgf2H3Oe9dn7f6NQoLDcs/815S+Aa/zy0EkCbKWJvu6mIAWNipiaajedvstJ+AjoVpwsLWL Y/PgVJFJRvFS6At/+VnZKv6M2GSaF3wR6adBC1dEE2JZfmf3GsqDzplXnhhSIGsl+DtieawOT4L0 pbeDfCP85cpyB5PLNVTx9JDrncfo0OGZM2eV0/Qk/5sUi7u01TItepzH6/6lvn+M2Sk93ue2rhQN 91oBsUS+mzMUsYwRUCDmrS7fAsbgO2OW2J0I8rQmnceooK9T5B88qET4kt2MkiE7MwE5h3f57qfo SmgRUVJ3Eoscwn4n4FSGlvHWynHA4UKrgLe36dRf/gtwVap7/if+tudx414xYrBGGb8HK4G6s3cV 4Oc7ewwTpA3kDx7cF7SL79WzRk5v0/W8e3jol1BcsO8Ld08SPxJu00Zo+FOvZJaSE/tkzEGWdO38 MC3sjuqqQ92kZUzP8dxZI2Du1F2702gNqTwSGe/uIs8OXIcPOih8JTi0lrlFpSRXJbWKPLBKuDZS 3K48L8T8MdOeAc5p7MiNSaLAwSb1Wog1lvJ48ddqt8NcDbAXb+mOviqpwJluT3Vnl7GK/86sQY3p 9hv8tjTaPbj/OPl0mDTpPN7qKPuU/8mHzjBzBZM3kJ0kn4qHNKCASCCcLqYdsk+ZcAJ0jRj+NSSm h/sZFMuq2yATYHZzriGPGw6aP8iR0/5kDoFrihkOXbd95clrXrualEjCYGfS17P5iCtrCkSIVpYx IA+1C3jLuGw+GgDewrhEW67ReFIoySck+vfNYj/L3k3BbhuuDD4nxojvg3682jDsbNSbL/nWbITy owtLXdNPoVpojgWe0iHsgqvDBFJCw3ZTNS15etZzBBEQRxDLprPYH2Z81blFyXPjMhhL8ThFVblO ilr0JbjIuEpoSOpvbmkN/NZRfIAZnzfxJzgeG3Q5JoWC2tKPWs207hUuy9DbastADukFkrB5QWaR xDkXCki8cwN+/zev2qghYc7LGq9m0YxwyDUc0tXkm9ya4zwYW0pkt4cUUIBfjG5qmGrYtDSS9P8S 9Ge068t4EUT0HXKV+VJR6lYJ0QnND4pC1awT0WaQNWT+hGIJ90+11a2Bk3Hwnh5Hw9Mb6IaFyce9 2eiJ0pF6xQfrGyDtciO3nB4aQIxYSsylKVINzyrBYdgEpYLjOD/Cy7HJii6VOBnJUC/VP1SKjrIh ZS9FjSqrxwMOlToVwVF9ggt+E4T8IwGIEbS75Kgyh02SCVe6vBmPuSCgzWSIlgTGv2QD6Qfud5Qm AOYXtLq+gLtyTixIhCEChseCrBOiUcpLeNZHCHU9vqEbSAA/Z3yYpM0KZrz3EOn0YwRkqWRlcHah FvzY1ZysKilxEns+bDTfjbn5YInl83jcTvVlfdHkD1HkzqlG6/dRoRl5oW3TyxI7XrSaxjFaiQmR T4UKcy6niZQAd8tsgsD18ZvhfV/T2syUUaZSeHZItWJJfZaBwDUbdYf7wqEQxpPjpe3zVJ3N/Jgx 8036tyxiBOdmBswEklsrZ1mXBw4EIh/W2zODl7z9H/HjfKkDN2S57TopHAoNd2Q5b6DEa8ECrwox 7vSaOjDsMQRy+dQMorM/iP9oIBcQp0OKGKqU2A7GM2Ws6XR+x5IK9pdqC/ClzHzh4uvWOTLN7feS O0zCvKd6MmclLWuefcINmii3uhEoX4JzAIyk0Xt/tp//0kPfb/+J+A+AmNEqZDd5hkOzo0O2sC6/ tw2S/39Cs4kOyKTjY88GWNXq9sOtiwHgSA2+k5aJxsiL+/CKUY5m9mVOPPSjo+m0tmA2jkr+tKLH PU0nyHdnPMeHjTRroEk2HCU2Ycm/wZxNX2yXAk657r2sVuXf++fvsyZR37GVTauvmpFNxnHvzBSE LB3MiR6dPr/Udhh+2ThLOHJbEd1LmUO33EyhG6/pRjN4VCCixxdv7HQGTxkZNc4Il0kQOgadEB6E /W0T6SQrTvLF2219/ONeK2+lKkts+gPt0+hZUTFkm0grwV8NPbEMPBJcoEx8H7tduL7zP48p7z+q dIRcsJIchdUNg+mkL2OWH+QEv+ME7oD4rqPrrsslFcYxodJgu3o8b76r996Mvm3tpbfw2LHZctaJ TDW5GtDPO1zgDgrrPIVjeYxDXcOvFhiRp6eunHAQbHwOKHDvFY7zFL5pdlCI0u5Uk9bnHR/Ukq/w dWOVleYZY+0vj+3DlL5RYTgmg5TRUBKlRdy7Jlyox4qp7lbTXI3xKpZocv/GLNceG2HJaUco8N8Q ZRgr2ZuGpB9sPFUOyvExrk839KkZFG9MW0KQv47wKX1MWzBjxSpMUeFq8FXgqubjgbQrBU2kNPKB rxPDtkd/vhdkzZkpv39ixw8k9ODjptizO9Y8LFbQZidCLipHJXh0MRahwdDqCw76tSgIMhAsPBvF IUcvoxvWlanWl5gqgZpp/TgzKYucaJxlyRiB++2CKRQHtZoC4MiPuZg4UWLBt+HvVNa9/RWN5HKJ uoMbmxbInETJDpwMVHa9/9LDlFfT0rvwdKoW9UJzRL3KW3VLtmI7JtXUAt/V4gN6CzvUR2U4NOov s1Xhu5g2z0PwYKxF1lX+6yxqK9+MTMNN91XM8K9QXekKq1EXgVMFjWp0LPSmFnlP/GTq9sbtoKeA QwkTMM6JgrDhFFMG/4mzowoCTdIR9NtqRADdGDenVKdbq2VLcCKbHACcOBPqlKou42jH2MTCaiof j5EbNBWigZ+K2XiOavhBsiI0c6Gr6RM1i2YJ+6ifgoS5HzNJgGPhYOwEJgYgQSSglOuXGY5iyBnW gux6xW0ayYiM9qBzAjLKlbKZ4Qe7qCwAKot7iupN9pzV9SSfjegO94eWknvoYgYMQSuL0J6Sov8g 3iYXlc/3SGKI0AnRCcA0dDT1/7jUCdcvIF+Y2b/sq77aH6+BRXcnXdz6SaVGzFMdWT+WEXs8flYQ qxobaND/KoQTMycMakKezENcpTKnfLXj9uqsZAOX6e+UV4zShYX+6bckmIzGeZo1xHBTlXXyAuOB tq+KaOdb0UMb5p/dI9HR+ObChkD8r+Yj1I5poBnssoA+CG78Py2MWzPzZJGJOKxIHzYU+mvcDOvb ow+5OOuXjQLhsCq7ap2CBb8WhUBBOU3iGu1ENSMdEy9AUAzPa103Imh42Rg7UVKqFqfS6bTpLUJb AO70RKvXEFi+Q6hmGwSdvgWx6lpm8dK4hDLG56SO2QMxaiZuSv19x+tvScz47fCZLMZGSTmFJ0/K gDEFK1nHVcH6rqY1fLI79fbDqrbFFfRsOTG52rAdbbbpM9aqnGj0IPwcG2nB3M5yac5goTEyGEAc dbo05kD9u/Aooob8rBs1eSkFvwbt3NKWfzvIKL5Rf0XRIbXjbhoKVMg7H9ZGt/rTKqWO4ypFYE55 +0p+J6moXsmUOWYT4tzaJzZB1sXnDXO8mBt/THyTzmdu9bYRYZey3+sz5uiWn3uwJqUqSjKNiMCZ DKKAUdd93ENtRpHEnzRN0Dw7ykc90KOYz/7iwI6VpnPfoFKng2eyhyEZRe7jq02kjTEGWtNbCKxf b9L1Kcl3ojWwjuf41ewSrJ7tXIyBShM104rBqQ5DOsjwgHlfipWPwvncJtdCmhoTavcPnT5kUzsN FK4Uu16wYbIFLfcOVns1F+U8EjjJsT20WCK6Mv0M8oRC2Rgh2Y17zHTaJ+vStbF5yAwLIGsGb5iO 23nRcyrdCgm4OrqOjGmNi9qKqLhKQ1HwOC8fTwUWI4VULSiTCrgidBBlCB50kO0sohYuAD5KaKL1 /sgDGwYDEHxCS2GYtu7lMC2SHOQ2SX73dlrzHDUJFMta/7XCzVUYRQRqAtleJ3QYQKZmuXWmoSzC AQLa/O5MMp+HoO/4CY1JNu/T4ALdnRONYt5I5UaXQlbP/llSKsjHSVtQoBjX/YXs6NEprAKZHNVK FZm+42i09KqSyslAeVn8t56gjZJCkmRXQytqiGCHm7NedWNeEFB9Rf8U262RNH9pO5ZWMhPPNqaI UgwTzohW+d05YEUnnQBs1Oo5txHuKDYmPMWCUT+vIhahpRCFkM0+bpiwcRXcULdhlbt8p3giy6aL LcPQLDSFoHwfWNocEOupiQzAp8WkIGVa9RShHCKgqdhkDI3M1GZ9/Q/sJx6Qu15i2PXwza3jIbQl lasndQ0leAQr/7cFqFqC1KSYWvqMSGdjXTNiJdhkt98fcWGBPcPfPVIjNB1MHam1B3urka1MwOc7 rI7qwcjCD3eDxgkZx1QBOcJjtu6Tf5XLE8xk49/4SKPLtsnPynbf/xVKNNNzxkIClMFR56XuVzlB b0ND4fOwdOibOlupT5MreNXs14twRLc3NQ0oo+FY+pDS/GjLy2K0+Vp04His+43xYts9fDG4sNyF rAgaRcjbjr+UH8bJBYT/GTmp3CKM1zDsQppYC/x8XVeoeZj4ABHCUjbMCDu+qHTEaXwePQ1P1Nij oKve2b7/Fs0k9YBM4Nj0itxxCr6vGFjSlpBQPPX/Ec3D0xGxrsMFY7N3ouql6J/3kJVg/EHqUJKJ SXDXq+P/FVXYYslaH/H5lAhc1tnEgqJtMbD9sbxndx7e9Gx0p1x4Jgr7sWMRHgk7TuPqSzECguW+ SUpzBnwv9AQqPJPrEKvQP1GDWctDr6f5vfuaHrWhmtiyLjq3zl01h8CQYutisWtY6XgWht5IJQ5p ESGyYzDYLlebz0cSaN8n8OzqYoD/zbyKV9jdwj0RtCi7kXnCNM0Y/UUZGByOc8YzcDpK9cleIP0C WT0fUMo5LfcTC2qQgPthuQbFdEbvBRTF5M5K0WIex1eMv47KYhd2NqhPU4cYCvVSa8LPtUHt8sbZ RGtR2EXMeUkFz87rKmk/I2HVKLP38zHGHH3cFwEX3Yt/gJIP0YoHwsYAwgQC/PRF4JVs4umILFnN 7LECPdE9ajj5qwp2KBcC9yEQcbIFwW7cAFIcOkTmAN0S1FOFDbsnfy6usoGoS6Ex6gLIpwAXz2pK YZ558Iraf//UTurUCiJGZ0FoHJ6tPtFO0wvCgCo7IzBgp/7I6JoRA9MIwSy1x03PfcVhAwfydr91 OiFEqdSxywr0v4ZeYmG0f7Wkytip1PAbpZZi5mdAVRrZ+BRVJ2mwB7sO3WYb9GcMJWuSWbCaWIqp FT0dvlmIVNYDAAH4CNk4GRU0f+1rXgfcaptb3DKDhK8zqP2WutuWPmL8EaxWXSLCPzBciNIFsrIk o0I1v5lr0rGd9Mr5za6jTPvG9b/oeKZtyUV+zpaU1BdHwntoy/q/Xy4VfyxQBlpsWx76vLF44LfW u5Xlyr9tuIa4HF7dVotSsNQ2HZ10+jaAyEFzWr2v2ZTzS98OP7KsO+g3bgvyORWhFEe0IxTQ/6NO 7O8eGux8D/rAwGC8H9R/ynpzSJETB51DoeUupyfbOMRyv3iDqbFmC7+gxIETYJzlLtP2LFHi1TSp DJy9Ccwc8F+gyw8Z9xOAarLrvnYKAbBRBCJ+BVgQk9hhBzYTiQu/Y1y1eJS41uQuOrlF4Ra5uIAg ZgoZ+h+uIqYEyvScsLN641EJjs5HUMYMC9cOkZPG2nYScQQjhRy3S0yasVNpN2LhsYv7J0kxmgpr MyXAbm/yUw4c3EsUhCl/KiZ09R9lKdar37O20+INakwfeKhsYZd8bsD8gGQmy6Vt410+gc5lJLNn yKuwhIjkiJWfCXmAJ3lLs5eLqhZT6vYfsEFlpEpYkUVEi7KEtJy6/3/VcU253dn83wBro9aDOXGU exbjAMVBzUcZqh06mRHuGh44PMONGCYyQ3QWRwInq5vFYkm17rOQcXjx33YQlzuZxl5OuYUmlpus Uamh9KvXomLefaGcT2DSIM8LD/5TEzg9hCovtdjv0yj2JHYWQb9w9fUey4Hju1oL2z4qjdnJrhEI iKydfxZiUz2IGiVVsLO1g2A2I11g10RLrscoFWk8VV4yEZvQLohPEwyIRVY6tGHaUWbdI7GwV++N gYhxQZH9n93kTx+i8E/AWNTdXX2MUHExgzytokNct0K75H3iuFd8mfoY/eQxy2/pEAzEc/joV9bK xFJqlhxVPxny7VaIGJva7wJXJioQB4+bQbrTTvtmb5jPDF8fAKjIkdbe7wHkTFCXXMxBCAC1g+hr FKboSdR3n+DCS3pdltxE5fKO8ixv1dfwgXAXvODc1syUrpPhz0HNpFb9Om0t7bFa5yrJzf90Y1rG ufoXM9SJha+AeBuwKLyDhbSZyajTXc6vEJIsI7euP9uTLDCa2ZpU6YhJ/LALFm7oqzwSA1ELK8Hv Hb6iR7aD814fHkhCpoZyu66S856xKbwX3/3+hkXv5lKm0wY77x+wmS6yl72DA+k9CtY3qkQ8IdB+ UxGWQ0j3uQiwYsMI+gGXXO9LCYvIjMkMv3t3kFxgZmxL5IsO51id9r7U/gi4ZONCjgnJXeXh7yDB CcUbeewZJw4Ylt07wEJigDOo6/wDh9zTRB72VSyiYmda7zB2uIjMIbGkWRQskqC4oeB6H/p+Eozw nWXir7yiF44lC11rJ28gcZriPNgSYFm8HoMJ6ZfJXbnJ+nFa+dFOHth7Wi1leOy2q845i5HigW7Q sO4UW/aeW36m2D1041eg+goqVV4ntZ0mdd+CCJfPNfleqVPaZTdHX3DU6gn0wX2fmPArTxnME98H /qC0ACyHymWHl/lPLGx8uUSLDFoZHOUMcw387RX9jdZGLbvgksrcdkgwmri0h1pOmhBUMlYgUupQ dk5axJQPm69yEwO2trJWPBwMHZj50Fov6Obqm3cvnLf6tTVQyA0fZVO2rzfZOn7LzLwHKIxhLDqW dKy7s/URWIwNwH64N29i49WiaInDv7Tt56z2QTdCDGoD9jZn4i8SipzAUQM/b4McjIhL3IHuqzDd t26iHC1DCOWfaw32ZUYBVEDUjq0me6YXTu6+lN/PSvTmTm48nYRMoTrEukGq28B5bs2MBt0uKIrE oMczpW/1JCbGXhVShWo2NaaHxAqImi3xmvQxNcqCu2eu92eLLK4VS4CokGbe1t6F3JvQB2hy9IxL RJ1kj4g/fdV2ZhoN747hXC/cCdh5h4vS8MO1xjc0yLSVAIH28oWItU1blD9bdF7Wx4m2PyenINDg lky7/IH3KGC0nswhQhZ/OkIypnEw+fP1RLR2sPKNwTG8ut5UVoeExs9mYsGKI3yqFkYtVwKMj9f6 CbR/vPKLq5zYJS8qvMfvQzfIp2Ocw9+raJkS7KzylWzvCB1cNuummrDr/zVTojdv5d/w/3pAO83Z YQTpVE6yO15IeTv0kEMtJMwKFxHl+3rxxePSEOMvcW+DetCI+5E14IGGo41hrwRRHycmdLXhgu3b xsZEpzgj9iEtl1i+YUHLHl9O44HLW6UrXmi1pT7grLqOq9eQN+rK9Scgyxji4Y913bo+SPPwWgUa +Ko5BJAC7h9d3NB/XEWMf4DTkalM58vL43IHZf8cW4S9vBC1K2x7HDZ8s8QNt/0gl/kQuvTc4ho0 KP0LZdMB7fhd7TzP2439+tZwrG8pIGeUGMtloEyvtIwheaAzEb3oOLo4UMrNOY9YY5lguPSo2ftK /UApOv+pElqw1fdrsqFfjl7BANBRkTBqvYFB28++kAE4k/G4YIBs84iRZbe4TXSOeU+X9zWjkqAe /d2eTr+SOIOkI68b7DCGiJlShqnh+i0C2gsccrGNIZ2oZlvewGyxT+r4XqM+ECyeA3ULbgIUPpzA zdc9idghp4jUNdeNDblQ4VDkK67DzAvdrJOXgRKn4u2tJ32SeoeDXKBe20y9jIhAOoPj5xOITcrv 6EoHXlQSj8CErRdKEHStCiLt0WVPLVYT0pCJvBcpoMFmzJfZ0oU2xkX48EasCjF4Aqm4uBF1USwL zssf/lNF8uu3vGPwlUhnwHKHHYvIC7MWifTan0G9pjtyRL+8crjGI7V6YFuNQFmkWtRDssafbo4l qoHCfd1pJWKdv3dD+Sudr2BdkzGp51kQI5/i1NXP2Nkk7pfDn3Lyz8Y5H/4fMhIq4c0HExv/5lQH BTxMNO6FelZfdLAiL8R/BIaCJRzySvx4oCItvCgXjoTlNKRdrnls8RLLC2/E0fkNpYH3vZA2FU3a UloVF+I2sXh7nmcHK+Qr2L9jVF9pVD+5YlX13/VgK/OlMVGrqutrww2RCFZIwvBmyu8HD5H0iMow /aFh9dfbLT03q89obIXeuIAPJGiYR9opFNjnI0S7s6ttB3956Y3HsGCYO7Dt/sjSV9TlcovrSMHe EZtLz+TwMufKYbl8nqNYU9117bhLGhG/drZTeQu4zaqg2YxNxnqjV4tYwoHzqSxmPGoKkLeGQpmG HE714P43pDTA0JrZx3GoRYrR6vPk9ylK8JF0S9b7fsmDQK27pSZC8xrdX5Xa6+uCNEg9MBF8GEpI YwCd52/vPMa29ZsOxpNOOflI//if8RNaMyemKScM/pJgexHKSsVoUsLYxSM0JtsF19y4F6rQAUj4 S31L01c2bVVG+ITOsGHLr89cKPSeS+Mb/whg9Hf9UztqE0bYPHeFU7y0/yZBWuM4IjvujM9mgVHd U0JffqpPUEUFEhezHe1xcYAGr3qa7l1B927FbwQXdqEvcg2SlZBLB1ZeudCOyVCPkqG5H6g4jAR+ lCFsItaQvfrvigGBj46SmPFvlfJy8zwuKE8fkeed2r4/LTCRdKEAZFyjxLddJL4XZp8NEyHjv9b5 zzHsbZa0DQBYmL8XniLqP0d44Tu7OohceTw2y9tApqFeu+cwWDmCrmiV3r9Cr/BDMf0TS1/+asam OY6K8biVCrke+0OHJyyLpn/AS0VqHK1dK+wnw0mN52cEFQfM1YCAmAmPDxtRHYJfJeFniuT1JDNZ 6zeiKi2BU5054s2s+6ca2Mtf6DwDtoBD2EyczAUZMTXeWKpNi4g0A2eMrQMWxvMyd8o5wje8icuG +7IWs/wSuuxkWJze0a1ZCKzoy9eIK+Y7asx01ISjeC7RYMy7hc1MUzc5GTnBZxPgCdPETdpq7q1g z1zDXblvcOLhOLYWhSNJXdviEDTAx/KrsnQlpIeK+mHy3xLuWIk6tBAyi4i871C0LMpE/qo6RjjU G44GXDzIysQ57dSCmiPdVri7Th+pO1lYRCPMF69Vg+Q9+pKCA3uefOe/eSKft1sA2FeZkdZh9HRd leaZ791R7TOa4wqTcusJmhrRckp/ZvV0KRL1cSADApC23uTTRZUyKo1tPg8wsQYJ1PsPffn7K+DB eWcOJSSVtLc4ec7JZBtxdw95fLzIJ8DzqDeVdHELQwevoIxjgnIpVAMOKNlP/MAG+X5CrwHJfbSk zIj4PfOTVhbNnAVzTTU9+UUxmBoCFsISLPSOAPoyJQVhopb3LYQkoY2UQj2akF+YqF7c9iLsg+mn kzHWEx0pExIC0gYZpp4JjCD2Go5pKvER72N/u+kmbp0ZSGjjt93ZmISDifNkH8/jHHXvc0Umga6L BHgLOfJ2XFFFqrZToLt35QvybLube4lOGzvN6FMLy9hOxadZodYfUKvVg6EHTlmCqiqheOiQxPze Q0i3u/R98caHLQE/B6yYJvlE0es0MvFMuSUmfFjnI+BuW7SIdwAgHGI6PWHoxJLyg4Q8dv4EOtsL lb5DZK5vtSmw2XEb9RNoIcmCcy2b3YxFhTjr6YRTc0ffWwNMTtGgFplXMV2gD0sDSaFz/0lXNJgw AMyRIryd9eWeeVe5qL0o7JWEj4zzRsEK/zLhn2+cUtmV/jBM/ifg6Yj9KVeWm1ED1iGAvCX7MCzr 1nchc9iAz8Tq4GWc3pWQVG8XbJu0Tmk+uaYkzPIH1gxkw0FMNYh2j+953s7Y8EW2jSb2YzPKrbQ6 j1ZBFsoydJVNitCakULQUiZYYHcmDgXdFxPjVr7QvTAMds2TQd/vTEnqIFwomAZW2mx1gOwqC2fm Lm9BVn3jkSFkpdI6dGAnYXCj/ErgdY61AKBqYRamsXbBD1Q71G7rRs4j9YwicqklFWShwS5klwNg OdTIjHSAd5/ceLejZkyy5ogvbWDTY4WbNaAoaYQysETHlB0HTKB3WSbF2JOxRPE+/dfygmNf919m uJYQi0km6bf92Q9SRPbEa7ynQJxOlzKd8JLpqBhdgWAp2pSLsZyhyng/8+/P1Ub8ylhB12xky0jL qd4GOka5EWFhd8XtYV0TBWVwyQv9IpR1jD1mAWwfg45PNGLiEBIeviiDnhsVKb5XVkyw9fTawR7x wF9RMlXSZx7yjd9wHtdcdrgYT4zOeM6P2znkAMMTcs0dGRosGYf7Hlb5FwrS46DO6t4fxcGNje2a koNXyMmSn0m43ez2Sb67idPAHd05YFnJ8cBLVdYeqmXty46Nh3++sI4clP2G/jB2dOUsG4REuoAZ ytGbgFiLcE3BAPI9zZygx5d7O/z2scnDCkh4TS0hD2S/MVXhTbKDlum0eEUzPGqc2j4mUfwxGEvi EqALavNPw1SjPiSFtYtPB3/p4a+lM5Xg6HFVH3rgGvQU0prubcrnS7b6peMk05fCjY6Af3JOxaYl doRT2GMPKq/0VlYK15jt3BXBxCmjOw7ivYPyle5px2qqivWDLCJuCOi10wmlisP+4lLgIE0RLOWS D6WqDklnrLGk7YjYc8WGI5rGviL16V5+HL50113dzKsGCLStQJUlnmWcw6fwlkuQ71r69SOnQaMV 1KerdjeMxce2dAUyPp03OD303eMYGWdw+swP5Sg5IodiGgfaEpDTJcZfm1s43x5ecagUG196Hy/j 8egy3MlLahYpnYs3Pq3zh8JkKARxFhfeLZ40mpgRuxtodH74GQI5sH666jCEwa4Q3W0Ex+HwmHSI I6dzweKazNToD53byysknp9/K60njPW5E9IzL+Rpe0GR5ecqbeQbDc1Ls8OR/rc6zahAop2bHKTp /BquS1oOrjqNsmQRPUUbxuMbtKzXvQXlfXNZZyWW0kDB9/AsoRZi5ZeBl2K/oNNG5vPR1X5OsqkA lU8u8DqK4EnoRzXp8hGumpAy9yzdIHAdXEl8PrunAPusxu0BghljeXLQnGQDLUftJmFgv9yMoPB1 PKxF1xpaIJ5CLnhvqBE720XNc7RADBPrbWxxL5ocFTc+fNZV+s6N2iUJdzML/PGUwdleaHS2LeuP fZyQZiqsBK8W3I8+V+yrZ0N9e9QTfWMB68JkThLRSSkhpLQECmASvdNffjeXNx+ftcLEQFtt0L+g 1PaUD920iX6Xb3VCVp9Y877f80og7574l9CxwMd/VqXsOooB6PF9tIwe9rOVix5CWinaXVxIA2C6 Gj53kWdPkT1eEx4/gknAqt/EZEnZvKqgffzimaKQJwIHenAV4NlvP7uDmrvUfPkV/mZH737ebhCJ /ML2MrKn6nttqy7g34x25tUWmRttMcpBsf209OrtEHW3q6wWNKFNgM8iM1vydurWwekIqDgW6cuk 0TNw0lZ1DEk4eO7yMyYcQi/miEcXnNPLYk5wRP7vUIo0Kx/MM21DDHZVQRz+3JHvoGkABiNxS3j4 WnzrzElSRM8CmbB/N9SMmNuaUHFLlg485cC1xkYHKfVQSd16LF1bkPNUI/yuGbZQmUBCJW+mlMTS EWrDvvvO1OsV2jfzWyCbotOFHs4iHxU09gqwcpLEMGSa0PxZ0kjJ3KACxu4XdSV2++3MH56lICmw qABYK8wR+YXP7Ik7Bb8wZyUO44tZuCN5hLBtRJH37sdmQVnKl55u500vtmDd9QToAJ7sAbSwrBG3 2AN/kTKzXUk3du/rfiv8q8/oML3KLLbWBtpe7F9LjrvhmUXgNWnEEnlcKW51/PoM9QQIHfpGpI7q CYQMOk3KxKXDzdYzPOL3rVVDDNlG8SSd+NRL1UXusoSL+fZP9XER2cmTdkXE/7zD0eYMzoGZPsP0 5VokTp4kfiVEoEf4AE36s8BH2N1q08GiE1mCq+Qai8cHivsynDLLUPGyyIKOf0MqNL5VBsw+ifff VuFt4T2ICg5bfyX+k2RRuWvp7FQlgnHut2m6SHh5QWdGQx19Dni68hXD07Q76i/jkI6XT5D8UOo8 lmtoXbom0NnKJjaKO1blhbY+HCqHYlKJamg90L/Oawo3k8DOhQNiHg/KRafRAYfstDW7l4iXzaDg EEyBj8hWn+ilFnvUkpDcVDeYqSHDKlv2ntp3z4sD3xuGLkodCAyLcVY5NYCunOzo9XpGgkASLnu/ vIU05M/SP9P1FTGReDjgspjPmiAjP/J7VVlS67xFXK47XGMgF34Jqk1QDnhNog3F5UiB0Nw2m6dA P9mMr7ct2LALR4QJPU4iIh4jyckc5aRhWy2OUm9LC/kQ3bRQtLoy88dUBi9u73ndKAtM56Q3+ImI 743z6AWQJxFy2KZ9XEa5yXDafTXGk4OUwgT++LR8AacZ7noYMJUoCuTY1YgHe8JTbDMUltWRPm5N ZMXqw5mNufqdRf8X673RUrxHtgywYgxYWCYj5qAzvK/n/D/KiwmsT09ikHhnvSai1O08BtGQ3JXc X2F0CuQH7GQn5JJoLKxWwrmKF4K5q8Z8SZVhLxHICIvVeNnUBfc+JSmtG/Cfp2Yvpk+jb4SaJ+i4 bwlp+Be5OVqVFP+m/CbjvRpnG4D5mGp7K9dcq2yZo6daYjVhk88gX9yFzKlzjIYnPgk/+LWDapr6 nT3fZLgjEEQ8DYe1+MBAWI10amSOBltz5+iVE+SWM+yuQU0CSSeDBC3yYEfPNZ1B2TfGxcp6Hkfi 30fVMEO4jGcgiQZ8yZlYbOYMe5ZePoyHzzHkY+fCD3MvHvVtX8o1Ms8Wfm7vyBWPupn/tQ4chcFQ m6u90/wmq0HRsUQEsXmry5aiyyapxatuk8rwtjxVBytpOa4OEwGa/0VZLqyVcYgy2DSXoDr6WiJe uc8ZMb88y+B6K2csjyGrE/bmlJIPlJkwWZHNUTHsbPj36uIvnakfdVpvO4r9pDvyLNoodPQKM+qg CuVVEgt4+7QlkeKvAO8SwHYmA5YGFVPWQea568oN0jtHjI46SoLhUOMS/v0vItN0OOWiSYtxqJXj KaEIOZljbignzqv35mX9EP/+kcjaWa3INcTqc0M8+ztqtlUNUsOs1Udz+pZJ3gSfB0CO3x7FHAa2 jCV5laVvGKLF/5thxAZQzPO1YUKESdEXIUAdVR0jHGPKeFkpSgLAXfBARmawsA2oR3Fdygiwr1am PmyaE5SypC1E5UqGVJ3eBB63ROWLAicx3RCaZplpt8Q0Hf07w8F0R1VG42Gr4HB0R2Csjqr+rP6G lB4iT5D/923cd+bBh12sWPV7PU+mcoIYFVU6fEdXC9OMEKS9CZXBeDNsJkPJ+e2JkGxbj3ahJXD/ c/srksJi/vGvMM91uaGEO0d2uZi6A99XOr0g9gkgoZYbM1RGtgDdcU121H4zl12RxE+c30z1VjcH NYA9xd8CgasT3Ki9XBngFPNIwYhp/T/KxWHGuQK9RbRzWnOnxpXtAbD6XCBa2c/Q5+dLE3PveCLL QaZxlYiQ2UIkxIe7qiGtVMvJfg6NwAzeiWtUjyMPnMkxPY2xdf0NnJdDuQZVo6tmjSJmO9k43alK 1YDNGAGbVzceZ7oMT1pV7peI2VKRn0K5ImSEsZTawuhP/uZ5HxfFP4EhyvHO9I6V5YrRE5ZeJY0q G45903eGbDHRweM4GEBxr3h7d/aPUShGUTV3WOMBE4txyAov4tamgQt2XZeH8fRDb0KyXulJMG8T bNMntbDILZXmB89G1gnX0dNSYyjiTnW5thUjCuVlUOmhteIhwinDxTFVi9l1Qws9dUWBscXRLVhT NSSAIU6YsiJIjVURZ4uixblSJp5qK01omx2unmIAo4nDdKDPLT2YtynT0FqqeQE0IMUDSs2xWPaj TiQfG8wpGwWsKxx2k+3f6E2d4nEV3+13p3wSuu4esXOJMVwl0SO7egqTCbdn3nWpwtdDjLadwF/E w654sZUfOenKo+PXTIXy1qLOuJ3eTdc/CmCAc+GGlZHO/NzaeyVuB8rN/w/j5XpmnsxoCzVNaq7E DDE7y8ifU73Ej6FxICxUnY8W662nlQnmG7Inv6+qn+BeVtzXMhJHnhZO1DrH2gmM6+ayteKKi1nD RNbWfJDrJRUuLVDb4cpgmHQoy/93jvf4CSGaWclfKrAY8beGp3qVnQF8jQsqeAQVfQ/Nc1EIpWBY btfGaWNOalU209tzfbl7SxwCxEOA2rZtxXvPWitIxHOGZ9Hosg+idxj+DQWdziekjFgOdmMp5Owg eLIKTWzQqHIsz/XvvsdjNbcH6rTYpWveyRv/sC6GtcOt2V6QqgH1Crax/Q8E7cFR/pzn1Wew178G +1ODe8Or0nMHjlVUVDWrlg04SlU2BeU/bfrPRbq+b6q/wfrCSIlCY4wt3nmwSeT4ZrERCukeqcNo 9sgCXiQeXWpQOEmW1hBFMYC5lk/Hja7/xLr790ex2EcAgPYr/voUk0/sGvs52jTqWUgTAyDEDtam kboiWXmpQupOYkEvLjcmc0P4/V4BGaI35fwY/HuOpyB1XqFSF9JUoARmKJkodRmSuB+Ri5H0iEgS 0Vq20OisUguoir0EkeksliyPibQKZDw4SrmIPfgUX0/izCeBo6Uuhl7nIAcdQy2XDYh9qrhVD7ln xu5Irjt9/gsHX9DuZSa0wCYSMuoAtQNLVQ7DdkMR36dP+1eLdAqG5j4WwPJFsQsGfqx4ljG5Vgbr VZJ+sSGAziYkW0kvNcXhPj04OvJxBuUvQKdml6vgurcK6vffoHyMjApNtEfgNf3NOr21i3Kajdm/ UAtsIG5w0+C+r8rkA1MJHJO7pqhL2QuaXoTZQgk5lTrAar7It3sIxFPHlOtWps8oo77dGKm/SXnI v0zJnS+w7DZ/v/3/MiIk74YpgRUIgDgVktESiWioLQYS1cp0KkvSoIalCges32F5xqWRHOgOYdZI UBVJfC6ZmeblfhZhMvko6+EsfIfgpJ1eUHFBb4MlW+psQpskj05Dr/KD99D3RwC02MXaPaNwQk2U fUdC38hxtPkWplMGzcJ8bJIyOhZGlJIS+147mzwTTMAs/5hfGah/RcVY2mWnEYwaTvpZtqEeGOwp enIOftZjZQrhJxzVR+GBKkJeKYw0n8qiIIgKHfuvecC+ZiXWXJRN141vXkTlCszaRAzUOi0hp20I PJtCp8+JbMmd5IbjiSuPA/LMeh3tFju+Gf+jK/dN9PPn3BvoZEsxiEvgQqud+dO+vy7GMkaVtG4X THg6/07GTxKlK3918LQTOqsw6V0YJ60ypCxGRv+iGutYyGJTV0GNwxEuFYB0vbDm+tky/WddeHHC 4vct69r+goOC4wmrQ8uBtp4CflUdeRhqtqJjxd5KBY4tmlgnNPAutI7TxxaJZyCsEwQkCZprSxhU f0BYGnSyxfMhpGuhCHFsM1VsbdjWD1k+LC72T0R1Hj0fU/L3pOf3Tyb9/GqXllkF6VOlBLtUOaC3 cfcQavm/vie14MKT898FN71Nz9OesVDjuMDXquyt8V3/vA/IAOe3JAEmwSblwbSC5es9RPx1gPJE YBsJE1v3QtctE+UfGxp3/7Bgs73jjmLqDXEWWcqBZCtnzr3hoOr/a6u6FokBPnu7d1iLiNEHGQnB Ke4fWkrtRgQkWy5kB9Qb6rQ8+GNk/qsP78n7APTy21Y/7datTP3SAcMA1eR6LQKSZIOnNVqBnB4p WjgX5HLLtyB4AvhSg4cedFvPhJHazNzmIgfkbCt2GFtU9E3Hml4i/ujaBxhv38gOxyPPqR1Z7p+i IS3nLFyaCvKkjfyCVYw+gblWg2Uu5zYm3rtQXf+nLidao1FXhgjgNbXW6gukLRCXe0kP2sKJhg13 C5Fr5pgb0DsZnr7dQm5tPCkYRFjlh4mX87bsbTjhil6JPWo/HzeZOE1vCd1Rq6LgIKIZubIVqpBb fygv+QGQ76MaJJP0hrMqIe0qiLin8cNmUaodfrJMHgUNNgD/LSPgb3YHMZT7hOo0Q1oReZC1j1Kk XUrJi3KeRGdLCKf63WWGaKxgHmmKMSSokEYaNMfQYhpDCyNGVQs4mSNTFLfWfJCSPpus9chlwaiJ j/57fc6A8CBKyG7Gh3Pw1Q8v8I8NXD1POguRwVOqONhRXGnHBlQW21QHCjB9Sp1w3REJ32QFJoxA 3T+CADY6HsvrNtS8FjnwD05hChTGkbjCheTUOQ0TEWf4YGh48io3N6DVy5dJdO456weujwjW6vCG pblqKttV0qYGGJAO8sCQow+T0JE4i/sSurusGNZ0r0EW+Pb+F0sgH5HKxHUCf0RXY0ZyFaFQYBHt tSgz+tTaDrdNaA28Pu3NvkNjUZIYA6gVhNxzdaUJHL5yLlQC5WaO3y+91bMSSGxrUtqrLRQvgP0v Uv5FJ+UjtimCjQEc5zi7vTxouL8DjYmuzel5kSj96i6rKwnFcFoO5bae9HYB4ke71FJ0pW73ytFM /dZJZOHkpMb4+Y5B8Zo5PIA4a5YKXYQ3lxOw4q3JQ0I3ZD/mn3dlvvy1Raznh5SY+0P/3B3TacqI fHmAywY3q2jnL972q+bPzHaoGyX5acA/Zxw/JjjUc9z4ZvJ3IrwnYmqF5bO6pPOrUL5gqTVvKsd6 MB+F+97vVaemqB0dw2/qIvBIRiERI/R1N4ZS1m4d485P9v+02sjxeN2XsQnEdHEIvhAW6MYBlf5B 8HJvEDUkj69q29DPbBM/7Y0ZQpUnwHJaAqDPnMq3XHQ1vMP7fk+LcjOQsfd9AEd5GICY1jjTFt8l yaHF+/TD7kzbL5GyV1mf2lSeYGuSQUBHcVw/rs6I64xjSuX/sXHNnDsSnAlF7CFjD96ZllcBD4A1 CrK/CaiatYBr326fY1wYW9jFfyXby6lovuyhtsbMnHPQ4J2QtUYaWm2M85ePAOBWrY2jh25a0EHx 2Is5sezrXcSCd0EaILCjkc5NY985czjCWFTRM1UsZTPmcb0tRGot33V6mhe6LqNnriYhi4AVQQM6 HGvG6rro8iMhYNa9NsQeZcr09ZFgEPu/J8nAgamt0fz7Fse3900Yqz99PUhh8vGNrjFoNPlh2zh/ l1r2s7vhWrht+regnAhHj8dgKcv5VkWia1+aQWY4KpPBYQSUYKGCZgSqAycf1sLhmxgjQh0JaZ3Q wYSlhr6qJb9GUF6pk4OiHdkhCxjFWLNSVhSptIKh1JUzKscIWjnenEGcriTmtzUaRjTDWkCqPOlL 3CmI/sCRlvLrvhjZOetO86T9iFAocLg+L73clBsJjScqk9VjLEiUQX2D6/7FhN3eBhYQ3hi0MiV7 ZQrpVS+4ymUnedb1Jdq4ONYiJca3bzop10QxIPat3IK+YRNxNUF9v8Bhlor9LLs4aUlmER1VtLe5 lQM1u1jq0MJXxkPMtGWL72iO8aHoxBSUydf3rCTXMQvJt0iYefn6dLwvZ0D1cSqTzE9uPpXwB9IY 3q1d5v5PSwjTcKXcpcKC/KiOApR6z5S7bsDaUCYNO631mz1zA9cipDm9j6Sg5NIXYMgviAsENVWm wo81hGrjW7ONN1cy1wgvrbLRus0A0bhGiXjFuGHMjO/YKDc8yc7MhGuQqqh79uSfj+5EjkNJiMHg YCW7IjakbzJIcsrGeS7tdZ/R1HoZqSS0Ffufo1NAIS2TDYPXyhliU0hIQjyF8Rn/gtFe+u7HVlPI ICBNgOy73BOqpZYAaNkrC586yeEPsf8BBMbFmroofFtMpy28XEvePnH9gYSnq628lWz7xGs70Tgk n4JoZQ62jTSy2JSaYLhwCAIJAWaIQd8vfLSque4UFvAJL6pT1Kw/C9gohqhQSNUutLia77f14Yce 87034axvf43RE7uzzDD/kKLpHjdO6+3YAQ+QX/p5krX2XUlpre1kJj/iceqlAGfEK1JqGBsLe32k SR7K37k4UTG3WlztJn3agriU+xmvsoH2F7oIcxUWFS7TrTU/0Qew9IDCOAP0iCRL1cO5jTIHonXf zAzGRN4Ru8w7Cvd81OvAVJvJ6wDdxc3CZja3JWjW84/jf842m8Q6RAjISJqn6ERUO25/m/XOGOUt L62tPd3NK/SYFiG7LC7KQvWima3YHfF5MRxCjD6L83z8wZoVvV6scz3tKuIagKX5h3VBkaG3X+AS 7N6YGu//A1T8kFgpKkTjMKb/8UcEKXWhLkXsVjYppeNDI7eQVFrmoMy85NJ9Elay4uRk4xWFLHJy GHoEMUvZNNlf+0aY+cCTP0vY0zSkZvjQHmDLe8kfU/fR3tzD2Zx2UO4WbS73PdMsl0Yhl7BDMLFK Iks+L3Mk00cu/GRnz+Q2uQA4pY1Ashb8R8WebR8PxWQcv8F8tC+TAk4Byf7qjPp85WvBY8KUp0so 9DQEwf10NJL5kniJmhaJGqY1fK3+5dK8nEfG8RHMZXasRgA0XfVGuNjfWz3iv9Adnyik15jZ38wz XTpeVMk30QDRtuz4SDqOmIQWKn8HEUF+eLsXs07UovRR7M/qag/QzuIeYqsQheMFnC92VkonPLac b990+oclyeYftSxwiI7lwi0QeV0vW8dSKMUtjjNPGeUFXMgxxD9R0MLiUPptsMNHnuHKMODUvfAR uiejnQ3wgS8VibiHMNxZoOY9TEumj+SY8UD9Oekq3c69RR8WWxuJK0rcfO4ztCBaJTvp2Hx/1kz6 yFwHvGvycA7oTDamiuLkznEeX4R6F/Fgi6U82ZNQrAwqUbjLtl6FcyuKF3Ihu9NBduUW1BMxEgjr MUzi7snSai8XT77i/gbwSb4JfrVJjHKalkz22PIjmB/c5Zx6LV/3ufLXf/tmhKP6zb8BvG4w889D hZQYoc+dqazd6oGVYQNSry3y28E9y51kXihmMScEmfPYUEe2yu7jd9bhcfpbCihyRhCDpfqZ/UkN TojLxoeaD17fOBAXVf06cI6Ng3ANyPg4UF/FtPyYg5KkahJqHtgMH0jQBrfPmbPJTzZqBu8SA1l1 kcq8x8VUm9vetQLeOv6pOhm/nv0l9kfgVHqbXV9JZo32MfBem1v+VxQ+E5gfR8KeH8PjPkdKUsuQ lHdbwCRmn71KVMz3A5l94NzvUg/CnDDosgpKIxdBBItN8MD3nCfsIC47WhZ1C6D12wugjEJCPIhX zHhcx09dpdYJ6uoVC/PQ+trjDkUhhofxZR+qRICLlA5p7b87V3+s5Q+jtqRAJdcPH7ow0qf1O35Q 5KCPvSzAsB+4EG+gm2fhb+bXEMDfHDE6NYrVAUWGAQ2Ajln50D3ReqHFMKmRVIpz3TNIyqv7qZCU 8h6v9C8KeoojJP3HMmDo/FGJjXpIvc27TlpsUVe4cqawZL72eZHhXQ8KViM1Xf3LeOIOZEfpRL0F Laj4cWcVZOMK8L6VKs/cZX6r9c5xVHm3HFMtRezksOzrlHn+jGbatoM9gZOLDGsUC7m30lMW4SnE zcpX2ZLWLAQiubf2klI3KaVam6iQddWqUbekjRFQHSDpv+R7PNkCIjuVtFxaahdMV23CARqiNrPp bGi7+YXsGHeBymJPspUJLFLUQaYEdwNrviBQIz83zHwOoiXGGEoCIG2GTdzpWvimB4WNx7shyC/v /TK4xXBBWZGwzXMzsNxJ+IHJcuuq8Q+gZADLAwbF59fhDEnGY+t4x9DiY7NZjYtq2df0ru8eVpnR hl6/1GriVJ+HmDWu0/5LVrXxSZW0uI7E+HkuIYBLFJ8XRE1Nw4NN+zWzsixlAVWbmSJTlRMOCyFJ CiOm2/8OjuI/G4+nlaGbdNo8I/JrF04WBWo9HcuPeYIDycRqYpkPqPqx2FF/56Ww6Ph37grrEQt7 xpbd76asxfHX9ppXvp507mCeVc7BUB6M6YCq1ktzT3mIcWBwFV2lOMffg5Sh2yeNSzvSoB0UjT/N n0Mtlp6k2d9XK16Ln7w+Nb9oMyIHlC76ujytvUa5h91LdZGvJeg5YF1NhkqxzvIKdsIOXnnsqnXX 9XnpxFbNJi6IUNYU+J1o37YoG405tPAuZstmWjy3WccJDC91yNvDnplAB/4YmeZx0dsZ6yTYXYhp 98lcwMUD98JyNOIaZEc+TLyK2XyLEJm94VQX9c5QBXW5XtiJywN+aWSNesRULHiFf3HnHWKCn+3L 8BmNcRLCg3CCy0TADd/fk1UL9jskS1C8eLMXsCgMz6H9uJ6OGNIssGWcvaWUkmyUXNuyXhXCB+p6 sSXmPh6jieLPlcUE6dn5eGFXL8CRQxGzzR6su4EDnrksvqmL9+N5YxSdBgL58Q25GHRJjHADyInM tYmdauMe2fOrVY9YZ5H71yXWLxJgH31SPc/KFwwanuiXF+x2lk2P56RxRaEtxU+dqsVjten8Dfgo h6bldrkgfwfv+UcKALXFlWJnA6atcH4A2LogxIlpDBwjvmnehzwLwAUogFZjtow09r4Qr1tE8xv7 FTbAGhdGGxG0qgfvx9AKtCHeqi9dbbu58kYdS0+/F4UO+sLmkf/f5XNykz55oq9hiBbuL6XdKrPY EvKfW3jx6cxhQ50sD9PZsNaPCk11akWxd7kRc1EwCS8+zK0RiYCUPDs6SbquVC4Drtt98l/DPAIP nHQeZU11G7XgvHu60aaWiPbERcgG3qZVrUKjMDOgJiPSFGVXcA0Gc903602CZGEDKcbEu5z/ADZn 8XKQv086/rXaTXUfLToscd4ySKbio3XZMgn79S3cmZPj7CfJc1xKtxmfRX+cEjkkVScvbjRqYpLS RzmihyntsRmPDS4dCfb1C2iqf5g1+FHqTQcoeNjCUS1AHZIvopiwxAeeQpQ/Sw9nKTOCCMB5CyAp eF8ZdD2P+LQjb+KNrnonTCX2OPEHqbuqb9HNVLjlZRHlJIQsefcJLWbX4bOv+N+ActkSeRB81L86 Ub8yrBHpyUGJoPnMBxMkziE+42OGqJ34qXrRx64y2VvRR3DOm16pzN3k3caJsktTYYPpw0otpy8b 1Bm2XDN1sqgCVrWzxnUvmrgr7WjWbZ+KKFun3rK1F6BuiEXsmDDo0xfu5OgR2n36y5RsQY12QoNn sw+dyNSJt46WX/0zXz2HJ0Q33d7awookJciuQbyzCrWZNZ4MqBOY6ia+n6A1ihtlifW4CQD6x1Dw qnPCqYFn5AXOk+b210eETavNfb1LSNahDXOB4rR/4k4SZ4W14aevxW1HDR4hqiteHvbVtMiHgqy3 XQ7T9vuaqSLMBrfPVewwN+fHbCbMTvrJ7mqWoFSct/yZrOuY0NXr3jz9zh5QQsr+apwwnMqo2nQX NJuQAAuF998TX+PSmH9XmZUo00iTYbuPee5+v/eiEKlFyoWtnZEOyknqtj1RnTHLUPeANHhyJDRu yVuOzq1PlwiLou9vccDCYHD48pxiKN4T3uLJWRsAR8dZQdifLnKl43pCEb6LMUgkvnhB7DGgfQCP XqfWkKaV7aYq5HSu2SPEZ9qxGW4TI9mmBEWR6JeYfNi5O7FQa3oH+hjGsgpQMeN5r8oaqJ/PyJIL lp6X5c2Xv5z/s/LGgjiGPVDR6Kv1R8+nnsjWVjs7lJvwwgY3WzzILMnIzw7mmir+jtTLGlpzuxgs uDAoCIhBjV9p9mgQ+s44wpduEU1NVk1iuRP/LybLYK+n3P7D3qmofS29tVaai7bmC81YABXroc6j StZBe0jt9oOkbkVYo9NmmrlCPJfdWDMDn3t75fgdz9e/4xaTR9eowW8+qv+FxxYwmi0tgY6Oy2cz yN8fXzWOlpDIJFVe/CQmzY6Yk2+7gAgfa9J1hsRLZ490BsT7rlGQg44dkG3Ml1xjBfn7OHcwv0ss D0HmoE0PGnKtJlqNiKcv/ibdlyzYX8LxUzpux7XW0iC2vsD35JkTd2yaeaBOMP2ud8JZUYneDvVV ur4dCizj2Pc+1GnD3aoatO3a9hGRLk6shOKUB3V3+Bbm/aylA3asbRw94Ga9U/vaqIKOhj0XkCxr 3BWjOxw3WxCXINq73f6uZEewfEYitUxdgmKnWFqe45BUwxw7+40HiL6QBTzVvwMg+bfCGl5111rD kUJsso81h/wugDEpTfLPXJkjbTw+SCEuwemg1+7Y+NBn7vzBuSstXYRXsEUQXcU6P5EyVa0DUSIw 8HksXJIM4HO+7GqIGljy4u/BZu2IrtaIh/JqG1Vo7li2Ht+TMJL0j6l0Mka5GyxBYZTqRO/dJjz+ gxxgQQysucLp9FeqSedx9eliCrEodE+0u72OLH9B2Ij1/4khwVXV/Z+kWsH31Za6VEFYVQPYRyzl 68vk09V7cKITK9GyD2iXp3yn7My185OozDvDn4jkJi6ad+XBX/VOGQ3oVt/3wNp//wbLAMhG0tmd IgVOF/0wpPD9tUYj3pNkC+sYfl4PbwZdTK4W2N39UO+/36Iz7PbXsePFgNtKyQqcwMl/0tl97Z29 RJ3Rq3Qz4Pc6hvva3gOi0tSuTr0EkVrmVGduZoeY4kZ4mUFwDTg1QZ0KhKevQ3cr3268l+myebpm j1+Pm7PHjih8QEHdn2sscjWGN1JDkFKJ8SyZ8vX3HHvZCvItJ8UJzrDY2tB6zUJxA7xOcPGUqlOS YTe5qr5f+yo0ShsPOuI7JnabBQ1fMCEXhyFcb48oB+LOh0gh89Cu8p1LVb725boJkcYHRiIH6VUq xlV5+D68dyIaWM80W0NLgnCtji0Dn6w3M5CDeVJnqXE94SDQ7l/YfSEotDAmt5nvDQarWTvaqCcD nsNfZ3l5VgIERfJe3K20oVugv7JO9UFDUNCfhmRN14vzestDbvIm5WoNaESPlQA62VUKpqunTcDk SsE3DkrAyR0pDnF3/QtrMg3R+L1Iqa+8DTBlqjCIo9XAnAxUg6t2of6Nh3idIz3V8r6KEKPLZ1uG xvPvb+RgNZoS2++8cjqPxkzWIFgrvLV4TcCOEZUb9/NujUDmjmTK2AzzDXwCiajCFgvbuPgs2FI9 2wUmZH3w46Ygr1bHSbkqFOJc6chcbcJuXQIX0d7T91eN2CMMPFdBtBAnu3x+1SFUn8LAouZCn6Xz dvfBEpEi+WH2Ucha1IX1j7LNeamdiRpwQgGIB74avaoQglSrVwecWaj204bWaFDBwTbyS7Eg1Jbl hoNdUCKS5bgAK6yizbiA9ZxD6XBBoZG1m91iW/cZMS2izfX6aC0ieHp0W/IyEk3ofAajy9Y6W8FV Edis6w3YM5HTpoefRfX3IyPT843eBhUVKoDy0SjhH4mHbCP2VLXmtZY/9YfAjESvdkWwC7BgS1N1 RiaKspuLY0VxE6gDot5Snx7Jm2dzNtk0TvdwvUft+8CUK9yca6QDDPrG7VRKuDd/o4zbfLPqOgnp h+3qnZ3BRM71g8FxCtEjVKhYkvELfng05pETNX5kAb6B4wMwF+/A1qzGLTufLGzObHZtGKh4y/1y wG0UVkcEJxBdBTs+kU5X20uJKqCWu58vjNKL3PU4OTOKOZ2oohL9f3pb04hmS4t9EmA125xjX+Zy 7Pe9wXaoQeY8lNDfdH3auVkTzTBO8PwV3qwmZhT0O14raKA1/ryyBa9TID14WYUmbmXMEBEKiTbF F8k0GYwtC4F88yji0uzD9Zydr07gzQUfiowLS5icFdJcaxIE0TwpPjgAanAhGJyxbbxXa+Wupr5M ZpqNezMHOfyi0PQmDrijKe5WkglgPHNrENJOo3WOP4LHvMG61AYjUIfF9LOg/+485cL9m9zGfcLB iF3W8SzVk7y7bH8uGJJ8MCJrqOk9EshrB0rrlrUPXxbvAwRvCdwkIXJqGtGG5l5r1rAcldHKpn3v gy/h0HkyQWKZi2uqtDleBU9y6E0j9AErKybVO+zOLApR6TbdJDIOQkxu6EbX/tSYG/kZiIyr2NZB rHJg/rpbNd/eMimA3qBQECCZYHIvsB/H49mgAE2SqJ8MpyisjvWmZ/BCt4pacfSZ8vMlWqz9HVsm ucvXZ/qxdYBuhzgzgSIyEG/7z8EeV4aQdTqGlhGiRx82aPaNW7DkATmCUpSGvgwSO9+0pKFFnoAc sZrRcTSzfToKe4PQ83O59piFkw2ya3useskfZ61lFjBDQrNskZUW9qHdjeyNrQW1s/eWcZQjAoAu 0vtQ9yk5SddXpSWSULXTQazgfMKPvp0+/s8MmWtk8in1Fdv1GT7BIekBR3VXTn8Wc7WjR51N7eiw dOSIKnE0N1s01MM8fmeF6lbhfa4fbkLUXbDbQS91DXp1qc9OGHvYWYDJOdmZoRLm19Mfu/oCPht8 vjQcsLpEoD8SlJe6ZGVyzcBayKgoU+X9QtJz6ufe/6kygpwuC1JIQajMSxnGM9l7aHRvXbKt3uzT 5KL5cTuXeEBaU2PKpQH6mL3SrPxtBw+mKvwCW4+P+nX10IVK6jIH3eCPJxMnPbXbWoigzUdNXM4/ cwar5LUon1qQRzhVx7wnyOtZMpbbAiLsSECVrBqARGbIg7dcww1TfqAHKgO8CmaGvn/LO4++hAyu Vn8yUHngH414fLjdH7onAJ83Jt6kZVOM2DBKxthTmvBdOreUNcPqPEIJeLE6xqeudRMp7UuQd83L S72qJ2ggOeDsOk5BghNYGhZcZm/THysw7D5M6p5GQ1kb+atz5LW2oCz5FQJprFXPz7J3fz2GagZG lfYz3ZSISKZ7R+d7wvekgXBmTy3SKfNlom47UKNFDGgRH1F56UDEECS+ntIZZ6CFVRaxHFIKttue tFNv78DkS4kjbgXgJ2e5TGp+w5nc866gLicBjlZDgOlCKgA0q4PQnGHZevCffxGB7VkHyklDS6h6 hTIMP0pp7fa1uDVgqu1f4dzCoTu41ZUm4C8+7LnWn50/DqiSTJwkQF//wa/0zyR9AsBFLQNsTnYG TiqYff1mmvXL/+Wlkc0BJtfXVkAwbA/OhLdlixBFypoNXNbhrKcP0tkkQXmU1FjXSRlG449eARkN m/LDbstKqwwzyje67GnLJuBGg7v7+LNt4wzYKDdPxtelo1fzIhL+a1DiOAEwmWNRZBORpCv8Hb7v eSnMI8CG1gkfK/P6Kx9AAtriealbde0GgtnJ/Z725bN8M4/K476AZUr34/CkeD+E8uhGk5btmhMm ZgnvUh5A8KUZb+AjddCGNN8f60o0KeqcZJ9LEmkWdzP0dIIK28QMoCRTlnUSpm5+XsxtT0WPJ2VT Q2xkatj4SZ3Bp2a9o2kKUF46X0MeRVSc6PRa4ZUhELLKnERA+sIh74kzyHoKjNGu6Fmu1beA1T/D xDHbJ5p3YulZeRsrtJLjxFTLbdA6kNjLr4Ff9ADvzSJm6TYaoiJKthAoGhQuKkTKAenbOPm9xIzu 1Vu5xisowE8wF/9SzREB2PAogSTredu+n0lFSXCJSOIk3b4e0zXj1U3R0dk0fYFTWEWGoNsOVgik XWg5Qy36x2x1GRQol5SnpDZTy7d0O9ol5P9XH2R73rH9+RY+CFIWPoacK/wBq4LvPsBR5J8xIGv/ qpxI+hHOazN0RSv9WEdFhPMhVEUzm92/6V1rAj2gkYVGPyWowwji/BiacsHRXCaQaQj6p+8TX6Oz pRHTewmJs21AIw4AuCdHfsCK5BW3ZhybeK+HkJQBt1mtrGvrHOlyEbCOcuzkt2W9KEjlJ1hjNIx6 kIDGwY3/nz+MfHg/9LJXLtPdklg9rID3VagaOuDnCB+ZVj5DurBuRWxBDmfdO2LgB92TD4Q+1uVT OFDjo4ShUsgl+laeWXiPw9+tVSm2zxEjdUP3Nx5Mct+BktwQMG6xwVIk0K1tRi5x4UWoOT6B9kWE spF/0/MyTpvAHuEFwq9JshaWOjamY06LeU2w0gaYo4t31vtnPkz94q5Sr0Aiq966KO4vX7+1skTp MuAbLlFr0tloePDVkz2Z2nAGFl6uUBCxnB8RfEr/PLQCrGuqaoGuJsSxsmL+EZH/RYdgEw7kRN6U ER6eawVwEaEpYXtXGc+moL2pEvYzbeJOF1nlDHxmsSQu8q3+SDlujPHxiLOnuumHmNIAXqVCdpV4 X+2n6cXGOXgpd5TuwDRGsn+6G9+dwpj+aRnJ6OfasrIlYHutcaJabA942+8n8SqmfH3I8pzCmpVA CYtzhtJqrQWVA2k/r9MZTy9WOjgQzjN0l7KEgqDwKmyDwMkfnMcD6eC94WZw+tRd1X0MotXFtf6y 1x4m87xyEA/xkeZgzwEJT7gzQXHw79H4c7QgpFQH7C0ApfzJxJZCI+Yo2Km1G9pkXYF2j0qKX82Y jTPmjdFDLJARMYKMio+EUL2deK2FxUUfhS0r/hH87IpGIVw+/UFde1YGvnY3W0vdum0NnwQ5Fvdb HgpAALglP29F9aua0biUx16dZpjCdyDZVFzyxlQyD6Pc+Bcdt3HRCRh/Ap48l9CrrmNNmS1yErvk kek+uhVNs5i6Bxp6v+T6lg8VHlR21O0HxGEYwWts4VzQR3h561N4pz/+BLoGWfxj0v909pe/DoYW 5fFIMRjBFWpqLPZzc1AOIlLMX0TzVYAtrXf8xeKw76IPjaC7wumDWuZRCc8IOP1Y+NBF/OiO4bbh WHNdvugg4pUlcIMqWreVTxpPKoUg0Jo68cbox9hsgIjHUNuio4eAf/Zuf+wBzWx7QB1mmZZ17Qqg ar2dSO2+2Nfg27cExN/gvM0C6IpTdrcqJkSP9C4McCuTPRlzZlVyjUe2IIynXuB6ugE8hTmWeVSl vCeQPzktlO5zdDCa9a1Y9Nh9uvD7pHEVYIQ7XSzdf+75asuP1FC977AqqinTe+4LiUTLNVBLIqP2 QlN6IHFi3Njbmm15z+bqmN6/Ty0r/6q3XTOCAnNDMEnYs60dwuchWLgwxc2EMIj5C2a59Gs6sw0B SgEyzbbVYNll+NyXD1kjlIkTbkcacY/bbhxy8a1yx1N0/sJPiAiQe6DWiGBpviXL+DwpAcovZcYF dx2W+LGgfMXTDMXTs+K94nO2KrESCEUPSQcPMz3tk3Dlf67hTPumOtcIdz9ABlz5OoJLMn6u7K1w 0WKo4ucvaf/4RaPbZpwtcx3OZwsQ2o1rKQtKvWq2ZCQ3mmLfZY0wKdpM/CV+8Pf7yN6N02p/c0P8 AKRrxLYDD3JBQ5ehFaVs291wsa4SESBQzO7KBEdhLTu47lvFKVrrUEATFOKhIvPq2iJbDVgfWw+h UQrYg+MZaQHmoHeQrPg6icsTrr4lGF6/c/52Q2H+HCEk/31ziBTrbTLdJYlLEyEGH+U3vDg9oMaz 6NQ0yZ17pCjPtzpbZG375lyaGKGDRIH1fBOLPjXJ2Rh7tXDPjGUuQlYU5/uYvDNR1UFo/iawJJHO b5QxcGk99hQReyi0FNJy2EGz0P6p0a7on15TcELrAi7dRv7PIDxFTpZVuRWBrWAqyC1tDdcFFu5c j80P36qtWcEzZdi7fssBwu38AbjrbSQGcGK4YCX0yc54DQbrvL9ca5aiSZTbS1/rB5V4hO5VnnWx 452aqB6yhnKWuKGWcwNeWy8zDHZNSxjL3uAhg628BetIlXev2GxlmIzDhx82pcHZhm24+csJA1gV cnSCpG2kLYPWmK/9LmM1SJeACxpWDRRacgVGPVO3i0qZpGpprwuo+3iNcfegbAokmCEHY03GJGHU YQ1usmKUEOnbKbphkpORAK1AX+hb8aTJwAL3jNoVrUbJ7o5mA/4V5jq6E86VWa+FiDt+QLQvuiEm U7M9LWLcGHkAC3zbxLSHe2j80gDbUjbo2NMxD1oMStEA9q6MUtsdgabzgLe/x+gc9+KLhdCleDYm iYapya5GyNErMGrbPtARFDbjZWykS+tH2AwL1ytjZ2Ewqq+Xn2ohVzFK4ADtgygJwTcIxif1xeIm 6Pk69aaIPGJKyDEreHCW1wRwiWlXaAAmu/61S4y1XJZu1NVbg68YKdlofn4VUary2GpZLe9jbNWn NzL9w1ya28pBZ//kS4mEVm/B+XrzbDO53p2kGimPfb3xLrlRGMDfrhCEWjCP1qOkPK+5UoqM174k Yq7i2j6dQEosmmkhP2EC6SlsP4gDPs29ZA2Ob5qnzxKFaDxRikbhVN+qYe8neaka7tkih2suNlGx Uu6q3kgh7o86gdNcFBfaiPPNbGCSkaOdLIchTSTFAdVYiUSniHCKvggQDYcqTZg0HBvQLRG+gB/L YDkfBNC7a65iKF+4ie8QgszHwekz3ruud3aHVMRsFN1IVFS9xZqgKbNOXEQItZ50YhFlrN+MVgi8 UzJucoGLBaOySHqxL7Elng0mjrMaHKo1lwC+4Cjk0iHMcWWtdJg3lCxqRwYLyrSpV9poUijQ2MHT x37OPBpGYaRtqgR1JMTDMLadECPmRf42mhCYUIRzYSZT1JWHFVfMZuE1n1ObFIlrDTJYYxC9eYYW 9bKWEMN8HvmscDra3UFrpS9wVc/fcZCJcKt1M2lwq8eK/BvtS+fv6zOnY8uF+N8tpxUVuHYjgUA6 pzcXyiXjOARfZG3G0hzLh4kTyxFZ70q83r8SRS7K+3oruCC9F2CvOAFW3yFOtfVP9PE56VGUr+Cz U5DTcqU6SNaSYfhsWyYL8wbIF7vCCrLjhua5kwqLLreE8xAfzIqA9kpnJzng4eExDyuNUIo5lY2X AVlHjAgGY6p6KXBlXtzYz29MXrjxDz68r350KyeXwvaFqNux1778a91jA03YYXJYxjNrObiRJ5uh iS8l8LAJthnAAKQ/yHzFnfW4VjCM+llPsHI2DhdHkcMCTe6GrTwuHxdoDKkMj/jw76/Tn9j+pFYX 59HikpC1NEVXQ6cxqfXaFjC3VjfF9pc6zUul5LCdoDOL3aNdK9COx3RoricpPfF+WMZTsTyzX0rl Yg5sWSgZX60jLuAe9DOWozVu82Pu9/rG4Kz+aYaB+vDbxeyO2aVeThH8mVqQzPVCTjLCP/1rrJp0 5w9cTtMyt5H8Ebmlsf9FoQpj15A0GZPLUG3OkRJt3e8zCnylSSfDSKzup+me9x30Ecw8XxOPdZ+2 ulZbXaAMN2H8ChV0zTahFreIOHZTP7eBw+dh4afRK4Mr9O5VQLPFrrKvNzaY1VUEpA/z5OErcX2q 4BVzGcIjDMxgDhXfctmdUF52CzJT03RZmNYqZq95rvDrqsP6BHBjIRm1nzTo98J8DrRhHAqQACY1 g7TevspvlhXPyaeXBSdI16/Xywa0CVUgSk48tLZA594jhmzFTlXo0j8VV0jZIzHblB0D6ad0kUZ6 T8X3LLAaTkFyOt5CUlJnQDY33LdZGYBHammm5vn6Yd/fvmyh0usijKaT2KuJHjHHE3q+7NuQWnZ9 DSVNjtR2nF5Ch7g2xX7JlHjulhIlZA4Z/0qN3kQyhaIXtbPze9QBVU2osO9YXvATwT8K0zDdFbJ5 mMOYZbbdKzGDAvGG8ictS5ln9HeXwqptHpq60Yqp4sQor6RoYjTArm7KqsB9OAbKhvTmcuMN6n0q mj2sMiyC67SXNZoLsR0ZregGWD0eQ8+LbmUNBIJouEjsF3bGd+y4juBwJMFSVDZSTuj5qNzL6Qxv 4/wBCDNRYWWLmh3pgE8xmRcTkIXmRQhwG92kk3lM7WxpYH92EtzBGKmZUEgu0NkelpI8z0qWpWAA MT5YQYdK/fCGfaxSucY/VY0HJHWQ50kqhCA47kr1y+HrQKqU99tEBXXyzOhw9qvNaTyIX/axTr/d ZSaSec2NLHDtBKM/qup0PCUPYGqgC+RCAatoctKjCKPrzr16NVRnTMwOSnBaWRZsnJLuLB9yu5Wr w+YOp/VluipFLkZX06zyg6qmNAws1RHb+RZMCAY1fkhUcD2JoHQfdofsiCrz/YCK/SzAq1aJuLSe cTWcbdireMCjP216sAU3hH5SIvdFlgokn0KV8OlJ6OvVi2sY2aF1ALMPmvvRHl7wG0oU8d86UoM+ OF2luaS7foy2RdNmPMxV+nx79klMp70+gyvkRuKE6hDdnwJLExv4tAAqMUbnThC4VpBAZhwmce7X S/Fg0RCUpyJkk8Td6LXKXIozwT6MkyAblB/MeiN7mqHWeAmE/LKxZsGpSGEzbnHQo8T50HW90RLZ hAf4tnFMkB/8kBivGmNaVu5+CJtzdXNUDI68/h0aisQCX7KJfPAyryxEG294o4Js8n1QL3Lm8co3 9LpJhD/eHreu/WDCU8dGgUbzjIZ7WseUgZAaRuUZgbaWbjtsxA3FqN+sStS4V8RDdePiwtazm0Qv UNobXxNFp5P3aApjsxkd85Vk1tUA/6b3rGXJLL5DtdsMt25wWWMXDA2ahEBChEqdJ87R+jkdUeBD kHg8H6l89qz5EuklPDPWJeFYRJ0y8Axq+0HoRsU+m92UPR0aoXi8HQA28csUymTu5oP6abFHQ80h PgVHPr06MKy+j01/+tggbSAjAI8KH1aSVWjp6pdXBIEQHXWhLtOWlfTq3+u+dOf9II+zZ/5t5AWL mgYgA2d/frSuVgDR+5byMQyObs3N4VL1LK0f/Kqo9/W2SEjdo8pt/OAODHnM4lOPXA5OFFq4sH2B 4n2EKJs9vM07PHS0yxsMH0AAGMI635o/v48JD0zz/gdDDHKkCufbcbNbK5lgcTCZwZosXtQfN09J fIZIuyOx8Oqb8hGXmDjsQKF7zFHnEj5I3UiTwK0pzsRvJePX6/p5lWFRyZ291Ylf/v/LbjUH7af0 xrskHPcJdxr9DO6W7Yvm9HL9H7qQWVvWGCMVibO3FLn8P+kufDCndA6OP2gM2ehZot8zSKDnPIrW eNgbbt6oGzJtI0YLf2MDUd41t3kV6Xd9wTXa2bx8n9zelscvwodbrYRtMGXZgWU8IqtDBzKQ+4VN QKAwMUaFsufX2Ud0z4jy3svi9y420BJGKGiCdnfmIT4askgh9JbL8wSvWdQF70Ip1y3ZBA7lKfIh JROji+7sZ/fmo/PieRgctEJX3mUReZ2zP9Pj5qcBxqUx6CrV6s+5XJUHakvTbxR6udouQ6iA0MFQ IX4zhiESGG2widmeJtxPRitCoDbsZgNEgkzaA4mHylrsJJ7j24UQdeDLiKJIrrrEUR+8tMt7eEEf WPDs4uv+fPz/IopEfFYgw1u6QFqVEGoC5QzpdvLrHN+5i+rmBHN6GUodEqho3VFbJkmd/WFikQVg puEWM6HKqYmmzl1KffQYXhLxznP0wc2FWf82JyOzH3ze3tzvzhLpExfx3W6ZkI0o6pvUc4/udImp 03AGhy1INOJxbp1U/gUpw/SlUjNQSRMQJ3ddDo9eoaSRlYrgWC3bZTbBIWrutJPPa4v4lthUoTFv 6khLxhjLMXjohIX84YPpQPqA4OFzpjIItMI232QbiGqN4pCicmJIheOBQ4WWujXTBs3QVJuOJRFy peRsGwP4Vnngf6dqIY/58znznHbZSbv+he1MMBYmoXDR/w82SH5XGWtuurCukEM2fkvgFekisrBv WR6cvRVdxXbFzA68eDhlfZEQC7e68eih9tyrPIZu3yY7Ukv0hY0LYBwydCu8hV7DoNQRY7jzH6Ev JvGuUlyZyjei26Uwx0j9mFEzbfWLOzSwERfprcXGT7A1Oe0dXgwBqgizGK4R33AmsMvfTxct/4Fa 5eTsX7Ey4L0g7itrC0MYM0c4OUFnyQyX9M0fjN+C4jD6pzjA/otm+aP+J+aeZIHu2p2EAhybZzau L/sh+Z8mBjXlz4FRNPx/vrFk6W1g2aA+LqYpkNFYIaNjC8N/jg2Ox8OAkgLHTRXT5zMu5VVj6FCD QOP3eNoS1UdJqRoiuzHQrt6IAtlEc1LRIUgvscSjemmkZYTRz4mkPf6hOmW1Ub8PohniYetM+a0S C3e+lGne8q7Fr/MQAOEiIrtOezNAfUDupTPNx6dwUDHdhEfPM/XQ0KQWxqaNp91eorwd2oTUCTey qa6WcnqGAHcx9UNS7q4Raay5YEmLJjhQAtzR/EQENsNqBZ7y+Vb6bCnVFYesS1pZ2D6weRj3ZnPT RR3B9xZKxZ8Pp0qhWvljogHIajSunGBmPBT5oTHlc3s8KxVQSPTXX/bKOOJzGv77bm7jz6X/7Ekj UciqUy+p4+pgTa31c9Ly7Mb1BWSswQUbc6RFT8c6efrEuPjEhIKji7ICmr2bsnIzXAATt66avzAV RmG33ceVy2k8sZfezIjHLA/lUtdd2xNXuRsynPBxmIjEAEOVOS1jJbAr/3UC+xmSaHggAz8d5lxJ 5fBaG4/8K0BPnrONVL1CU8sQpaS7bceMG0rgCZvgywDk0+vqCOC3fGBaucpnQKgfBx24c/8WQ6fS /txPhIA9nEK67/NICCAqDKY9nJfwvR7iV8ZAXoN7l0+G56/D8bW1ecThqck5wVaYiWn4hFMxFS0C 5vIw5ygfAGrQxYDM6QOpMsKUm/QOiADfDIOGgmUv5cYJ91avtQlE7ZkhiLDoD47fRPFmcRX32bsC VNX83DhJm1h98j2Pf2KtormOg6ZkeUkbhWZh47W4Za6UKE7oKiWyqgPcZr+aE/aseLw52RtS4qlm nIF42C0IvxQbt6IFVaQWG0U/imWmH5mN/sMC//CN+O1GAq9c60rFwYWy/Izexf7BB7LaVnuA//e3 ZE/jT76AwpKTVo5Ihg/CK0Ws4aVCVv03DuoIB24cbNQ4AYj/QSWAc3kRAT59K2VvcCezbh08dJlr hH4lbnKHUlFXOxphAF9aDWFUOSEPjhz4QOtHv9ONlxnNNZaYpbzlc1QHBEUV+FCzJKzSh008hYqC ync8Z1lmvRkFqRL0bIjnrOfdkhsmr81svGYL1HALyfNfKbwdV9k//omlD3aO9EqxEpc+ZJ4HQGfk STySq7Dc4OEIA6ldjVxz3sadIb81HNUOXsbfRcANO4cd+vVz5NaIDNcHxUjW0habzViIENKCfAm+ 9Vh2Ev9DQuKL8DPmedRxLuHr0IICtlQDjGpORHSqoR1O57KYjM/LgZiSdkvAGIyjut9XT0gq06EA QFqhyt3+Amicm1dJ5G75nXZlUvPGDS/8MoLEXs0PQH7OrJXD2PVEgs/NbwbqfC71c1UvL9tuE2UO jQuRmx7fEyshNiSevNYPLcCxdftBvnU8tCyq5ge3xCb1neZRt/aiUTY0hZn+Qz2WgQs6GeQD5JvE OpYwgP4h/7HbVVd7JMEUyEbRBuHWpUExaT6MneJ2YPWwFh3kTJO4lF1SpJti7yY6xzUsYmfHMIby LpHW/7fpazSSDtMjXZdnCBQVxyI6g1lJJ6ulrhd3hwpK+GVk6sNKtYsq1oyjeT/Q0sAYmKI+hWMs MsXkqSntqa1o0AiZQrwEZjsVENN51rmnecw7kh3ip6YlItJ+vYfZXUqFHIEmHp0iVzh5HS4O9vRx Xi7jBjFYqZ5i6BUPKrT+JC0CV9tK0JrXezERIIDDlmpaqMmPI0clQSxNnlFvOz7oM4+dE+FUiZ9C H0XHEz2qumZ8FBpoWYIhVcZuFSsevQsTNyUKXpqOXTNQG8KXITJn32vTmiovbFUTpLd7bzFNjCcg uKyVKN/Sbv4BAEkDzZJemSzUYxSrXikRR9Hx6BQF60IOoM58bb9e6XfIlwJsPqKFQDBFadgohoGq /xGfoAt9D/Gmo0pkCTXA7plzz79KHXvET8Yc+9lqS02MHIZkHSsPqLTuKFBktlqZc/NYgZQWSXmN G75uRcGU7valtMV+7FTvkAHcA/czfkiYTCGCNHas1bbCISqLoHGcZtLizFf0NCD4jU5HtpuLc3US 5n4tblr5RivvMRGuu5hz/WUY0A66K2ieP1km6QI36LgNNNMLf4TDMCBO3KrebEk8NGdfDV8um65i CH2i8VSXWde1/vdeHohMAzm3BIGQrVcSV5drLH7sYy35YX3FuVi7iiBTcWkFGMZNlktYUzMIrhXU /o61P6VSJ3tDAZ1Gg6CesP++rfwKYhXYX7YhSm6tYIO2G/mYdSYrVhl/F5pOx/h3K1tB1XLgWouJ Lye2qLZicwXK88rCWhDgQu0kgWZ7jvn0h1H8dGmKtEflDwXrHHPjXI7XNGdZrSjlKSc9mPTsKeH0 vu+LOikGPkm5qponosgT9E1RnFBT5H3JsLKUOSYh2Bvn3tQJq0khobM627fAZgX7NWP6J1XWiizP dFL+RaarADffEtoxjTc05aFXcQhJhxvo8iBTk14p1ThbFlGjleIZhd9VkLQF+N8Q7ybulvBzbAIL 0kODgT5UxnkzQYyQbP5uUTsjvuHkz7CVml0umFV6dmxlxbGBZGoixINIgeGdMyBHTTAJS1z8dBoz RTHZoXFcwXQHhGmmyIlmHR9a67QYNdUC7eHTKDOCFjsA/cwWJ1YhOMPnd7qRbPHjWzfaNgZwDueV i1XdHxcG/itfxwq7biNaXC4MCTfpw4F+DDcUfxGgnvQ1qno4pmMyeP7V38zS3Xq3QI6YrvfN1Wfv RchV6mudljaADIG7O9eK7xIy3tPguqpdYEZAiBH+yfC8AoSHBmJbZntthaCS4Oh4zAVWfUDsbFQe +Pu45XEEZNj7a7Tm7lk3joMObeTW/iDI2ZwXQhq3dEPN+xw6g/PuJus3GD7TM5zRVg8J3+w5w7zN ugqbhfQdeu4pUalOQJheCFGtLmKtql/TrvraoULUORY5iM5usybupisD3p8JVLgiC8C8PHgus0O8 glIcQpV3qUnZkWRgHvpD6AKUouSIlGcj9PquxfASwgc8fj//aueAQ8ZUleg6aVNIniXWd1upljOn /cERADRUqHuTiiozJpSw8bd/Qqata1lEmhpnq8X6pwTeQx62DpWJUkTJL+rxjNRTCEkAWP6DkjbT NjBIECTEKO/ZG/haISur8V9e7uc7457C7ykNMXwt0ptSTu+YL3zXiMDxxXhwOMo04iIDF3Th+RbU mKjMNAQpDeyDZKDjw0FfxDEf7ks3ccQTpdhWHszD3owfYKeu+9QzBa8/MTpfrodrKOpmP9sKL7DH 1Dv+ID6HTWvfii2JuyveOOSuVELbWBZmrDvTY8P248xgvYzdS04scdgCtoLsvVPa1L1MV3StGbyE y38HGDG5MsKa3tCFFP9La8Gh7Hgl9YoOc1pjI0gLSCbVkhHbDPMgutTS6PjwRxoFqD7rPxdg5ElN NWFGKRjlLAekOU4lfDAmU9w70vT80J+xT0vdROZvn0EoZhNX++QnDDKOB97nCN8dyreTGkCl4oGH a/ptZcemvrLVt73Ncp7CkaI44JBG4R4/hzm2wLzG/UMMXgsAoTr33nvZEnxP/vyLpSKP4XsGOnC/ zWBTl2TE62Lm5EijXiAx2DKT4XNO005cqJrykS2EXD0i70usSG1QG3kpHOZOftvCYRpYtG6xZTxM nmRs4sQhf5C4lD4f7vIWvfVvEe50Ae/Zr2XqPyfGpJzX1PKYAUHKU44vjefWxPMZJA7S7hDO3ZNi /7g4A3bdrCS3M7U34wOAjxANsLgV9WQzIHQtI8/hmVr3yrFUjO8WEPsLcVTtZI8Mif4niE+AtAMf R/V7E6dWOV3rR5mlWltfIofjtQ+kYVjFX0L8SfAz2ynlnE33/j6uo7vUlzqOoS37rTMzmqVZf6uQ BKOZ+lPKf0YdLeaxRzdTEbPpoaAND0h7DpNTNvtm7boCPkwRsnOHrLSw5w6HBLtkwlLIuWwbYvJO IbLmiYwI8t9DDUJbyP0ecED/2EQdN8ypdTHvmxMxAh4itSTvdr+O51eQq5wFF5uc1WLiCFJxiisW EHb+biBILbnR315zpVY/xydzAaXRwdMc2Gj50o+sbcegK3uJj3ECdoaiBew0Kgt2Rd+QYZCEAD4k Dkj5PamxvBsMNjT8cKxCvCUflP7Ea8JENXN7BlKvaflcCWWO/WG5tw15bvyj+gtTyL1MTymqJdgn u1PRS1CkSWuE5uL39mGg2S77Gl4breFv36Is8SKT07M9nMfCsx8Gbsa4BFv3tDpF15cCHhVyviOS 9iz608ZKhyd2LpZ6lhpqv016vbk2Cz+KFgYSAktHomQO4oKCisvLpWhbXBSHwLyhjTAdYNJ9OiNn uzzATRhgi9L/TP8fCeM9Irn2N6ZRKp9hIzI8aWTtV5aRFEZkiSv/uiiWyXnzbuNyxqqQziIyYuUZ 9I87MvJznpoCX0WthHkxlx5DhQA9tEPmBxNkXQVmLony9zcsJDN3xYbpawqWNQEOXpOVpUYW6C0C s80+ib+z824vdVFNYyJg47j46Py4WvpxDknTBbraGzsMDqXbxZ1R6Y6yHiB/g9usQs8sgVlqzGPD 4MwseX3ho5X6oOwx3j9t7qfae7NkacTNfskXYz9Q/VHbNml6SDPcHNy98TkrYJ4mWlM19FRHE5IZ t63Td71FxBqJhL7qktnD9B3gkyfe9Ec+NZ1V8pXuaFyCQMIpYcxQLVOWMCvWeTeUtxcJ4tE1NsVo WCHj3zW7HDEPz/r1SWJtZpWIbvxMvsNI5DOMMP3ehoN3uIFZnKmdeymP4hbLFoADu6dsmAKrwHja rWD21JMNrcWaWx7Lrwej+zTryINoQjdksI540jqvqmgS0743DxR9pb/2eSfDNn2vjggRVkP1NgIU IAs4vq5wvRIyxBq/2u9fRNBUs58pMBs8KiRvM5awYpxAbRhcIsxqq5+rXVHSJ00bYz9xiEwD5+kN +QAb/GFxEPOZNdOtVTms9j2/ZLwvTc3AZnxAMf5m+g217nVlPJJ6MI/oY93vVsba9FpFJNJ4F2hi BmeloKVWIMhEBcoECo5hqiaPLZZlcf5ppu8Lo/YlGk6EAEVf97MnLA1yNujZZGaEfKZ5A8Z1+3ex 2CrPDZTa+7X/Mln2FH7BCsNvXbtRyBPN9P9cGFm/Ju8U0vtC/BQFr1pkplh0uUNXnVCWswZ+avA5 T01H5Exbuq3Qi+5BMmhsv2kH2euCELBZ04qSgfXAdcHEdjzLZVYZm9+KpqLvd6b0ukeprNPquxzy 3hrTPyof9TX52JzB7s5hwrSSDtLR1V082fN7huOiVfW7AtRHtQp1+oPC/S5TMUQLhDt6YFY56cNT 5fDjTgdN5sTUd9d4J9gvdE2nMuT0yICxBZq2r27UQMoY+wlLtAuFMYHBHUnR1a9nDUzWNPWIvT4S cX427ICnPYIzeLHelH4lIRRUVjn0KY9EpLwgsn+9y75ljHH6Z1aZ9tM/SNvyRcCUhFy/7gz4fkqU 1nu7XiLV4EndGx2q/DOp1RzOl/szSwLL4HdKtDFwwtrWZTfk1cFrDKjufZ+D/JeJzhaAtTcjcOT4 taCpWraFqg5uarH2EZooeLhmTNLMgJo4dguvm4PN16aPvilWzjc9s45rMxf+4E4LcHL/sX5FsZGj CrKAcY0nxfHlh7xITi1xNWlQyrwpGYna5B10lesPu+X67RjIRmoYQQynbcDheEnpjitw8PCx97RC BIw4Kpek8FntBvRL7BjVW+3M/WtihTB72DkCWy+iADEUyBk+s1/xqv0s1V+UgsBVPu6LHEcj41JM spH36IIpQtzCdNb8/vL5EWqKL5eVg+KsYRO4olI+fAIisOup/kpw2D21L60zT7saBSRWs2sUvXpt REk4T0oUr9HA/MlUzm35/TTmZZA4PH0Rzcm6frvPFUi20nzd+pUp5Nt/2+i3ZeXHdEgUPzhRy+BT /RbYpGBR1PZZOuuZOWvkZ/fmxkAE0qSIGkmqKZWP8LFQ8auZwfyntaWH2LBLWHX3VmAHttuPjW4P Y4h7Im6gNh9LgtMyDIAmQ8yL+EQb83NNaZAMZGcPSBvZLo3BsLXqAov57DyQdastlJQYEDUdkEF4 Eq/Fd8WgKv8mow7zH4nOSzrYax0u4hBXbjIqoAxVm55EGM2Z+sdA60MkuuiCFNFFExJiUARCOOVG 4SJsTmccPhW/Dsxbb2vE/uUNb3GhAI9jYexIn0ULOya+X/6MXCo49yFjLHCE6WFGC7Ju6FNqrbL0 8xLYlZw3aLjVqnQGW04mke1E4DP//Fyl7azSdmGgG8ptegcDcAzr5t/gZOjqW+Umg/Zcqm3Dz0Iz slK4CcfPMGHy0IG472uCDxfrvyiHvNw3Cp7Ls4GOCUZKUTGYkCH3Xh8Wfex79WSfwCymafaufF28 PxpfMjCE+n+QQAyvM3BFePCpxiqYWy67uRuDBzZawCL1qRBYual4A1AGs6FMptczyZAP9u+8A08g O4cJ0Vku7Ien1Bs80XuN1acilEm7TEBpCFWB1Z49WshPo3OZz+mAw26J9uzwJTYjxvGnJjE//o+O YuayJfv3vYpEgIPUgHsCQbLj0tAE+TNi5xnNtWIl+6//jBYkpVr4eyth+iNjUPmzcmG105ZfiOqK qm/yOiwxeuvrldck+4nlJ6xjbKGRcUL3/bboNobE/CRqt4z9K0b9wVdb/Sa6bJOilFC1UhlEN1KJ qkiSj+YNuzG0SlvrHmVvL2By2LsyHpsAIN+YkdDbILbBjpKlWfBBfViteayRMmOWj0iXezL3OJWa lsKSck8lsSyHp0LxtGqNmBsI+7RqfLW4Ci2GMjKk6l/X1vjl/FgbMXaD9MrK00KGUftBCTtH65hG VC5CATU7LOYnm9lvYLPS/88Wrx/rXF/LDnB0LguoIqxsv/R71vueGxgmB/9l3aULLj6bbvK0GzAg MR9GWKskkSrwor/Im6ILDmNlZ9BDuNEwsUJ/9rOlc+atszr/kFd0AUukoLzNUxTCmSnMHbzEBNyW 1nrdZTWEcGO78dT9vJAhqbVWaNB6vgbtciwHW8vIWBUUarc+OcfRpDQ/Q6z2jfgtd+UD3EchRxE1 VxeZgECSed6zTe7gbpF6lNYqrZoK22D1h21mBAFidPDlfZsj1Fb958nKZzC/EZnmPHwVzNv3WxqP xg9wDjnripv8Bm9DyFU6SJLOx0JUCTUXeLxyAWPQAhYd5kuCZEfPkSa2hD0MuMdeYDnyj2r5d4it cQ9SUV56DkGvA3Z8ZpmHd/X/7dQwe68vqbEhSTrsF7sQGjNvCxOBlThf2z0KzUM7xKKm42zqu5UD jfJhdK2dpQLspLSOvrxYVDEcLoaOPoeWMkNw+COUVD8xVMEo4OuNgxuV58UiN/u/bOf4gvk1R96H 8W8RLpGZNvk2B5AZbCmQOpPJrjBuz0BhXRVjcEvmSovopkZpu3lqttt37t3rsLLg5gLROYyd+Pqm 8wk12sjnM194K/62oeF6jYHTfKVJllCUiYzhanpZ8iMxy6MIErCqzW/mZ/edcM2efx/08sRkqwYx LPmx0VASy44YwmpUUH328/lt2mYfqRO19FIrtCcmfvT62KibssgA37mTfM8EjQOnwQb/GWvZRvIc B23rvrWJDapU+byxq3q9qxoFXr/gYnPifqAGitXmptdpzL5IqRdEsCTQrSXh1Wy53DHZlTU31X1Z Zgnz+fqB4l1oY/GQCqukkNJOt4/cuDCXiXg1XJZnxCoVTWR9p1Eo2ZPmHxZecDe9W53A2yDVRjW5 dIDADXbjTGRTCB9z1dhyEMz1grbsHXEBO5FTAIp1SbXN+XvdQK3Wu5s/iXTctK/MbiVP1Tdg4zJn rJW+PvGOUZ/MVXYkKlJjXm9nxnDVcK4vvq3OYxLPytXy4nDMhW0zcQbnEHphVsPt2zqob6DHhjUk HyHxejCIzpB7/2FsNnmZlu9fHs58lpGDEzGq2zxjnRPbfVxqB+LqPL75cuX6R8rRZ5b7pw16vmjw cd9zm+KAuY0qX7Qsdr9z4ChFPJtskmEc8BuBymJkHM8zVxDX5EVazPwpqoJTxNy+dA+8XqH/a/TV TQG9LX1Ogu07dweAiEZcaZm8Ol/BGan+wHhxL5ehNVBbI76+hZsFFQh11gG4tdu3eC1wS/MgAXn5 IpyOA3ojrjCJQWo8161Zm4UqXCvR3GD9Iuc0zV0wgk9jeZJcufW0nNNKrOMewqjpKkODArbIoinH Ih8WcIC8HJLTJGOw4wSubFLSMGkI7l0fGM2/bAvI8RI1bWFuuiyp8j+0FmYa1FdX7vLi17937wxv R6F6dZ2361MzA5df1pjGW4yaGeVCQRAW1/rD+IxcskDEG4HUZtnaJ8VcFK6zbVfk/ifySSsFZjq2 PZhCDEDSmMIhAznJZEvzod6RJwV9NUcbN/7duK/B8PUX2KPHLJRzYgCp6a8y6zkR5Y3rwp0h6xwi ZvYq8HbaAvThba7dm3h9OmDEf/2HCo3YvjcQvH7wMEphyfWXsLE+1YkjiGT/EuxSDb7tysNYOXqH qFkeehUakhjw88DlnmP3ftd7EKlK30IUJWJFpXgqZm979MDJqqpEbyUsozCbu1GZeubJFx79hxdF oC7fpUDQEraf0qks3eztMXDFKlpcnTfRrd2dvQTqahbGLyLld4VjhTWw8dVN49mCJr4Y86+kcfCS nkGx8sXoWjEpu2HM3uyW5tJ2vHEdKMfMmhRel9LZN1+aPxdr0f7+cIGqI7MLDsp7ZswcW3x1brvH MwunXQuzT3KPQ0y3ISxS43NvAl9MaGMisBXZ31glcFgCDqaHRFgvhkvX2y98yLWsAeU42FefJSoo Ffo2XHqiVS3XAKKtFB3hNvqYABbvTAxc92w8znbbzQ12ICYNcSC9UD65MUT/v50ls4VxN5uX9sAL f/lDkAHAF0yndOZCKd7AKwZNSMyq3fmKoteXyDWPfZjbS9WQizJHh2pOGgvJyraHAvHlB+amuIgP dQLhFdVv49hIulZ7heNjeLOXf4inFT1iH5qSnERslgJUKBPYoCYcVLMGk4qg1OvvIQeYqBSW/Tid cRjxM03hPQt5uF+1M4+qF+ca3Pqiqn6pauxLspz9tWLQQYB8udU/wsQT/HtZyJ5Ue1jASkpB7Q7W SepGaedu008zz0nc4+74AoqWE7TNp+t9JGkPmIBkPUmpn16vbCrLYDTQUWjch8l8MgqAqXtI8Nkg FYVUEMJ5z5iTzt0fE3ZqDvIjdqQBh2XFaFdbSjxQP5v3MGeXgxXHAvZ+gjn/PAaeRGu+vt9v5z2m 9EQLiBfemIaSX2UU0hDpgtvQVLvPAfBDKgK0uf8botddqgzQFyXUDm3Oql+jr0X0kOGwRUaQ3Vct mmGxNSCap15wIc3dusHHIYyKwhKyyrtmyAvCw5B6IxRmPYWq8LAek2O4k6DwShVJqaN3FZVyMUaI P69noIOt/jCHD8mhdgdO5XVMuMv6ymtos714Ij9u2VDw0GBAR3h5zThBVv8t9UlfENtN0lczdAij AzeYqhfmck7z/LZqE3DkB7EI1d2JngtLIrY4HTEng7iInOOQfrxmXe4BxEIsgXBgTID9PewN39tx QGGZkDyStOSjIeN4IpvLhur8vgp9a8COC86RUYTGBWUmUtHFeVtksxtXPlet/qYGi5drxGUyB8vn qfLGjarWpTcGUJwZt10EvhqjW/PKiXlPErkArtRAJQS4zZ+lBJmUeMSpn+0yQVwTb9FNfqz5gQWF dOd9k7wL3ZQxCeZiupHO39E0XGJuSDB8Wo4j/ZnHRgB/ODAoFqJNcyDfe7W7RDyshK4x8NbO6ulE zNXpqCur7hu0OVoXKOnmG4m9oxe0eCgpT3Ds+6yxbssRcnywEyprhHH4LJpg54BN71jGTLVK8Whr 1DgCmhAaxdpze5E3wS3pl7mFGwgpIZSFvH8+M27EuZC1GSRy2hAbPnYzLkKRivNc5/NSichOcugg kYFOVVjZb8GSKh1FCbLMoFkIciPd+X7Sw2u8x8LuZsCtuENgdHfTtI8Q4gSq5KdbVDmcy33EhXm6 HHZUklArf6xoDkQUy9m+cx/W8fI965DiwKrmczYFK4+N3OSIf5bawnwtRHoEgl65XTGoHkf1SN7u 4LEG8cVmFKQ8YTaR8At7McryJKkdMz/8gH4NFBO/InVm3k/ZleZBygsF4pHGNgbFhuWTut8fW0uS aBOI1pgbgbrVb5SL/XgGyIPWL45CAFBQFNNrvip4+yJAX5f20SJZenWLFaifEx5GlgD9/3laQqDP xb/MLbYC5FviYicns8KqEzSEm7cbWj6jTSZ+7qHuaNdK1KgaHZatkdsbjNjQ/+f/QY+IKVTmqVir pr+3ZVLWMTATLtXBCLTpK1+Moo16OzFtCRCjuNcds6UODuicx+ByIbIM8W2pQZSxABs418r4eOOf oPprLyyQ339EsiE8nnleXKG23YjEQvi/eB9DPgwa1TWIw9wioXpVHq6hbaQ9IIxk383iix+wHLFM GMdsbHKcrOdkzcFMmfOsV2PzK/udBsffsjVbueqdleXDERtwO13K+w97dnkvJQ24cojFJxON94j7 ME5XnmEPATv239zxrrFC7N90UK/a7Ba8ElqbsZ+lU8E8Qkii7i5490oOX3Mq+MbJQpDUHgJTDylC fZdhWfIT9yqud2RONf9PPAiaCme70PHz4fLgCXI+mbRVzbX0qKj27/v7mjTDDmwIsgOeTlZJwQ4f qxkVhpDRQ0oALNbAcObyOEx2qm5CNXnQbXOXpv7/+nnZ3Roy1GHGt8vSMQ70kO4jvNiEfMYzAphc NfT8LbFtOBIbnWKsEq65aa8v15e0HH2qKWcKmEurgKXVdu/B6S0lxLXg8Z8Xz8sJsf4T3jANQNP5 eohZq/mqNLukd+H/cfcFHn842dpXsGTbwrgYb8cP1sbyuGPhf4mLBrabgDc57n1iR5T9tOMXFBYK 1AVnOYt4f9j/EvZVwb4vKvDGBQyfjS2VHBsrx5Gv/+BJhzAq2+RkGVz8Qa0Q4OsvWq7OnJPxbNNd DGso0rUFbtJWZS5fJ2+oleRXD9GhMYbfESaeqpvPDIQbYcCVGcc2MRFjNY7K1/E0XaYFyqE+CH9Z UnleVx33Co4emY8YYNc/xcUPfYTu7KKvXLdu2jMaMCjKQq5a+Ktb4WUF0IuOv7p2nbFZmrQdjDJ6 45Mno85j/58T0E61W5uATbNTJkrZyR5oTpHylA9883YQbierKhcIs1jxBtPuWtFB47g2wSoLrJJj /s3aQD7xOSo5sjZhxCIS/vzi9Og2bsdh+Hw7IbIeg0/18Izxuj4rqC+Hbp6ba+gLKuZzGJalmFgN Zoiug6vHhqPcd85roj4KDzxwCrzho8qKCr0bbzS8xpGeTmpylmS4+Ge60ZUQQqx7CNnSBCXJStFO wggrMrM8sQUwlpLJoJI3GrJcpUNd8WzMRRQ88HqOc1Hz8uUDmUbjt8SVzmromSWnNUX6BjyqPn4b VzZ3/dT42/Bf4594KXAO0oGOwEfN2s2w0rRSLoa1doe5XlnyRMjFRRDkTFiDfBxtbyQObuL50aBz HKQzs+SULvFhAukRh4zFMzsufZOQgULA63h2coanMP8A+LbLEPKGrA4o+s5j+pvPZb5hFCZW30ae xpzT7EroYqnuyt1SecxNGWIja3rRQz6VGDVgdnC7Uu+Ixx83ymLQkSlmJNpOSko+2GAl+IyWTaEb YWllp19HtSSQCA8Hqz2pCwD+hQRLqZKRfkVYX700XHnkaiTVTx94POlGof5rC/BVBI67ZCs7oeZ8 fH/7/E6pTi75lvDxmsI0n1T8uGQ2EQBZH0FkmhXKgDWRvBnOPvK1snwHIq0b5zN7nt+XEIlbxvzB DS2FIp/0nDiPCzECwDKTw+3VOJXdTqMcHmHUbk4jKBQG5EG1uyMmvF1uLYQj2WVxqdGA9UaSpW56 36qPeNW/j2w85LuFlo/1Q6/8wafbVhSQS0CvKPF0GdaTLSYm8AWfasbJjRv62ZM/fPluunwG2aIA Km8JyLBDhzzK7/OeWgKYAB00sMFSNKyJoe7IDb7vDxQmcBElOiYbznp76L3a/4oxg5YsBKrgIyM2 ivRHL4HyA2U0xYVU2W+ING5vYwzu8sNbXoAthCZZz9JPibkuOjflrJWxM//52LclGLpHkU97dSNG z1wpFDZL3WVhxY16fsfO84H6ERzkPgSlklo5WnDgqWv87w0yS3DzhBCEAogL7FxQH/JFT89u4DXz 3hcglk7Zc+Pl7PmJtcoYG22YIGqfrDAAKy1X/uvwiutYeyqooPvGMrEzsnleGvUD67s8tvwcOi0d 8J6QSxldjMVMhg/Htq9Xc2uzYZfQqWseihshJY3AkjJOxR9u59CbCV49eqo1dGN3zMdTL/oM3fQX XfS3mr7/A1ntSm29uLdwmXodhk4ye4WMnMfbLUEiHgnwNOJKHCBkh2aGr25P0ZzrqxBL+CmvrjZG Au6p41xBZK5sz8GDvduVBSR4rajyfpVEYpC/dA0nGNZpJdE7YmYZXlqP7Jt4tf+cMOFjWkNGkw11 APVgn1dIWJJ7O2ps5JINbfFARYywoQ2Fk+Pcbz0VED0Ziplp73lbvxfWF3H/++bRHP7sqb04KUI8 YKKtxov3N07gQ1RXPqXVb6tM2nFz2Aymiz1JhjZLyBGjhR9p+fGSxiF8zpMFdjoAPddoB0nxR/DP Rr3TXRVXIkfzMETqTM7+VsSlHwkFEt7cbPBJ2RfkcrK+5XZ7tPmVaFJ5xr8jjz2HhLgBCwzEVPNC CF9TpzG7ap9XcXsxmSNdU6mTULSVJUnd1WjFnGdr4sVXKIsimnjDlaNv3n/0+O0lm3DbRPiNQldG mujUslKgcqOXKVJk2Qy3+fBpJ8aRx0UQVFrpi+X7dyVx9jjqwAizVDxbARSPL6HVW5UcI5hLkN+X 7cLPO5pXkjRa0Mq5Xj2HGl6J64nV6gxmzi+aJZf7kufX/sqE9dGKilJfRKwYsH79te2S3tAIfeA2 7Z2AE6w0nwZBQIQXwtmbXI38OL+b/Lz2DK69Z3rQiIkggG9aD/qfCLtiN489he1MZZl1wKirGaOv srGY3IfBKt6AkxiJzlQshlcFWmzfW8omo0MnAb2fVyY1wBrcxzJUopdgC4rZ0llRE5ypam4GlGTo ZhfeHaIqoSUhVez49k+3kp6nV0Y9qxgmovM+FdM60/mSZcY9A6/YukQRhoKItTHkcMTC27Fr9Mvd gLpsfGEaU4lA/JB/1TeTKtJAmKYxvnq3o0PmF4lUuUl81ZO+uADnmLqw1QFXrkPSSrIGzUh4IV5N 7btM7fubCTFaZHfuDJbQGMnk9pCynOlEs2QnjykJNN4jqNrOINd+wFCSCzXyF3wATkrOJNfLzA+i 6stHuvOuiU0XAFFVQ4bnh0exxtdbYloYs6Maoiww9QJwXzW64v3R0pb6A46XPIl+PjUG3GPDAQId BOKTvyZNzDuMj/RlWn8qPE9HUtPl8x5jr+vXDAjevZFjKOB9yiVVF+SkR195ULUAspvfAUslKGmm HwuYioKbiwN1u++Esq/KqhISqWNPJC//8w+fpvCermduvZgSD0A1IBeGzZ4FMH/yawfhrPhIIVQM nVI3SDI0MBpzvohSDesmOFUOu5rpZ1YXAG6ZLNRW5jaLy9IBQOZ2Fhl5DJJCIRFp+5rbIhJh+cec +nS0YWLop5q2bGgmJy6UGYcWdTTJeBcYbpRa53NzYz5V38+e9ZOKxkc41+KjrCNxRMvfxs4ooYn8 E7T6i3wl09wBmQwo6pgflUqdFY1hjZRQXfB7n8M7TKBpgqT46mrdtgi5QSn+M3v1f5RqgYQyuelK /mWcERKQyCtkAH2FxVMKpB+f8Now88sHin7oovwmrMOztYmYxyJNm3tU/u6etzfNodE9FXjVrteW top5PkYslmdb/BS1ivk+GRVSKqEWv8RUbTQCtMg+40w4zmV3dMK8hmAqgi2E0D2e0ecSximFBSN0 mxEZ8kJt217/obrMy6TGe1qErdhpKgL7XWrs1VrT1e2onPT2VmVEAilBC6RhcBAvU2BPlYgwa2lK FNcL+AcfDXbik/+4xYmqV+T2T/VATq56smypIGfaH1TNI8SN7njHzU2cEBktSN2iNIVe6MJMkdwa oMBQSIAOxuTBxlQP48oqRNj/zdhFxExWTZW1WaGTMV41JZf2EI9QfTHWNvuBZJo+GX7VmH9WaTji dDxd3vH9ImjKKp7gub+Bt2yBGc/FVARPl2JH7weYM1IPWQ+Kq+szEPJvzZxalYprTv8E9FUIuGeQ O2SMZLVNSaa1eHbEciB5Y/4DQZu+pKZYYdRPc4XAMousoyCuh+6GLPOW60ViAg9ug0EqZv+BshCl hIpdraSBLoIazLNoa9iBKSamVk4O+jsxSzRliZbrOlEOTZW5YTYxFrRepNLVF8061UaNwLIj5bEd 0PiPjDVCjkHQ+oiBQNJEFLg+EmCCp8t8XW/1jwE3tJhKNVMBeRIWN2+oqmSPcxtRVsD0qvZKnEmh qSx6k9V4F0QXGMjeYG05ny5RkfVOkBAxBZV6ybPSot5r2nVSBcoU7ymrkUH8vAy+uqEgGP6kTtAM bhvrBhW3FGZEvM+7Qrh2hl4Ey2PfC7LSVkjQvcPfNoDK0uHTniY/wNQaqpzOWBapzQDW/IQL/x3V RaJawzxFd/tZC2xjZ+1g6DSgABqVQU8eFTITkSg6VMx77RT7UoVvLhZs/Dls/KaDn0IdHjap/q8r lmeEvDsZQ8f19Bma2oEEB1IKE0XMPGjrfLBK0fXiuYizlN0pF73gUkzCAiEKLyeculVCxjgwvX6p jj37mT9adRtlk0SW0Dssr1ewYj2d4YkLZa0JQ5okSf/xtli8xOxavhkTW6uSsALc9M/nECaO/HHo CLMedfQe8awCBesOy2BkVze+rSC3gCLkA3eGGgQl4QftPRvRmLAOw0ckWxdCrI3zGA6HuGilmG4D k3BnnIsz0jBnnArszuCAtAC1mieVRAdnjGe6YwCDsaO7RXsOudPerFrhyzcMXDj3YWMbtIC+7gNN oV6gVBVv97A+PWzH4QOyUGgN6aM2lnkqTs9G7zLHNPtF/bFGTQpG50U/i2CdZUCLNC1Z8WHDFxfo gWu1O82G9+uNrVMBFRw3AKJcu4yUVenTO8YDMjiIIyZra04XkPTO3qSLTc0VS/rbNim6uQILgB5P l3C/SMy0AezD/OAtVbXwso8SIQOH59rPGUIAbayTOh7uCtbBfz3IRy2yCPKJ3jeS3Jd9UV/V1l3R CJ51AxlLs2TG/v362MHBy/VoOmTCw8PEDmEV6vIR6zC4NOPIrcfxMXNsppgPQRgTJvK7YFrfv/Uh 3ubQe4kY+LeCDXRh8eGCPuuOBDEwQq3sRxnBrym6tvm9nonyNqiccRlezjAaOZVw3uWFM93N0V/u tLJgUxU8B2BFCXvjHjBeUvd2txfa37RtbwuFpkeI6QDqOgO5mjbq8XwGsYtoC1Sds6EJ0QoEbL5J Q3NFBRfmvLtMRDVknGhB8YhXA5LXTtwlsGi0JH2SqFdbjqCGzcp/6PXhXKxKlgDY7duOlFfXqW5P l7hAvrn4Nv1/TXWBEP7yNzX73mioiBD+l7CTE2zLTqAtQrZlF5VWFKEpfITaSHC+GR+B6TlcvXoo dSB3Igq83e71ZE0Y/CkMASbv1CY5JKnSs++ZRGxF4O+XiOZfjUzx/mqd9v5XFWWb1LE+BfEVYfEA D75yfTpCJVUorreBVAaOp3x5e6PWBxqZxkAsPmwU3B/GLsf7wbW04sM04fR1KYzWxuU5Fg/Bd3Hc gWdvphHmzkyMjAaxhfCeiOCPSA8BXuhUlw5jcJMlG3aY0SD1PhyhCBs86SEKEY1uZag5XWyFnl7A QNqAubNABovaJSbiC870/eC5ErkEbzD2KAYuRdQjxCVelaqJ7UalJwio613zTxiNVRBVQu2ylU1D A7Q2YHAe4nT06yWoDlmP2eWVNX/1/f7lC0W/XolS6Q1u9gttsUVWWoaP9dZMxFnyDvhSF1lx1MsO XOvwHhu6+1n4rB6G2NHlgls68NmBKdUuD1xpfl6QFei0qj8Ns5YJOJven8dhbBGkURddmrBS48Wk 9ReZvH63QbPf81WaclZXQc5n7bsm8SoV/xN9ezJ6eTdeUAoPJ9gggg4XeeYCtY67INUsX6dZFra4 ZaUqkxpuiVPhMJcqp4CLGQYkrletQBqyATBA4p4sE35HR6acruZmu1ZxHLyeExgBZyzsoUSO8um1 o0K0WrXYl1eYyp8zx53BKfZvjSYf4DS9HjJNav8Y74QIGz1RHDu1wkt00BSRn8xDLS/v0G1bRboA TSTb15gabL1jFdMHyr/QtV7bzl9T5HKUPhAznc2EI3Pc1GMXr8xcX/D17eooxWoFg2w4YAU/TisM r2zLgkle7N5p2NXJR/MZvYPEZuI4678Wrv/kIsM2OspjpgE6o5Mfr/D8Ex2GcnDoGPM3/6VLPZBT NYep6gDn8YxQFMG3VGHmE/RG0NSnZmpVdu874gZX6+FCj+poqdUOJs+NyqobdtzUBHxTKE+2ZK4J W7aov26bR8oAfkLQtMY7e05md1pdTjQioiTlKzOQxLQnOWgoAT4ymRtLszqT2ibbNLHIPfDIVKdt Jz3SwyYuC3tUnaK9onEFpg+IMYDjY/MvZc+H+gllGcVf59sfQaQTosn9eVkqzgY/6oLLJ1A7wMLL 9lADzhuN+YyciHmQDiWrOUK5wI9bAGjf0u1euGOilX6i7Db0FBYAcOGeQIjhzoxvX+swlUONZRv9 t6aienhWxJG98FJQYqQkTo0qSCVUQfH0e8wS882/vHnFBolrlM8sae3c+Pnse7PDRJWoOU3syAUo OH6onZrvhhre7VdsqtL+q0OYJyrcJ/mVDYhBzyoBpQnSknKaG9aJC4JCK8jemRFZVkokXiAdQX/Y 6NeSigIG62LlQFUo9o1PWIr7QKQw2+5RSxtLE5RSUfUZzjGrCHudw6bggZWKRPZEe8DzygqKKf8k rcCIRGkyExJuqw/mJ6cRgDC5c6EPy9oOAfz7RXAFUEpVl48tH7rQU+q1Y7mppRD358xKkP6TAnnm n+Q0vbc62NBTmgsAlY38Wm/AtsmZztDbZMEzM3NpAsvUnO9N8PQR2Gas5iShe/6NP2C1P0kd2iu1 n06DJwsDHEi04PBk2nsBFXWVm2TgAanGrG6MQPebV9/dtFSo0+soKrF2/pAVYlWUDAXdmGHl0QCe x73LvOQpHASwNAo9bEYhbq1pGnaU7+J+2uBvGq4WChKtdGYCBVErTB3GdwNpIQ3rsTzMRu7JEfQZ WD/8yLDvmabvy1rgGO6IXFtGoWhn0HIkH9MKvtCAELFRt4dmm7p4O1Rt7EX8KmssaZI4I5u+fLZv huK0g/lvjyOHX29+TfDgf/kozlPQc5Do80lHXRqW7/P3hrb1MbOFVl+0iEvwviEG4pUojqXru1+e ld8FGixjSJNB0efND9yqAU6FykOAKm9XN2flaPIb9wSvVZwK8rTD9wmZpt9E7oqlgKun8LM8W7Dr VAUTFqD6xevhhR+1D+A5rRZxvNRbPY8sA9Cl3GWTKk8RrerWqh7FJyC9Jp3vcOgdi+y5nXcXHt9s z/2NUJadEfbNyLVqLpFacu6Qe8/uZMIPRRNqGpaWkaac3mk1CGlkCahc4P18fDvEPdyWCQ8jKTd7 fN5OwvAmlEUcmMbPF5UXlWGt8oKssDAv5NHA2lc8CDQlWfTNL7ZLI0bRlN3Wk3EXTkWzKiyB65Yy s6h+N342mncDiJSxoaDk52HuYre1VxpYsV2KjtWEO+Sr55sx4OPEdjCFaCxEG6vf3tjGVP8DS4nX nKjxuJEOn7U70Mn8l9/dA7hTDx7f71VcmnQc1tmhmp61ysJTzfh6X1X5czkp4FYlVytOre0Ul45t ZM0NCA/D9vUzK+xHeVtyJ+kt1odi0qa5R0awBu0kbuX6UhTEgCg2o9xYAl05Tx+j/erhi0TtmNQr SZIqy1hcT+/9u19/WgkrWcz+ssV/vCweVBf+U8lLVGZvYD4DVyDw2BQSHgNhFZuOhMVtP7gfRdTP tofjb/82NaIsRaFtjwNGa2KCw7nvxPtuzo1scGWIjKq3OpoVVi6lyyNQQcw/BKDljKsqXDoCy//n BkfEPRkz4Mt9GgeWW0R1OPW303BI/67AUupMEI8c96wlpzhDmfuHjbA7/MlOMHOo2hnlvhvhbBxJ avxdpMcq5fnnc9giGUdhHWBKhd76F9lihVo+OGgjpR5D9uWqbwSMvqeyNT/qhafdyYj2vKTmDnf7 OkpMziFHs4AjLNFiwrOJa0O1Do2FAT4yfc3OyKuvJM4s+fJg9XW//cRHnny0HNFS5+A4VlX/bgiy Mlowi4NbEDHJqa9tVDJRinJz7EtHhbJIBxBRrJxpwwm1grhXIQ73Mw2SXmwpD4AjatxZHfmlXgQb qKSLeXdrX+u9MjGT73/890vip+d8l01JqS+7KhsmRQ/8FN1tFrNbMwHbepw/CbrEYwnEb9VOpYGg 8c5TO/31o+wqx1JeHqWvilGGidXawPc= `protect end_protected
-- This file is part of fsio, see <https://qu1x.org/fsio>. -- -- Copyright (c) 2016 Rouven Spreckels <[email protected]> -- -- fsio is free software: you can redistribute it and/or modify -- it under the terms of the GNU Affero General Public License version 3 -- as published by the Free Software Foundation on 19 November 2007. -- -- fsio is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Affero General Public License for more details. -- -- You should have received a copy of the GNU Affero General Public License -- along with fsio. If not, see <https://www.gnu.org/licenses>. library ieee; use ieee.std_logic_1164.all; library fsio; use fsio.fsio.all; entity fsio_get is generic ( cap: integer := CAP; len: integer := LEN ); port ( clk: in std_logic; hsi: in std_logic; hso: out std_logic; fsi: in std_logic_vector(cap - 1 downto 0); fso: out std_logic_vector(cap - 1 downto 0); dat: out std_logic_vector(len - 1 downto 0); req: out std_logic; ack: in std_logic ); end fsio_get; architecture behavioral of fsio_get is begin dat <= fso(len - 1 downto 0); req <= hso xor hsi; fso <= fsi; ctl: process(clk) begin if rising_edge(clk) then hso <= hso xor (req and ack); end if; end process ctl; end behavioral;
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; use gaisler.gr1553b_pkg.all; use work.debug.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 21; -- ram address depth srambanks : integer := 2; -- number of ram banks rsedac : integer := CFG_MCTRLFT_EDAC/3 -- use RS encoding ); port ( pci_rst : inout std_ulogic; -- PCI bus pci_clk : in std_ulogic; pci_gnt : in std_ulogic; pci_idsel : in std_ulogic; pci_lock : inout std_ulogic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_ulogic; pci_irdy : inout std_ulogic; pci_trdy : inout std_ulogic; pci_devsel : inout std_ulogic; pci_stop : inout std_ulogic; pci_perr : inout std_ulogic; pci_par : inout std_ulogic; pci_req : inout std_ulogic; pci_serr : inout std_ulogic; pci_host : in std_ulogic := '1'; pci_66 : in std_ulogic := '0' ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_logic_vector(1 downto 0); signal iosn : std_ulogic; signal oen : std_ulogic; signal read : std_ulogic; signal writen : std_ulogic; signal brdyn : std_ulogic; signal bexcn : std_ulogic; signal wdogn : std_logic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic; signal dsurst : std_ulogic; signal test : std_ulogic; signal error : std_logic; signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal sdcke : std_logic_vector ( 1 downto 0); -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel signal sdwen : std_ulogic; -- write en signal sdrasn : std_ulogic; -- row addr stb signal sdcasn : std_ulogic; -- col addr stb signal sddqm : std_logic_vector ( 7 downto 0); -- data i/o mask signal sdclk : std_ulogic; signal plllock : std_ulogic; signal txd1, rxd1 : std_ulogic; signal txd2, rxd2 : std_ulogic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col : std_logic := '0'; signal eth_gtxclk, erx_crs, etx_en, etx_er : std_logic :='0'; signal eth_macclk : std_logic := '0'; signal erxd, etxd : std_logic_vector(7 downto 0) := (others => '0'); signal emdc, emdio : std_logic; --dummy signal for the mdc,mdio in the phy which is not used signal emddis : std_logic; signal epwrdwn : std_logic; signal ereset : std_logic; signal esleep : std_logic; signal epause : std_logic; signal emdintn : std_logic; constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(63 downto 0); signal pci_arb_req, pci_arb_gnt : std_logic_vector(0 to 3); signal can_txd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_rxd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_stb : std_ulogic; signal spw_clk : std_ulogic := '0'; signal spw_rxdp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxdn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_txdp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txdn : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsn : std_logic_vector(0 to CFG_SPW_NUM-1); signal usb_clkout : std_ulogic := '0'; signal usb_d : std_logic_vector(7 downto 0); signal usb_resetn : std_ulogic; signal usb_nxt : std_ulogic; signal usb_stp : std_ulogic; signal usb_dir : std_ulogic; signal usb_id : std_ulogic; signal usb_fault : std_ulogic; signal usb_enablen : std_ulogic; signal usb_csn : std_ulogic; signal usb_faultn : std_ulogic; signal usb_clock : std_ulogic; signal usb_vbus : std_ulogic; signal cb : std_logic_vector(7 downto 0); signal busainen : std_logic_vector(0 to 0); signal busainp : std_logic_vector(0 to 0); signal busainn : std_logic_vector(0 to 0); signal busaoutin : std_logic_vector(0 to 0); signal busaoutp : std_logic_vector(0 to 0); signal busaoutn : std_logic_vector(0 to 0); signal busbinen : std_logic_vector(0 to 0); signal busbinp : std_logic_vector(0 to 0); signal busbinn : std_logic_vector(0 to 0); signal busboutin : std_logic_vector(0 to 0); signal busboutp : std_logic_vector(0 to 0); signal busboutn : std_logic_vector(0 to 0); signal milbusA,milbusB: wire1553; begin -- clock and reset clk <= not clk after ct * 1 ns; spw_clk <= not spw_clk after 10 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; can_rxd <= (others => 'H'); bexcn <= '1'; wdogn <= 'H'; gpio(2 downto 0) <= "LHL"; gpio(CFG_GRGPIO_WIDTH-1 downto 3) <= (others => 'H'); pci_arb_req <= "HHHH"; eth_macclk <= not eth_macclk after 4 ns; emdintn <= 'H'; -- spacewire loop-back spw_rxdp <= spw_txdp; spw_rxdn <= spw_txdn; spw_rxsp <= spw_txsp; spw_rxsn <= spw_txsn; d3 : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, sdclk, error, wdogn, address(27 downto 0), data, cb, sa, sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact, txd1, rxd1, txd2, rxd2, ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, brdyn, bexcn, gpio, emdio, eth_macclk, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, emdintn, etxd, etx_en, etx_er, emdc, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66, pci_arb_req, pci_arb_gnt, can_txd, can_rxd, spw_clk, spw_rxdp, spw_rxdn, spw_rxsp, spw_rxsn, spw_txdp, spw_txdn, spw_txsp, spw_txsn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, -- usb_id, usb_fault, usb_enablen, usb_csn, usb_faultn, usb_clock, usb_vbus, usb_resetn, busainen,busainp,busainn,busaoutin,busaoutp,busaoutn, busbinen,busbinp,busbinn,busboutin,busboutp,busboutn ); cbbits : if CFG_MCTRLFT_EDAC /= 0 generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); cb0: ftmt48lc16m16a2 generic map (index => 8+rsedac*7, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); cb1: ftmt48lc16m16a2 generic map (index => 8+rsedac*7, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; nocbbits : if CFG_MCTRLFT_EDAC = 0 generate u0: mt48lc16m16a2 generic map (index => 64, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 80, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 64, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 80, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u5: mt48lc16m16a2 generic map (index => 48, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); u7: mt48lc16m16a2 generic map (index => 48, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; u4: mt48lc16m16a2 generic map (index => 32, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u6: mt48lc16m16a2 generic map (index => 32, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i, abits => romdepth, fname => promfile) port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0), rwen(i), oen); end generate; sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(0), rwen(0), ramoen(0)); end generate; sramcb0 : sramft generic map (index => 7, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), cb(7 downto 0), ramsn(0), rwen(0), ramoen(0)); phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; p0: phy generic map(address => 1) port map(rst, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc, eth_macclk); end generate; usbtr: if (CFG_GRUSBHC = 1) generate u0: ulpi port map (usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn); end generate usbtr; error <= 'H'; -- ERROR pull-up miltr: if (CFG_GR1553B_ENABLE = 1) generate x: simtrans1553 port map (milbusA,milbusB, busainen(0), busaoutin(0), busaoutp(0), busaoutn(0), busainp(0), busainn(0), busbinen(0), busboutin(0), busboutp(0), busboutn(0), busbinp(0), busbinn(0)); end generate; iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); -- data <= buskeep(data), (others => 'H') after 250 ns; data <= buskeep(data) after 5 ns; -- sd <= buskeep(sd), (others => 'H') after 250 ns; sd <= buskeep(sd) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 24.08.2013 13:49:00 -- Design Name: -- Module Name: main_sim - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity main_sim is Port ( uart_tx : out std_ulogic; sd_cs_out : out std_ulogic; sd_clk_out : out std_ulogic; sd_mosi : out std_ulogic); end main_sim; architecture sim_arch of main_sim is component main Port ( clk : in std_ulogic; reset_switch : in std_ulogic; uart_tx : out std_ulogic; sd_cs_out : out std_ulogic; sd_clk_out : out std_ulogic; sd_mosi : out std_ulogic; sd_miso : in std_ulogic); end component; signal clk : std_ulogic := '0'; signal sd_miso : std_ulogic := '0'; signal reset : std_ulogic := '1'; begin main_being_tested: main port map( clk => clk, reset_switch => reset, uart_tx => uart_tx, sd_cs_out =>sd_cs_out, sd_clk_out => sd_clk_out, sd_mosi => sd_mosi, sd_miso => sd_miso); input_clock: process begin clk <= '0'; sd_miso <= '1'; wait for 10ns; clk <= '1'; sd_miso <= '0'; wait for 10ns; end process; end sim_arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ldsPonNRCmr9ZC17v2gRnkpAuiSWnE4SE7Ynl5gV2dZulIL6sMUaiEbpxdwld5kjMDA3Pqit+UCh Vb21uZVzLQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lP5sa3ofBPMj0lCMqaSiz0b8aD1SFgLl4Wyws1bBSXX/odVIxjiUcOLsoloarEy8vVORXlPLqL0N jeiXM4sMmSzPvQMrcpBaDjLFKB9rk4+Ypi1rGFpcmuihCJUEuikzT5poqlz/YKwb3XKlWOfMiuFi e1kxsveoZ1go2Lzwss4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZQlUrxP04XREOanrHc4FmH6nSdDPTfGQLCRYIwiSydhdaedTEfjmoWk/ZjMFZ1BMpPIRgOFRws+0 udteo25hG5oVkVPBpgboiOmK2MlvTFxeZYrBQYE5YU0rDIoy2zFh0drTmDYf2h55My4azRtlqp33 hKG1T2pKiJq8u29eBzWy1R0+kqggpnC6EKspF2EbpbA/uq2kVOpefQq8t8pkDb16KnXMsY2jVqZs AE/JGgwTJ9M+sMFs8RkYeo4RtY/a3l3HSDx0TiGEb6MEgjoqnLIlqf9S+iWq2cuv76nN4frJQS5N BVfrHB83HFPpRPYUgR+s6KY8f9fA0d/l6ZVyQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sWYeGfemB9rJl0O9uutynqdB5sIBTPBKfAGpA3/chWMr/CSFKh8XPmGSRk3CFaA7TD7L1PEVjVDS hQsWFoGVvqkZaMOzHe5HoNc2vujgMfuezz+aST8AO7ISb/UdgQJl63uLxynJT+Z5Cu7okmOoY3Fe baJe03WwfTlok9LYEQw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vj0wB5xhrutgd5oHx4HsBaOCBE1WLoHISfxJwMfaB/a+c3wIwlH1kcND3A8FWQHMxr6Tc95TDbp5 kgD1kTcC0F1xlw3aa4KTBC6yDLAqp2J4K1CmCh3KtPgG0f6n/kVjIBi0AQyow07Xu0TvbRzZbz/P CwWpGBS0041sozT+vV6Mquzc+e9X/N39j7tldUKZ3WXCbhFT4u5cEYSZaeB1gRrbxVDNa6T0ejyo EIBu2RNC/S8DuG6bIGHl+Yly6frCvNCBmq2c8MIy+MleuQMxzfIjh5NyH3blu2LtMIYIadZLr+BO iABJ8B8GLJbF+iRVHJapmsjlwe305tEzL9xTRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36096) `protect data_block RKBoVQIUTWWaECmNVtCGMfwEYA521UyFiUUTpFGHAr22Qvxs8+UOElYYtWhdUjTzMkgkAugzk0Ex IDXvPUwHxTm0R32/bD0R+N4zubNQJpWhWnT7s/3ZKOZ5mbTkg0v7OkCJFAOAQapFvIIGKFg/wudA zhHGo/AwRwzf8miAvG6iukTB+gsQzSKTTq8iONpU5pBsXXWRRmiufa2jadPBa/LVVUTEihGeYNT4 D7Nw66wJob+OL5NbVzLQbOJy/0QE62dgwnQrdN0buI+i2rK18YgV9WF8/9sCR2RtofkhvuBxlZDW tNu9vOyPADT4RmUyUK7dhFTOyYVZz+BziGwnPXHNNM/kLUXxWX4ZRI7hxRxIoq3n31xHofUOE1eP S9PnWO6TRZMyEQabcIhGC83fU7Mf/bOgAOcLv/V2j+T8OcOrVAreWxo3MRu58KhqDrb497UPjA7F 765/ETSKP/NaWeE2hsGiXCmMbbC1cdVvgQok1elicZCdXfbbL43glnEl4JbAlcnpAtv/mVnabJ1H XH+S1LBDJHwVLx/XoHLusKup8+89ltFZcTqU76fZegRSU+VO9VXi6LaPqbjeoDjdBl8OVOGi8eLE uExPKf3Nl2f3DeCsXs7yJ5CvulzBmD1eLTFU/TDRnpRADqjveUr7xAZ2+WmfFeQPg8CA0Mj8I2aW D1vRjDP6PkcTgVQDRHR0sI//XLuPMic5w6kVxAsjs5ajtz84wOxYOrPONLhK3jxURkqDaFLECjGN x5TtSs+QtIR/AnlKk930x9tPYN1BpJa1Oxg0hn52r+i8P87vfIWPotKfkHGT2rBS9MOtY9sQScOr M0sMEGDV7Pjzit+268NKjb2mve/j/IHTH2xrYwO0PZYzfLzZD1pO2u8Ny6JAbo13hVAYlgwttEg6 oR5e3G59OTDPylBeZUY7lf3kyaAluvi/Yt37JR+3fv3RFa+fkYCoC0MOzt+n8Gq0O67vaIk63CLj Va6GujNXw2X+BRcSFcvkC8dOGr/RBpNhc7uqjIbwZ8oA+O+aL7AKzzdpnl/eSlnpe+6UZeGt8aXf 9/THZY7TVGcWzQMiIp79U/IROp3yimjsGJ98Mqi3jxRgpo2FddJiKF7/1DPFzWwZOAKP0tvIu5JC KEkbKRYM1ruaNusbsW3MoDl9lxGC6Hy6hScSFA+8AkrOYfMskwyadoD2/dMaKqCNTIUVOT1L/iM0 uG0mYkkbY9yrWoVZR7PvgzgCT3pLdlafb8nbzySC0tBrGj7vM0xyztEOlvW/NDEuFCMoEDvLr3pm JDAbVv5aSCOSZpJVHTYQ0Vv7p6wYfc33hmJxzbzGNBAwLcXo2BhoXpLLFLRurKPh58vMpN9mzqAD UWMR8w0MYW4ABxm6Ab0u/XiHl3jSEiPz5ev6Tyd+XGhPZ8mUrVl43yEMNWjOMSPM5tKlsygE+vrw 5daVDeYWagJISMYqw9Imzo1rwtpSzKSMKdr3NU4drIOqz6kbOXzlLLHMo8MTtT8KZOfFYgERYT2h KjbrU2DJAXCvGOMWlB821ixHrK5YeDXbzq5V31XnaxQOI8dho9y/69yygmS/yoGuZsjn1hH0Tm2q 6hQH7g/OZCkyoRJ4gAwwoGP9huhkSpmd4pbHqM52vj+VBz/DVYYHb8LSskh+8kHnPRmXYxpfuk8b MHFgKbubLbi9EiJiPnYEYrbpY1U63mS3jx6+fn5ndZgfxUlQ3A8TEdcc3wPEo/GJb35TMIhRS7MF 4X/nbkiFojAU48+PCIURKIE8D9Vp/nNqMUOGTG2D8pC2DOw7elaa/1m/mLkuf4JOI6GUTBpOyFJ7 qhC+1z2nRjq18g6U4JpmDKKHGzmU3Hj6e8PV4D4Zw/Wv6GommFcHkMV/Z4nK9i4DjWGsctabNqnI kcpglFVBY8EZJSrBOy2J+dFc972r89nrnhKSyPOn9QabdwixY/4HOeguGgReTV2s6MJVkHlKw7GS ZavC5RfHyHChm27oYbYsVBIb5DcHxymnczBv2Db9SmV7An7oRqwpAVQz3CIA/pcPmWfXsoGRMKpV f8y+jkm/liX406kYkvmeyJlF0nZpa5svInu7n+wf8LtP4pW9lwwdrCUA4Q9Tv8R3atcD/cAGpjMB zDcZzhz73eDje/1onk7T0eP+5o6v0V+F5d4GR2B0fEj2CjV6dXy2VSFhcgA6PZepnTUauYzktUYc ZI/4XrvC/TI+WGOA6EOMVMfeaBX5Zt9dVSq0Cd0tx6uDmmPeJgtCLJoHv/06GbA5UpfA/tOInm01 m6Nf+btPeXw1SPW1INjGGYzDA+D8RQCkVphAHOKbqakBpUeS9Z+PBXW5NKsDTVY+7Gjh1/N1Iqq4 C28LsK0HNGMlSgcA2TUdPdrIE9GUwenmII6G3+UzBkAuxrKIL+5VG3rrjOvo57CXSOaJOcP4LrEq 4RilPj6r9EY+6wyd4CLAV+BFprX0JdkkSpHDDtuijohjaIxx6OO3GmGam3qsETj4N2zgOHFHXo8m JiC1K8/ECssxJz4+tMEFkfDw+Qg2dg1a/pjotJQShJ9TfvjDeNRnsNXXFRiYda5/zyRnT5krUlZ+ PCMBAThyRxoaQqt2QDxuT3LyJo5iB/zElki69SUJ8X2fbkF9XhpH4jCI1H37HYAtWdw3vJcO8xyY /z4Nk3M7vsAW4T/RncJURUDrjZgPR7z4II8bzKEhjjyjnkNX/lkrmtwcE76w/h5SSFxZ6NVvRFt5 hSrhwO5ODKRtsLI8eCGraPzqAxgO9WSXMONoKHW5tyJZnvETcgPs1hH5GnASXch5nMHzw11xTVs4 m8ZIJYtQtjz/rtSiWWVnJIdnglH/ATqIR6GqHWoFoBrrkb79rAHgDnFCcyleT7PNJPlFuSaFJqZQ mLYu93UwBtSQKXYNJG42dL7uTHjo5YSRZfl6ToxG6I1rk8r+PtSl0yKsWyRUTUIASY59IEOFSve6 eA5LPfICPO4dUJu+dOgH/kN6URZGl1A8tQqIvzi989rJqlTZhPfhRbiipXpbsmlqV6bKE5PxIP+Z 0lWemKYC6qr7Y/zNofHTZREyW/IjOL11q1/17raCkcZCC2AP/oFXW5v2QxRaHXO7enBTDzqnbk7C REiokZUFW/t9vxFCO1+WePxqihLa7bJyG7+IWO8+BtBr1o2dUyhsyhAsgAKSchv8Z17cxCiCftsB iwJztxAf1tf73uUAYOEDe3Yt7eThNNXvtc6fuNOY714dhtiu5fr0c+DTYdsuIQxYyVnIuzqYzuHj hrrM2suDO/d7P6+/pnZGirCUh7O/yBIyk14MlBBLme0G20/hVyZepnfJ/xD0cFJ8gT2QG7Bq2kUx zcb4/96fm9MA8CrURnWNvva7K8RsI3/zBsY1X0UVmya/Y38jGKiHvOjoUp0uhxNotpacXOC00jM6 +eVOe5eGeKB7NIehmY/qt1KZKUyJ/oZZjCnhq8iQxg7GEAEPHz8E2Vxh9JEocMHREhqjC2i45xNB 1UorIvZXDbPj/NsV6mmms/poTbzZElbjxPclsZkGOFj5ut//wnTM8vzOCGSnEkGFbbkXadsegFvW vxSKFpEbso4x94drbWvoPq2wZeVWk61zmi7WAifFcjVxgU2rYYWKMquwrR8+hhB0An4sNs+cv1rZ W5d5wiZsyLm4KxP7ZGCh6UNqH6glWOiQvEspDyf0UjY7SueTmAfNFN3hoIrbVexcyI/NJO5XeipA mOZ/2uvEkWy+P77vtX3vI+uZF0NN+AKxsqGwUdSIVfoPYwT/H2t3d6dK2LDRVL3C3gi9Hw+DrQ0l 567W34PavihF5qAD8K1drcEWtXsOMNjxPBoU8lEFkzHjR7PTomrKBiwqUMgnObQXv0MToMKs8xPq +SnWKnEnxbFo37ZUH+6ZId0mxeUSXwHLdAYDc/Naaqgn+PIIg/w++TviU2UgqDv4rf63lzdisjDn 5DLxlaroRDUcAoEYnDS3XAXuT5odNypMoUTfssv/yiJMQFrh8SsbfEqmLtVX1s290LLjR4vjYsSe O/cJ08yN8qGxzrt4nqWKaFsiKgK36DuJtQJQ2ZnWHT8Hl5ykPSpyBhbGNUJ1oA46E0MUHW6rnvrD nTNQmnYsRrIQgOjiHsh4dIJUKxH1lbHPSueGcKj6jD11c/Hy4MFZhiuXu8P33Dd4qfWvtyYH3tOK yXRMJgYrxtsbVcFpRPMNraPO8OyjUMcUIvy85OrYj+5Sy1Q//Opj4eRxdpsIG6GSegl9mNFH+udL M46YRVT+fVtQy9WtV73Ny0RDFrZdqTAc0m3EaQf/SGMhYly3ODlCvbCSgdXZ4+TCaBBJyrr5t/36 dsCGYpr6mSSkVegTP81DzQa3jRM3pFJMvH2p/7hzQjlRwRHCAyNL3CoVTEDp614pTucWHgFkcQ+q t4tjFA2CvVToGDUU8f+3z5kU9ja6G3dZv1vwAHh1xdxWO/D4YlyRKSF8Gs6OWdXmaIQh7XwQ68g4 vCcvniXaYuT2w8+3cQolFTG8kWt8Nupjpp3h/zj0PcjlmyH+TXK+LkhJEtlu9gVH/FQhQDUkxgsA ICVs7geyfjs5SovHYUjKrSdJ5DPQX4R/pUIaPv5w1x3eKuPsta8n1fNLk+qsqYQTjG+UYJQ9LnlI vUeNWpN5o51Rv2ikF2bG7dNVVEbscWJnLpNAjeWi0ABTNHjLNKKL/EfOeR8E8bu1YpwEsJ3xPHMB Y/Vy/3N46zykV6BqHeRkaAOYTjW4Q2xVBBUNGOiS3HJkN8vIx0vgyB2kalyURUDtUbWRHOFNvF8/ SKEL4vC5HfJR/yXPwEqrtIBHe37EY5SQL3j4N01apwoDy9giCW3ngEcTKA1q6TnUj2A73FlqGQp2 7RsQJ80Lv56u1ufOJ2g2MrYnEbs1wI3oOBNbhtiCBIyO8jLwzK0WCQXBXO1U481//J7eOG0fBAaq td8WEDtlBOGlEg765/7aF27VABl3+wpVqKJxU5XDHH+MDcWIWPvTyD+bngmF7JL7lIE/g8k+c1W7 dQ4LnUUEK8uOfaJ7jJtcxcDCUHQ5JGnjWEOfYX9Q160/gr7SynP1N3z7f1nGzNXPaYPfm021sA4O tktwNSM8JutqgnbVpeYGPxIyJpDBN/AYgP5g1uDmdOFtTI1systKAvL5g2M+GinMzY5u3i1xBTsC RTf5pqJabLayw2SK+mu8pmG8ZvAA8Io5W8vGzYqlPHqkybCuV5yr+TrtGJbWoSL55IT7ZtKaDyQF m93xXdpNP4C9/9gPoQe5tq31PhhdIEW6vVqDLlxqzSr6jSnUkHlnVn09aRb2KE+3KG2jE23xPmE+ uYZIi5OhkrnW2abslY+rEFH3PKunmKiNcdnn0qMeuZFYLqdhGLL1C2XcvGC5yptyI1QsQTjhRTx2 YS25djYkICL5T1K2oIwEFVL0yfeZV6i7Xi7mG5tw6Y2tRUIFnph7YZq8PNNurmn4lg2tEdVaHqR4 x2/37HJEShrOSHueszqTB6p6wHI1zIDMSj6dpkvbVhiUmSaDuSw7R9fiCNPJ1nCKE/fk9ZldSRz7 95Ja9yWQ/ZdcGzmQfu6bkWTUoA6q5djrtY1JalWhRavfiVy4x/hlPfbbNo4EFYLbDsOpsyRL396M oU9CWWeeg0sAplX59SDkMPFZ2Ep6uKR/ojlJFWtam/ZKy4IhS5ZMplBLejUdV2saHOM/JpOV7xb9 7cm/7MBPlhie3EUiPrDMbxD8In8bvQc2Sk9VkETiqIuxzV6UoETvFlSdgHKsegXKp4KjwATkQkgE tjrz5RixQ2B9u65UFbzQCC3mgpE/znBeD5BAD73Yi8LcWMOHserqhklGGSAnaRCQbiYpp57GfzG7 D5F986Ys9+DBJfM910ZE9V4hMYYfX6mLBlirn9z0At2Hq/pHkS392ekinzP4DbAhzdt38HhGyepC tVBrqW1OWh3/VUguC+c+X/sKGXAdlttfhW/mtiAb7oABjM5zu3RBkH3E9sg7JMLRQDAqIW64mfCn hphrk8affY/op7U5/8aLATuYyGjdL8tLfLhHRvqx+dBnrcJocelyRimzu744wObvS7sHQ1HyVDNs a0+g3lN7AtNAOfOYBw2sT0scJ7gSEY9dhIEJht7Kg6J74plOli84or/zD32omLPcYryrxRyGE7AJ KrM18RlsafWHVcYvBVDsEex9f6m4nibsCJjY+HA+6QMNkqrqkQjfVYxLjr5mljmErGIQtWo3UfHw ksK25neM70BIM4WMChOlyZ/WVuW1FgdszK2n/PtoNSExObyMVpIRfZqQqEzmKRCnAUDEeD4IOe0C odMxhnuf558IX4E1cM1cjOXLfBR2mxPLBceFUVr1cLFhOliQw3cHdyNxU+f2wH2sZmLL/T0GI/FH j8Unas3QMFtFAfpTkNCVHjrxPzqcnPQFYa2CYCz4zQtg3dWXb5yMpvarPft5rtonLh6r706BlWm2 W8t0n+LfMCPhGvdHz+w4zbjUkF4Fa9Ja6MeLnAI0uSZv1yu+RFBiLkfwiiv/TMkSYaIEQ+VSGFK7 HOxR+dCcSSRQvnYy1888VPDDf+KKEKyDsay/qjiPWv9GWy1TESe8aXwwUCn5KbkLRwMyengATZpQ Qyv7Ax0vwsA43zH4yZq3BH2FBsc5jCMKDXNvQZVZf0xW+BIVbmRg4JcEgVOQN3poUkAtpe/hpU4h jjEwjObfsv4ewGp+9XNkIH1cQJ6skTPStpHvCUiCSBV+ndNw+oHMGPKRxDPrKgtCBLNKz5PGeLT8 /Pg8m2okIG3F51IYWHAmQwcaaoeXog9piu0CNrmB7wwxVJNKO4uGpPNMrPGwwX7dQqT5TbLmGp5G I3AbvuEGtqsG6yS4njFjiNeEpmvg2LHUvLMYzhD7NqnnSgiICPLW6wXhMb6/BvLv9nxkv+Pp7+wy DwuVra8Jt/a8hkF+68vqs2a4l7F6zFGJ8KWgqU/OVFQJuSDKqw8pfieK1TdINvm33YQ9vD2bZ/0w fOkRkxE+SeWmzLBUrNBb/ICVAruRovrJJvVYhLPj/SfiqFrhYzNLrNmHeemyI5lMXuFiZBeIrWXI pRwcTa/NCiY9pBbePeQV58n/J+RvQqcSLdFjbBxLs0LknK9tBhUs4YHWIou+4mIoxJf1w3zyAp8A +ymbsRl4ne1P3eKihlldluQ5KpR4UJSEXVrENqUtdhILjtcjJuBMfTbqVxn9HjjlbfPgv/4+yz2N PeXCTYzypFGrD+VlzEi4rbvryLTLTZVNlrvCECBIKXoIaRmkOquBMQLOUjIOOfOE4v04c9Ydw7yO C6V7uPwx/xMCZhPne7LXHusuR8CxVvVy3+TRFbII03dSuVNe92PjgI1QAfS8XYsPiKdO5/9H/CU1 rDNRoNbv+npdSTVl9i0NzcdDEKNL3e3b4tbeEBdpf4/mn772aRAoDtKJroDfCYESuMjc1H1PNUaI Ia2nONDuxR3wMNAkmH4iOVEwgLhk+LJ34CTFCgws/SrwIF+YqJc0jMKXvKcBKzCsJChh8Bthaxbh +6qy+0XrStabkacCEwdDHVMcF4TtqQltJXgxswUY8GqSj4tQMhDMoNgzqn6bHlp0/bccImNoE1Xm dtOYn66xTP6vUp1TwfhMgX8vu/mkXDiQQeIf1km9TfOGV9qApRD/4iOtdyP2ngWQDJx45/aC5ECT pyKroaQXtA6w7AOufyMucUQ2jakKbwr9tpcizFMXreRTyUO7GEnOl0DPZjfWwanPkSg45HERioJF SoJ4lt70hvxq9cDE4kV9yG5kXuyX2zSsfYIMxGVPTteSryjrEyhnzuVokjhKb/naKk6+IBBumkCN 9RaBc29yVp3f0oHNp4OkTuqnokjZr+MCP9OLYxxRJV0HHB1NCVjIjWsrx2WaYRUvux5tSYBkI3K3 r0HI4PtmJFijUyqgsLMS3+RSghxGAUjFmoRzsZlLsKVEsqD8PWZLHSU7sTGR0vT5Zlqper5Yy0vd 82XDpGc+0Hl7xdx50pDXdZCeYG9dietRDCKQIc1u+EF1XnxdScADHe2UT9gVEd2xsjHygLIBTxjE KfOzNNHuZ+oCDlLSLJE4qQi88Cn48uhkov7hDgKzM5kl++wodRUfDe86lyw/A4cP3ApQc0XJqObC fLoR280JWx46SAFs60b6VxRUcXxhD0dZpe+R3LaOvlIE71yhofg6b6hfaVgPDyoLiydIKSpS82Tt 10VVwoOYSuMqPYusRDOOTPHyyk8WYlftT7OZ35t5QHp7AxdPz6Ld8BtP0z7qJiF896EM6g73Xijc VzSEO9lkq7gg09HnzPlbh2ORGqMQEoDUoEbY/YS79UcJGTJLTXM2cw7/LqHlf6j24vpRvd4KW0y1 wurA2uWpFHuBgS3Iz1/TAHGpK8Y5NgWJ+5WZ3XkgpWzlrvt0WA0ZiByxOM5BbWQ5pRfrGXVjeTVf KhkZ5CH5ZUFXi2x901qTUG8P2y7fZlg94lWI+e01zljsZV3raX0YDLxWBauSV72jIO1lGnYL9iqQ UqWMx5h9EMP5egFQgiaYwq/ji429Njvw9ZFNMnawmHt4mt1J1PDb7BnOu9QRPNMFEHjBk84eQ6HX p8PZPhmVLUuEf7QC8/TJk2s9gbv2FA3k+26E278vJyUBMl2Rr15wss/njkpaPUtTvK3CCLH+AMtp tY3ONUDmj8dMpxLJ4OsNg4sYSKNXufVHoEu0KBj2hi8l9gtLDcS3bR56BLf0a5DyoutvHMUk1JhJ ViG0LihwNwFYt/k6x4KwKQtHJyGQAoT4F0gxWszwTXg7oCd+bJqC+/wF07k4I4tr+2T0GGxP/i+2 JvjYgKRRnKiT8Ns85tMOMhy/5JBvqXi6BQS5RHPTpIhEvsy0PehlhMIbr/w2BTZ2SaItmMw/8FK5 FA9P5CUCLn07Wvmqe8iZ1xrdNsq8TJ0+s0Td+CkEc/vp7PAWfzM0QUH/psqeft+PPHcCBtdeHVoJ J/B93FWDyHGT/vS/jNKijDnc7WzUDxTuGEYgU9galr8FAxzO8ZTQglyVg6P8cTvqzmipIyPnt/xp jWIbBzmVrR1YCJB5rZLZGx/4PkUFOB9oLwRivXlPM26PPXu0iQ5Bs3qr3i/tN/szbTi2L5Ppnktk rDDQrUx70HYedJkCrQIfR05qjw86IkEWkz+jyBCfhTQyzJz/6juojlpGA8k97ex6iSYm6iUWJptK JPixJmKKMJrEqfLsgm4g+hvDfJebWtcNR8NijngF7hLin0M7qvR2VBRgmeEIkYmmbedDTMiBwmRM 8b90icKyjTkJ8aArwlXoLXPJL5y+avtWg6l2+Nj6Ho85cPL/laJVRTwlvG+stpGTE68jLEl1VD1a gCDrtykRr96QkxKyLN9LhG6flc/2M89umymqMpoJinCCYbOWaXe4mtvyk/BLRnBcLV8avn3Z5Mrp i60Z/yUTgizjUQ+tWqOFi4zmSFa6Nt603e45PSNMKCVe4uEtJ/NFQT9GSlDwL+YUbYWeX+oY8iM+ QKKNZsxSZPZaX50oJ3aHOby7vPgH7q3dSkJCpE7KVE8FaQlEDCAwxAtrS0g4yIkqg25E9MjLUlP3 jpyVdSQ/yEMSuBabAdsdeqOKuv5iWVT7yE7LoZYdeNPWutvay12M+GWaKNAo6KrZIUbPLoAuaQEw K65A69kA+QIzSjyE32MYmMlkmS4Y3Rv+qC5dN+YWNXCc0ERuYy6OG2br9r0gN75D9TXmBVW1k6lq 1tG2QBqW/tAPzU+kSL4C/WN4fL6JRHzF1EfD9vX8LGNLkcpudwA+CxMGolDLosnzpG1jAaygg/jb voARmYvmM45QSWEnGIhgGCZhT4eJMUTi678JpxpYuNmON/0AW0Px01Fp5oel9IDARYKqtf3mwdpE D+T4HLVBUVcMX7X/esc0jbfMQB078BjfGWqcOFZrJiwf88LuKC5szDzCJXcB+W3toHb/Fq1lT4nx nNXnbeVikr4C7VUZw5HuhlbLS2ldl+wfRWcgWd5VMINCO8Sj8jb6RZcWMlrwk2a0oQw4ujh4aq6Y jXBfB1MwGknF6NhPPnagNcMG4evynOZwJUtXtKJksizAlpJD8S0WAOzamc4dTvlBNs/ZMkvzYT/U pM/FRBsvgOx0hc0EmCgfZbIYmICWmj1VDe0OJ/dJQgoSbsGdpl1WNqLcNdgbzW+6RARwLmcAxe9b jqkWDcqPcZd3YRvUlxAiWDcPeGevw8kVXH0RDsrrAPUinV5pMNkhZQgzH6PYV9eCfWnuivHPdArE /nZHwNS7PTUWlxafN0xrx2AS5FpSIsenWdmRaR0vXdVE+cRNCnbmTiQV2shvtnwMfBga2XxAcZ7A MVg5BxkO+49ujuE9KOj95iNWs897nTy8Cp2KQCM/+t2hWG18r9lswxnjbEaJWnF06AsTBZrmpkhi cf0r1hB4WI9ZcA9tp14ASNaUWXp+rcK1K+ZSXvRehyQRBWLTrXi0QRLrBsxe9rDW9ijWnO5Y2WiU v72y+THSo39+RzPnYco1iL9sL+Qsgk+yY+XYGkeevYeNojbI4iLbXa+4VG7AU3UCdYbz1cRDG+kg yFcdLg4lNVAMIO7xtf+UbI+c0KO8baFv/hcnAr3q8KwRDdt1eNBqPSx26TrouHqK9Ez73Ja8K3gR U1+Sgg8MKshZZFYI6oWSLQgxK9PG5T3+cmj6EKYh2DEVzDr6DRTXfwFZdNObQW3bnYTpYbNQaUCn MvCrVhOYB6V74KghJlXlVJEu9tWHWFTPiqlYPGXXBtRF7TBc947YXbWNw+Pwvb+Q8739QsQSMTEx Kw9OXofbpAnuXxX/HJtjOME9bU9ME3qv2kbuGbmTI3UNl5KGKiMSLH11+XoKMMoWkuV18r9xF1/i g1e/BBInmwRFPIdBT5IJSQIGSXLZHjHCKL6FA0MpuDTWyScXtFFuJmkXY1iRfwuvP1vEMGBT4hsl IDrLNwbdZQ3RAK2irRF/sBMMHLClhhM6GeXwFtW/7fy4mXG9fa+Ul4MYIbwdiDhEryoTjkiMEZtR XHNhaA282dOelGlYItDAhKBCCknEg0aOdDMirXwzkF3fGryazL2Gq751liYgt2zkQ4yYrEX0XKAa IbKJbSenvahNofbnIbPS4YYKbxVJvg0sLBLtsnVSnEvZ/eJs0rrBDGr6lKUg4/l7M8tkNxOlY5Q+ CZB977UKw7jC6r6Ptjt+u7V1QGwQe5ekEk/g20AXz/xEmI6jV/xBTgwvtxjq+xgYNvmjlLqcYLRH IDFkVVxISUmOClbnVjz9Re1xjxK8ZDTsSQXEqii1eJmzrMwCeFR7HFo5F+OukGYEpEkUoAM7F6nY HwiY83Ekl/EaNxgJ6k5AdzuNsdmCnBD2t6OsvGuKsbUwPsi/5xqf0+KtrdrMDg8SZXVaC+Ka8S6z EGBtByVQwMeiEYMYyr51gLEyCnRlwMmsWSatbIXUInJE+7siirIBqavv+fxCvXBpnmSB0GAyQUJ0 AX7qqhiPcIQbMk1yYr3VAZTF3g2b1nenrZOItb/g5TA/sZQSesAf0tKnnFMsBhVO5l8PR0sdB9eC 3wCHZAwVXA4f5jVCdWKXM+5nBazrt7GCykra0GTR7NhstQ8Gra1pjtHkd3GvSCOfu7o+ixdP92TD StOi+quVJqq75HhTAAdM+iLQ1iRU8ijdsAnl0j+zsl5infkgh0N1JHefAvkrGXaEPPdKChQxfrZv pXS+M2bPAEBiAjsal247mUwfnrw1LQMz54f3R47BEgU07rmV8qkMSad1xzHKqeYiQpjCbugjPu6K VmG3fX/Cj0AVQIH1dGBYBusdjsqjroN7JCcaW0lhj31V1uk7bxKy01hDBdRJ4yGxuzVyLM8828Wi 9fz1oLeFWWGh+qCgmlWSPUuXzFYS2IWB8ogYLJf/+sDcr7piNGg/K2OxLZbLcLPb/9elW5r6pHWj 93VTvJtLQzuQiUwWF3knExw1LIAMDCBSzqf1Ov8xvimBD5xJcab9bjg/QsCmAJR5pyyAW0Potvpn b8ffMIb7rjxGEDA4IPNyf2XQZxReZazin3ObObA2KCLFUCwPhqaz/vrQWuZZypMoSXa8sq4pQvZn ssV/MwqpK382RHQpfg9LAWUC0Fjh7fCqwqJOQ0RiNR5j0ThQ5XpA/b5v+tSlVeHOGvzbLOmQ+LO8 fSaZHQF54+pcr1sI+xu7juyN+Q5aOqd4vFufvtVLS+Tx+8sQpK82XDBCySz0HP+Qn/94KMSsUBsv MT8KJNYJeDx9YmZR5/Irqz1sZpsim0jBkgvy1kDmEpbsDhsIyA4W43JT2ZRYUy1o2t8hIVfA08Js wsv1tQDkdp5N510CrdiEqBJMttta+6qLCosKJjszjS920+fkpZ+NqTigXkClYn70p2aC1RHAUrfG A9GNHDNAsa+c83uBeBnZOF3Fy6MP4J8PMxoFxJVz4HBpVWUbWwMP+/1MYB+S0WX0oWwHIj6o0Lkx B93xkso+pn/Lk+SkTmZYau572wA0WRrCmY+0T8nffpf2wQTEKdHrsHxSJWg67sbdw+RkgZe1kVWM AxYnlum+sln+A3gCZBczAopyroRd5bZuao+3dJqsSf27/V3dAaaZj1jn3UcNRy5RXasGhkxEQnn9 j9C+9Xx3QVczIiWxk1vbWuXJNWKLL28MTfxO3nqVe20t89W4Um4zQltgkVvuzbuxm6jxl8vusdeT QBczV0K0IV0S/bB4HT33KJcHZaMALNP2ep6F4dKucUpHKc8ktEDXwj7Z17Xqro2iyL/GL4qIs/Ww gOG22m9z4q2r6lfgY58A0Y+sCWAtfrspAZlHYDOQMOVj92cXq11FMkJjfKbVslh5XXgH4l2bDXlk z3t4UlLjHIG5Jveb8gBnztLsBTwRUTX4adNCB3wRb3FJ+mIB9luYKsl713XmXa4mWPlBeZPbT4st J97ekWxlXMt/lmbqOmNgayUfyeP1BB1N58VpvXmms9UD+IGjmOyvm31ZuQuRrO0I2UexIbBnD67e 6s/7pzOdX2dWSfLPbD5ff9aHGMh1uI+C6I89Vwf5PdTICMODktKUuKYUUeXtp9wmNQlAUx19Ass+ UFbWY8pHrjxF0p52jXyad1YgAOPUrpjnSAVEvC+HNfxX+q8tL0sRxD0Ed4aTXqP8xWgBHaayfwCN Y85ySyXjTaCgWNhKs8oJjH74MryhuxaLjV6wskEUvW2oHiPgyd9+742wHkWfFIiq5eKOw7g/Q9Ut 31rTkAfeyEEQqwUm3WOYdy/zbEPMVJkg6lIHtQ+pLl9UYo3gQlb66ngdIZZb2ZPgnR3pLxZXsnyv yIy7VvG6BZNoMJbsQ7/5dUkMCZ0kTXMJaR2eSX1e/4TV7IhiolnVVxp6nvsTCWOOF8dJnjfTlzkZ IZIvxeOUY7FAOyGAVXO1JjRBr8SGF8AOsc7sxdsNEUMv1BrZ63iYZhua30XESl0a2NtzUR0SG1nN RwKA7E+I/mN2doMFngnuT3RZcUT21T3K3El8T6VDaKicjF+8FkMsVbGSAweIBXQ19XxoxS5xatyo nHDaD23hv2XY494j6uEGkVrXgtgcveb4LirVNx6By0on1bYIWFyIChjhmt+sJMLJEXRXhF+KI83A YxiPs1+Mi7mwEQEprSe/UDNmRU8/zVbT9V1WLscYWvBeL65KdJLrnZqQWGgQh55mjRposoHD2aci NM7LxkK7/z1MRJ4FG208sG8nPPL1HyF2LSObIWrt+cSVyfs2f1UYSr6oTZcbrcF1gQWTuIK2bED+ N2Cyj2QUmQpchzZ2sjSYagUCqIBIz5a6lu5cSdIYnIJlihMyWRzVqOfhpLU67grU/c3xBq7gu+k7 CvBoG/KqxRF3R9cIjr6KDw24iW1P25XYJes+S+rbSFBzICLikfka5MhWBZPCjRV7wS48gGsj3ynM DtNm0zGVbBnDWR/OvCNA/OURaYYbPetJxkEtfMksRDhHuVJgi2OnB4aW/BQknB1RC3OPvgQZKvJx 61XyxF7NT5TM5xYnlJmD099Q4SPat7vo5t4h0HGGQKly75oJzSA36icbp8eq2AAu3XcNGdg4stKU OedadBVE3K3vqFUkZ7Aqut0LeLW2tbP4PGzu48YoicVxr3i1FOzLmExP29TorPFgA45sSmQ7k64z dZ28B0FlRW2rq7Ae50SMOqEIKVs4AGacBNtttU86VhEYzxuX3Hj2ofW9n5A60BfDrm1yPN3beMxl 4PrSTOtt3mUZxmkKVujF2EfrLTivxfLrMiCuzdIcBDwBxc3rM20UPTrNR703ATa5exm3PGh0JVlr 1aW/7IKyU5O6GAf9Lx3HvOVyKSPL+hVwJ95jV9iOGvkrrA+/TIUQwDU2K2ifwbxQ/bhA13LEchli Zv5PMcVmECFg6GoEuTyIlcLVzC0eqbs/u5PsMzlr8RJZdN9KpZsOkj56P0xfgFR5G4z1fxJsm/3P jdWcDWoxe4VecWB8/6zQ0SpNVWPcK5HRDSbCiW/v/2PwOOm05uTMUCuTjZXWHFpkoPhuVrvnP3K+ /Gdq8Tr2kPlFnipuDywkIpWn+TDSIu2Zz/RZfeMgrRkE3/zaENK8VLnpu2Z7FLOFgLLwKzAgRo7M 1s3I2VoeW/p5rxnd5ZnwtQDExPrDZUITPA4x0zr9CGfEh9Lq5i4I+gcPKYP6y650OfwUgAZTtd0g pU7NtXGnMVOrjSjRy3cI2Gpwgo7tPHp9n5/sMd/EE6e/u0shFQ7J9e9u73gsMAKhapFplxf5ec6p zI9Q4iKGTd80QZ2OQMOc/KoogfHOfGdtmqeX9o0FKWiLgRZwLgHayV8d5F1Kvx+S+HESW0j3SBQG nVH39xQpkp19B6GF7vLWM4/sxsQwrwxyOe41WZc0NK30WRx4U6aHD/Mcfhzf7aXjiZ+swCXNn0rs E3w4yt2ZXnJSbNGNGznQW5HEEEF2Hy7bMdsIwGjJisjT7jzxuyQIxuLgk2d7UAM7l0xFFBmnFJm5 PX2sxCjn66C/OAP965u3zHY5OICg0wuKbJLM2BAyk/B5Wcsxrakk48jmFs51l3KdLPZIiiVQbP8k 4szTw2uPdYIWiViBhVdr1cgNFjyuIR9Xdz5VTfCe1TcmmwVCLz1f7POhhMtZDYWiRpgqy4/E75Zj MkHL8GU7R/BmXGiOKdUGWD8Fy1ITfL5KDbZmWNgrl/5G7upZmrM4rjWfaJgNb4aV1p2rk86knh1W GXXCOOd6zT9v+KiFyxpeTiEbroqVNfwtiRzmk0KdKs4WeGAqORt02+ACu+IrrsEoKzOEbB3Vlcrt EC5J8ZOcHLBma0aADiHVJdGGYsAqXHVCAxrZ1l5u3JQCQtRd3VFXnETQ4r3tLJnyEYq8TnfIfxug V/HQYXiUBJB/oHRkVn4VUPlYLTlpSScK8qAKP/yoc2nwLDXBD7uupCDidi4zqFjJ4IuxTVjJdDhT NOnRB4O9JlBBdVLFqbeZsKfZxkCtEJhj5KTIhlDT7iz53Hm9+anF4z/HzsA84RoXpxmODhfy0C5v 8NAxO6sFftDSM25ZzPfr1ViSf5Cf5d/ni4WZkTSM8sGuWPKEAcvcHCRySRlBSp1n/SL5gnWqv6ia Pn6Px5t6MPuWAm8+Gd+5fRypHoprc9UbZayUH3PFdb6i39MjZUKDh8EMGNxw/QQ3nczhVLO/Lc0C 6gY/brTdkDQaDIJLEznhes2FRAmAPk0GoaHyoDysvT5y7A2z0042HZIK+tzXPdEcMu5ZDED03zS6 OICbdPsquFNfAr0Hshrk9yQZjhiHNbDm/AwDT2RCbUq8NzSGHPp3bXhhuMDgqHI9VDXywFbVpTeu ivByEUSLmNRXb8/RNbBg40DAzuggAMXCP7KnQhNDI48mUuiBxWleigAxbij1kvtUH4GcjOF6Ncq5 vNGaIUDzJ0T5PqpSL+Esjw3+U+KzVR5ktfh7spg+Axwe9C6+WKWZYEWpxpYCYR8I+L+GA+7QfrLm C/9kMT3uYX9v3SYIdagVHQ2Bmwyx7Xo5MSvtsGWdvqW5K8Quu4N9w/d8XjVdzUfcberr3Hgn3wTk SQzFun/K4xG8nRDkMkkMuutiYyDsF/IDY+Qhlyp+9kfHJJ/QJSYqvwJwQkTFsC++Wrx4KNkA/JP/ h+ezSCTaEYDyAW0KrPfgbS+OOi6NqnGmDXvaaozGud0KQtGfMcXkEpN/VJtOjYWY5DYLSfhHcrRK R2pZ2UfH4e0/YG5obC0Sduxmv2u7Zf8qkn2FfF2cbtshZi8jRMzkS58JMDIYFJzfg7SoLG4pfELl CZd0Jr3rFtbjhu0VWkuvpnDPfXnklKVgH7Nnwi7EB1Bj1mmpUZafJNHB7WphrlmfgsjDn3xt/gAd npS5q9rZoAwdDAJlth7fXA7hlTG7JCi44qWyO0iBu8D9wdMzZ6vUWMl7EhYmcmpCX4Tsls+MQvYN mB2Hm+u9oK5vLAoDfgqtdCoxEg7kqIFvTZE9wh2PDPt1isbFVwyHV9QllZdQHiP8tjqiMjVkiBjT 3P1L9KEz1OG0s5Jbnz2z3MgjjwLXzFhTxIsGuMdmQsv/UJQYvc3kF5TCxygBw2wDQ/+I008pSiT7 8IOI1sUukvm+NWQgV2M9eulFl2RWmMUpTCPkpDWZccGwOpyRWxo2yirzTKgZ9XUipWQJmwB6ZaOx vG2UD6rMENZqSpvYxqcLg1pCle4NwQFgLl1Pn9te6vMVuyDYzpCPryYkXSe1E8kKNztL66bhDQHu JQ126lhodc6iP8aEiSyfrWZcsQHSx4t4l3mDZsCgatDotXL1UwaIMm8r/EsSMoytE9ue1lR0zm7Z NP2yhkbQwfrxN98ZiURgLTiUZgHftKQOUoj95rBmEJjco8HT/oRgcZdw9aTEN58HDaW+xirJ2g38 Mc8HUgW1nEIUY1CDi7TyH6RcYbjsIxIk6uEq8gZqhpPeCOuPhYR08ywEKkugZEt+uXymLJDCKjA2 syHaemTIT53SKPLCUawbUZXZJ6+8loHt/jmbuPTV77qXP1F+VayjRgbPRj8fKpXQziaYvV5Qrfry r7nMW7rNelGoTIrJbEUto3k+XqkeU+3WoRyCDfsqnFH3XqNt4eigjKb3FcwoBW7ujxDHpyzmpX40 Owf4XWwLXyFN6vRLiVm/04T+HGTdnV4n6LWfSGkmx5kOIx3Jj8SpepmaxXYrRddvc6FuXjvtGU3+ DSG3XYVMKTqBbRzPN93iyTmrDa0qdDAj/L+rto1+EkHIQIqReg17qnO3BCkV8IuTi83iLExOzDgL R3Qq4tVve9X2osfkWgvBoOoGxuZlzQMka4oivneYcqHQmT3YmgEeUDNIuuOdIClG75Ld8xLUNNyI /lLPjI5uYrsUGcLsuf3WI6hnK0hRHCifIjN2jpxco6pMV+mF3vWSxTLb7yCflHkGPq8qlIBgl3td W4wU1RocW7MvHO6qIlSEPhZ9hZrWmIdjqpTJoZkD9WnCk5hV6Tv3jIvVxTVnZrXoOlXOGjwHS1Jx tCZCnA84rewkWyNAq5BRbwHmPH1tbFuZWiC0fuM1rn7lkq6FaF7mfCdviks7aWdTH0Cxl3mb8hqi gDha8lMyrz23JFNNldBAxyJu2UEkR1pT8tZDJWb1Pac1XuX6LxlzSstAnTklHhXFbFWGvdmEEv/s 23YSBaCdOYj6zrptkJI+WX1e/RfTzjVJE401pNwH3DApGBf2VOxUsQZJzEyJ6mfXIbfA0EVFqxsZ WCa2FuCQ18bu/DuRzTWeKZksJvhLo33LTYCocSqXA/YQW0x8qEqdqlyn6uEknVfgxh6u4K8KQWGh ftOLLEKY75PM16DenqLHT2AxDNEL3FAYQkYSqyMzB0VYtl/n/T6YBF8pkUP0rc2HwgNKQDs5PZdp ENXNAq+Lh9m3nH6DgmakmPo6Vx2n8SYK+aNDzdGSSqeyqCqwtng4P33orKmlfJfjec4spuEZecjh cJkMhkaDTQ8o9710Z1UT+32XCpchIA5203M127PU5RtyyDKdXmb3qs/AVx9a0PVcApKb3pOby1W3 F4ty3h0DiZlExdt9+VLgoZZgtjMvOdo1mt0td0QCK0kRfw0Neel7qex8rhTMHJbx584tJkyDJOSU kn3QZE6mxKMTwmessILf+WruPNFLtJ/SAyR1czzTsrkcdAB373Zk5km3UItnoj0UXmR0q3XEauc+ 2cFRN/mKS7jCzeVncv6XrT4izjGp5qAAL5q7J+sEIqFBJggeBNTGsBjCQv6XeQQIRwdpi/QpKUaL fNTcaOXUFG8L451zOKfTyKFcvAHBMLZ2VP6f+w/7aNIbwjy1U7RkmXZ+nNMWNBFIENKFCRvy9pTv uAbe+HO+uT0dC+i/hPG+9YX8rvQml54G+1DKyrbSvMFSzw7toZMUQcw7U4vIHCpDiZvhlNt4YABy 8ppyGwEMFwGzap0w15XNMkUEkaE22YtP5yucvJ17wz43wU2qnDgIec75G+eazDVgc0HoNLXxF5HH ARCeeQPVOmACFAcjdC0aJfUhJ6EnfVo+F89bTZl5Sa9mWRaYoAVU6f/9mYgVB8BQ9JfPNfwAYjx9 DhetzQoZkmhhIC+UDTWMF9bz22SQMsIXuxJGprgsaDHPDm3/kkfkqUo0j+RBqwify/VW8Vp/1SrK OBzqEQq1UCHG3If0bGAlAttcHcRoKjh+692KoQxtQCfxr3KvEsLAlvE4YglMc0n47/MHqDAGFCRs jpjZ1l7ioSgFgzBKFzCZP3GP17gN/U9Z4rB8jxmHs65JgnWcheQk7m23/EFsrXeN1O7ZA8q2aJ9O hsXJAT2qdkg1p216PI7hwn3o8rEnCxC7JIY4ejK6Su8RQO/b2b/aaQYuHG/YR2Uq018bkJlBTTKg ZXweBuZEznbBvQ9eUfQ3M6AyGhrWeHKMqhv5WjfjSm6ec9BzBVj3oBn8/1idez9zjHRCth+Jggko C/dgwguS9LbwM7jLcPUzmjYJumLr95BjUMbbNi4D6hoZnQ834RqBypjOUEh7td/U1cLj+OMU6dJm czytWgdl+txaDHdM0NDwR+LaC95xO8vSGIJDG2fWadMV9xXUgiA3GYkwGuYFaThpQzfZFeH0mrRb otma7AoDpDY6X9ysWHl+7hIEr8S9AP5Qck67hDOgY7dzN8lyGn95xEfsP2P1SjTZJVxppdjQpZLG 6JolzmFkKqSYQ9Ic56NDjgh+9FwP/6US1yibqHrYBqbT14h5mYqOzxNmAQZKTgyC70uWKTvUDjfD dmQnXK5uF00VV3hDSfteBzrwdrvLFbSpMeW8nuAwxtWHfEbsxhfZJnde1MagI10Olw0E6e8bPayc naSuekasjDIUTTV7cclYbF+tUgW1vR/kgvxscqeps8W2axRuR5/WUuFfxcxsLsZwtviK8Ex5Q7aw a0qO2HUZEcYzZ7gaEWiARe4saM8E3du67oa8bM+OYGEBb+LNdv9rqFJwFXdzhop3nXlql/7f/tE3 lzLEEb0Gy4HJn2PnCeosy2yu5pNDp92wpyecm1v90aLajfHRDEa+JD3lksmvnDnKRt52tBXvT/gx A1bJDPwfkPaoSAFhMi/HPv0cKrPJ5qCIJeD5eJLngnEiaKPf5hmsvORxBat/L6OuXFTmgl3beRHh IIHgaooNLyTMq1soZkjHUOPjLYks/xuGHmu5tWm5VECthzUsTiwiqMb4kyvqec78YiyqZhhgb/Yh zF/7Qw58jCi8TD/ate6zp17d6BmigGM80FqxkbzHTA4trrjecp0kll/BVG1LSPUSPR7sZzlyDPKU 3gUxKY8kr66/Q8LxpXOdxtGCnLirszcLRna//W0up7zkJz8G2FZGVxnR2jcZMFMV6pKbMaQ+6BVH h0BxUqYZA1y6sGXCpd0K+IePk5XVBjsWU8AjMfZF091iuLI7qjRHAd+IQdPlEO8iPoIjTjMWbgVw Ydk2pmT7LuUw5ETydsHV3+IRM13skzmy2MCqYyY0xay1XoO6ovdKdjjRyyaPDQFqgFXZc3Yk3YEk tOoUmn767Nv/MNuNkZhvEJ1w/YvOs9E4hhv4VFLpZ2Yimt7QRUyXgzwzAKz5EYWhzkaVcTTkPNtV L4mIv70YHbqDvyeNMbf8RMXCXEwmzTf8mRnDO/6Ogsy12Q+/l+pzDeHfhqDXprMM0in3MWQmPDuh 70G3PgB46SXRXCKANEkp6vYSUq3t9FA+3YMa3PlndOjgD3vrUIM43lWM8SYjKpqNSknnIhZWOLD+ MVLQDC3XuWy55aN1a0n/xWPbi0RqwucyIDcPv8o9fHgFgDZmKQarDoX8EljhCMrd0HfQ6rFW/c3R z5o4ckVD0XgCMCjXMQCsp+vHxvMj3qsFOxpnpoewNFklobBTPOoerZWDDOvyzftngcWCBLjdU5jL Avz8jYpa6YNeVilEslehCQA6joi5D8iHGolq0AXnw1STzozb70tK7R42ClHzw6xvoI3cLul+BWqc /mft8ubsHV0t+XaNeCRClw43ehqJFlxU8VD4VPgm1QJxlnBKCfbaYJRQ1nNiJG9/L1ZIdTmyzw5a HaEv4jZmdu1dk3yHJBAv0U2bRu+hY5UYZ4m2urK+Ulr66H41wHE3kk3ZcIgF44uqtUq2/hV3mDZ6 amlfnNuNYmnpYBPvv6wKHNpNO6zYIs7fV4B+JeGrO9GlZQ05ABa3NPzyBc5geFKpN0jJ8aTEy8CB aoIz5VsxujngBkf2s7DhKV0Qc19hmJ7CX+KLnu5GhU2Tjb6w8WzYpEfOQw1l9BCXBHYTjP0GbOMB uNKIPHI+fZnsv8hR4PqjTfmGtW6lvRU0ffBDK6ngQO8TCauDY1Y/B6SjpyxvGLigoyJ517vB883a xDS6I9kJxgalRYINM8yJATCLR1mOrRwX9+ilaBTgDKdH1bHhxcew9/7Vqws3Rzo68YMobS+IlMnX OHq3saPQWTAUIKKKQ3vZS87027QBqOVnm/JLiGvpsOzU3XhHxZ77uEheEAt/uUIuA9DyqzkZ/ks1 QjU+RbvgaPC1sdCr3XTgLxibvxK+uPavN1hpEjBew/spaColmyAQ+5Y4VL88EJSSNo7bXtte0VCp Zc9DckmJqnXIN5tJFtjnsvk1eDZC6Er35tTjNEbXecYiOntYdjRqxdSL0T/rnqjJrcGb3PJ1LF30 b8J4aoEjH5xdG/oVHj7GP+ykfzJIUTdD39Bo+K1fSWWDovi62WFtzlMOYrle7MYO2PV9tjTOGN1M 1a6aoXOung3PjlHW4JpbIE0JTmiua0/mA00keKSMdLHn+cbUEUpqtkjkwkv2Lep/KEKwAg5DCYNu CgFGGiHpEt2uJjvXwSPcg2T1okRYUP2p9vhystcT3e5lAGXmxbe17lrOXrqf4rNuo/dxDlNTzwuZ Sna6+abl1xvDae6AMwW7sdl6CqhUPhBii7huzKd5e/YrKliFpMxahLGtIEr/lX8qqfkRhf30X2bx PjdZvErJxr18vY5DNRtVSTeQxgoJDNsXoUQfWk13EucJAEqpdPw5BtkrwhHz33fHpKs/JS+cRUx7 hJd1fBpnNJAqbkyoomBneZOVcPCu7LpNZCvqYfCO6BKqHRAvD8kbZJwXEZzO/LQxaKTAm76Pc52n ik8MX5ETe1U7fnb5EUh1LqhTHdZZJYTENndqFCB3Q3hx+DFq2MVQQLvAP3LwFxBzx2+ilHU95OjX y4EXjRT0cIcB5Nf/yQ9V6YYhnwMch3HaVfDCmU1XFQY1HgJzgkejHbuzRuCz/p+Z3rvu/LqncszD AmJUvB1r1vYR8Ru/IDHqTNT2B5K+8SXKAWiao46Diy8HgSaIxkOiAci0vu4uECInv5ss01CjLZ1J 38U+Kw69GSCoORmSyL+RL/aUGBBJAdY/+JULfPZS64Q/HuaKhqLdD2Z0Uab5/H8nXkc21xrUOtyJ VfQm8ttLB9MPjNsidTgOzvCI7QcjCeY2bb5Pm7ZfMRQD1r+SY89wZFCPdOSL6UAy3BwZuAByI9DI L+0TTBIiiYK2Gj4vSnReCsMBba+X2QXwmFMKaF7Jzr4S6B/2Nku2+m9eo6k2MsTBDQw4aP0G3msk NVSTEEkscKRcpob+Ykotnwcv8lCZKWJr6pBLqfKf1Wxg1/nw3HRpzL6ZqDNP38uakNWvHKU9FSLR 7PDDWucp40NKWGlBbw4zjyFUApD3DXAwK4BosE1Lx47MpXwC5e9u+fbA2fotdn7hgTrvNVDb/p5Q vhQ9Xn0re5rkBcP1d4PZsLd7vTUgSL7VeHrxpAYlbFPkSQbgF0mUhXayt6nFePCbpj2KiUZ5vQ/2 7Nt1ai5B00t5oJqz4uLjNeAQl+1/xjqBbszbU7+3XTx1/ksg/pB/ZvP5hMhs437jRy1oovzJjvv7 CAIgpmH+p/8+q8E1ew2vFfzTGxLPMUz1xrqv5qGBZG4Lowcbcu0tSmAV2K5AgA832CZsn7J2jqDw 4vIkPqGpJU+vk8i1VaVY6tOGLXHHC0wVHA1O3nJwOMCB3vJ+coOsPbNZ5gWNTbaGUwiAr/L6ppd4 GbAKnOnwvgts63rfvXXBP6hnaGTrbG5xEvopyjnrZesaEHUiToTj87p7b8eD/kLLobXEKsvXbTh1 hC4C6x99Y2liJLzps+YdL/+2qbKRMUHXm/b75/FzdzQHqahmEzoEvU6plazEXEbcIejuG1iMo5oX ZzoXiLYcB1IMG7vIpmcrZPWUbFuZAuhMKvVACHEZ5i4gP6wRXCJ0XXSa6Y/cDR5jWjcJcPzQgT9y Bc4z2fBitWL6dwnJigJduVuY5FLR2ARfhTfUwm6Zhr7u6n/NA4rl5upuN52WWDQdCdHtLk68oqVN uxd+J1umsEJ8Ey7MZQHtDoIIcbw+093jP2K0tFUa9q8ZLqUrAs2sU4RYvwjJCpPkXcBldE3b5KR9 mBg/110uFxJ1rOHcNpNF9TXx/pTgH0K5xV5w8U2rej9QDtOEgOh6D6crkPB055v4kiooMH+WtTox UWwlaVfMgD1J4TjOfknFzKegf03R7vC2QAPvhXGIUK22HOGEF7RTrIRdrIh4TLY6pR/cctrbO3v+ WxB54HWcfMH+O1D4s46Gy1x6+LQyvKifRyUR57XyG6e+6ncelsm3+hQLBs6CLcYkG79jJ3q2EwTP aFeONyZnGrBUSbqcODSr/RyGinysRS8KhVTChkI7DqwDfGnu38S7LuW7s3g9elUeGKgaMZAsu3hT m2C2f+zIG9uXFDox/Rx8WTexal3ckKpxATXODfHl+Oy2SKLetn/u2+cYmVkF7TVk8lBMWMsMc2f8 e2aW7BshH77hs7LhTKv0IkEAZe+qoSw/sX7NSbelK5kLyqm9hEh3iEhaPxBdv5sQAP05n5bxvbbN h1iS/se4HFVoGum+Pkym9LeNphqxobhR30NZBszPMHzQ1L2MGuWJVmEYewKZwPgb1w/in5NPVkuk 00zapv7kxaBHkJ338KUcVxJEtEznedA5vZ+JbG10XiqiKtqKiD+VMCBMHpK+sWCgiDlgOLnsMw9s FW/0t66vqdm443ucBwM5RYuMAye7Tb/v/ni59+iSTzlNJrtcdYu7jT4P0ajHOojxfMPxepiN9HAH PHjRv5Cwf6wiaWM87gUbkHDRotM1BEeD4pxx5XB0LEj/ty23omD5ghpH0YGXj4CgJ0t+NSYucK6D wBKLkvnAtX8u3fbg6o8bB7Dh+yjp10DLhjOr/8SuipjzCPBB2AA0bimlZVaY+AyYU7SOx681Vk65 wKaW8ikpKWfeBy8GgUpOBn/HY7Pr3M6ehsTpkK7F8YCbXb9qOn+GYjPJ1ITcUkNFk6T0GViB57Yc 0SR0j2kBJMi8ZzXDdjy/TtTSZjSoHgvnl8YxkeeNbXA5IbED+KunNqcgeRqoOftgFWNcCSMsp9x8 paCEXAgU2stfP1ev1ci1EWVIkexMVV01m1IkGW4XvdTh7JdenuC5GXL3Wg9SQs19VsA6U9j2FsVS IW534Rhf9vo2TXIYaoVsmA4Fm3HJsgjESvkGQw4qlt3e9qTl8fqtE2CzauPFCwLLf1JYTVKcdB/N wxR81laBf0NcbbUYCRX1fisjnSHHuESVUg04YwuEcS1VaMxKvguluQcsCYOVEY0p+5e1Sl3jkk6n W9ujYziw6fcYz/2nOoaRAfj5QiK/wFyHN81HuHvhTgBaVN/O4OXmuEmLWTOq1diIoNBLKKrPPEZd EDoxef/LXdvC2MjqjCwnYbMdGvEpbljOI3Ur5wYZUSUmsjxUjRyyVcZtrYZ4Z86vNPISf46CgsiB 6IAUeWcuhPeFH0cy/gM0AI28hSOnECrh+WankCQzoQ8oT4bzr4gFDtzO+AfFESEY6iClSWiGnScx kng3l4zKnqW0aH7mE1QdFWhqcprf/Q204ctC0Ph9U3tIn0dTZ5qCAY9RLSlVpH5wC7UkF80A/HQe +T+GPp91QRxX+ZLfDfCvykewvxCWBZcSdgy54qnYxEc8eIQ54Ia4CfmRIC5x+rKxCljgeI/DKVOi b95DiJENEgb4MjezCuTIEsLx+OH3Sn1g+4hrb4/Ol9HdnC3WcLf5AkcSR/KK1Itn71w6APiSBQEI rZuv9tsnDm6SdS3SEtOBIMH0rSx6l50mI2uB6O+NXjRCUoc4BuZgXvIe7sdmE2R1SWfT+Oa60HZL QhMRCxNLjtNZ1BIUvu7Q4R/Auau+IBAdHROkIatsTuqradLxYmk0YpnjkSZPlb91HxJq9OxU5jrj ZUYTZ3YnukYc5eYpF+cy1t21ekRrUNu2gBAs+demF7fknUPpa6HHoUr34FbOCbea6OL9YFEoMIAk IZwvIPxKScnWAfHZBnb0Vx5o/aGHTgOVB6GQogaJZWzx6s/nY8vLf8YLgB2kVsv8l4/mpc7QvrLK 09Z9/NNQocDd8pt2V3CHrMDcG7/rR8q+gG8zTBwRwb/mLpt6iKbWeCY59y9RDaPPK3/BXH1DN28k IoDRqqrKesfTbB+JU3YpiQ655TzXrCITpz/wqDR3bv4t+h/IooCER9gaCruUU6WS1UEFGmbB61vk om2o3/eOlcJQvQ9iJhtrGueySEsBvF37WHgb9nfI6ERAlq9ld065RYe/7BW5ykYiM4YDT3lcv3xq z6FWG4P3xDNMyx1dBNvmp6aYGaMdeOo4dwOPsELc/+yZmWHNORE7qAw0clX41LF650jvKO1U9vbB wS0nTgVYk9Nf12mThYBEvdziz3jUgHJnV5EBJ/6vuGv3tQGOWSTmV1DHMSXrTuIeDblym3GeMbdf gonuq1axaQdN8B3RZg+/ZBPiQedBnn6KAJ/DkDvVpzB6W513cEh8p1B8Vu/NwgsT0oljbZtWPRl8 lXiWsWfLH/Z7W0hhuQiqLaVBJV0s2L1jVhjo6WrGeaf9lwuNb/nzu8Gw1LA3HMJnsHhUljEHzAkV ocoeLFpLWO+Ox26OV57MnrWg13sW6qWmuUHKDDC5CVHcjHb94Lw8rE7rgD4ZUAMRwCi0RJXKLCvV SEphESDQfHBDk5kKohjJsAxbiWmdtSudq+mG/B5sIKWUwM+6/AoFQATa8xQpMWjfrN34DVSsrC4D wKX6Q7ik++7BWmj+WRAgBsJhuFfy97ifpF8YacG82/6Xdpuwa0YWV6yDZuUSQMr0AehQCu7pPLh1 ftYjr/cpLPcWLao5jY/z/Lq5qnxOA0Dor1oOUI6Szzfw8uJDO7Oyi3css+aIp34TNT/GwsxKfqUy 9aEmX+clCVFVHa8SOWMHPQllpymuYXJwL+7Wa6QXVix0zSOS3bD23Kqj/+CF4MNTrm9tcoMBt6CL pOxWn7V/+vYj2qyXHmu4qY83n4V7QDJl2IRQzqRlu/qAKBn2tzF+WjtMF2VxFwGJn/bki8LUSG1h 6Hp4gBjbz31ZT4HHD9tfwG7kqToVlSYIOs3d3vKR3Y1eKzCno6CTtUUK+gRHHFPotktMqoQ5PfTP eEBIWB5UOSA30U/q3GUVWB/E0J6vImliYvRO1JglghO3MuobrGC85N2kmo09G8ySzdIUvqjj2k+u eJoDMMFg3oIwfvXBr5iUJYRL7C1T8rkUxq086L7j44gQ/SLg3Pcuu16vmp/sgyu1TERa3lDmBZr/ ITXU2k4Lokuu8zzrb8ton9LY+no836Uvi+aQu5Fa8L5AXPSskgbbbPdRJm5A8BEHQnZiS/MFLbBU kgiUc8bZjjs8ctqPPU6fMRVJjukz7EqqHgBK7fesErUuAlBJv0lCHio1fchtw6i/NHG/NO2dYeWC aQCPpyWpaV0/vWyash65JuqIruqkhLkPnBlx6KRcYPl7mgY6y/kCkq/gHm8LHKqVuJmtecVHojKx xOKIp6hVnkgkLhviPRog/OoXCobNrFV3ds5kF/qr89W974ryPdhyarh0gDbaYLc2jnA+BwoEwm+Y 7Fud4n/G+f5G6tBSOY62PHzgKl6AoDGF0AhvEDc8N1IHQSn4y+HSZqM5POdkQBfI97diD80H1e6e WELWnsl+DqlHkzOyPIL+wA0UT8NgK6uZOSZQMAHqlBcCDZn2fiSIXoFuXqiWMoyFmSMqxLUQum1p iO1j93RYu4FFb+hXyONiEAY0IlxR2D9TCJ7NarZQOa4nG5XSS436EHbhTe821RTWYRLjzUWQelhF zw/LYBzNYDurnAvdAlMRkJTTvrfdOZt61bLwwX336+OWyflFd9GSJ4yOK0a8wy988l8NNhCF+ziA X6Uold3r30YEO8g/u1Ay/TsLwkmEErzTqw8QDWd9glYQIw+210gu2bt3e5CpBQ4ZUCk0vnagYeio gPRUoceDe5eQ+1H/wcQbq8j2T/GBDTyYMQATSoCnewtzvG6hWD3gdUuoo5LqSs1j3FVCoSCvMdhV yiNca1hpbuZDBfL41JUqAQ9ZowXijGkzN2CHntS6Oye62Ej9YHbilcKOFDQHDG+hB6Uy6Fvs1Xbm U9vB3GlErcpbdlKu9EEiOM9Q1CLrtd/iSCp4RhvVCpILVLXaG+cXkPD8liPfUjvacGjh8xqbAq3E +pr6TXCqG26SOrWqyHcyU4wqfgC+zGklXSBdcqItAGSsux65zj5XmRBW0CYLAWbO8hYdbSIKMoZj tsDI7R7CfVjgV479O0QgJZdwQCwXT5mHqLMaKj5nrkqPurFdA4z5g6Gj8vaYcq8fPCGitrqvz2H8 mq/KC1D/2OQY+A5LMlFRf3Bj4dlZJ0lI5iOS/TjRQiKCfXVSd/NvDUIIqFxofjsu4QRfcA8uqIwS whRcFAC9Vf+iN8oyfTcqQxsHWi55D5D850sT1UQMXuZkmPADmzHCrZH0vux5YKNTR5lj1SmQ9Prq frqCfqktYJii5mZR/T+3YTTpNEaNYFNrD9udfq8yPK2n415zkKtA5XhVI7FSukBm5sTeZn4Gc5pW l/nCNgkrNGsBnaBWsK2whiZzjSz5NwX742TRatDa4XTx3DDSB2WkGdwy4AKk6QfMef/uqAiT1UDR 9a03DyUG+uXB47rRCLrD6Ms+H4OoV14hddM7gH/ctwva8Ub2+lqz1fyabmxN1diEtFx6ZIDLAduU bL47hx6avtQ7jBZa9uXQpA4zYjJ60yVOJygPHT/TAsjxaz+JzGDmbZ9qAwUjzFk8BRu8BKtmzp9B ywnng3g86Yp1pVhEo+XimDe5kdmsznKUdHhAvpYwno7j8AjChWZ26/U63tuCO5GSvjj8HrA+FWel sqgo0PAdAAyvpB3RzxdJfmEmpTfhFozOC6CtvdMAdiGgPmvdAOaupuOSDTbOmYHfz0wwJlc3ReNx 4ckfVlJJdq5HFsRZWpBAI3dqm56Z1oTSH8xaJM7vkeWpQZyYectdwJxBW6k7ZM5NqFVo1+2ugiRB sWzt3KVJB4517ONdW1FEU3kVvqcoCvyR8MC8Srs2fNKdPIVB8ng/F/+1bXUt0gZIVpFbltCoTU+B k04XcQjaMX/zqg79jeb5KQTNATPqsEXGOZEF98XBW0FOgtImgpDVVdZUew1rmAoXjtZCz1A0pR6T TvD+NAxywF4OhPVxvOFk28WPzILNqmCpzuyXeFy9CR1DcKYQz2D2oc7PYgbdNw/e3rZxcLI4HEiu 3iQOUIto/Zn1BlVlVwYnJFnMaIJuNeZsJLGBG7ghDIcJMYd+OM584o1Roy/Gbbdcc6GGD0xGVGF3 BL9V/npjrmraw9yJCZeHAG35n9KXwIweS5+mB3O770VU4ay6JVl8VKVRrH7R/ElSv7+vho93pq+E R5FuSVG08cR5sjSzDEi1FYaKHp8/9/oPlOlu7/R+mMDfpQBraNbamE4p8sqZaSwaZcp/nqibTC5I 4+/elaCRUEGjIhicnEKkL5zaUxIW3RgHzboeSgmVZsD66AeQ90A3eFD93nsl/ABnAuXP//qzib34 sa8DQZ5Bx3ss7XEQmjmSkJPUk08pFYTReRTGN6j1+b7JLxlOS/HUY4kZO/NRgRaNHij+kFqcwaqx DTU3GWTOJW6WD8HDNeSk78SlFoPcmFD57OY7l52OWHR1lPFPv7ToB0qc65CDDXtfD1oFsOPbWgFf esNPxZAldd+nTdaAE5MAeLxlgxVVoh/ObVAQijxfFKeI1FWMOZ0RycL9VsA9RtpYtZNiNbC7MVxI 447Frue8LuS02YnJ8so4RKlVSlscDREGSVa1pcb0aRwiI7zL1wJFFCw5eqkOP7tj0xByLU7VQ/dm ZRQGXLW57D+zInrxZVNxpMg8/WtvRQQ1FP98ygZSte6mWa7Bv7IQl97radkeRLfLVZMxIkhd4CbM NPEmwt+iOkC+/SgHvajGTNhryzUI5IOl5Q/lrJJFFrnXskiseR5x174MeY9K2RObQ/Iicnj3biC4 omboraEYgcT6oFX/3hJhaHpR6kwt5OPzhSOrWA+Hn9UQquw4dF4uT6004S6j0ttSiJaJxUHXysNm 7kTa764+HbsLQgRWSJ+X03IvhZ3r3VWDsP7Hmraq2ZJk2DPT6KiuZR8pvDXcpvx8GnNvWSbvpJjy b4ziYEfyuaq9yYe6KdZCVt+U1r2RLYTUDZ+sYYDIl3NuQjuou22CevK7OKADPB9ZjzHwu3cZ6CR5 Yl+k4NmVLPg8ht0fg/Pjpl+ETAsJNQlK2xhC7ggVT5H+aA9Nyc+8REXCRLi5Tb9Qb3fsAQ5w6rq7 r8vr5EGcZ9+5hE+Y4QmaxEM5BdQqNhvysDAsJ9AQ9kxhQ8t9gdhH2kjCmIX1vJNDPCyyok1dpc/k 5tC7Qcofw8ovW8qgH12I+nPfJvNOjuOjmxZfruZVYdd82T5qYfJNII+Og174idf8zzn/xt0ORHDn 2bnx0R5cmwStExpFCZicUf7kEvv9WLeXkTwF4J9ojV6/spZsNfjrk47THd6XkC84GeU0g/dCqTbS sC9d/A6nwCMxfUD8CN5GOqkpOT8C11C02RL5H/P9SnSCGdTJhFKR7wE5alhIlcqt7FivX12Wsf+f diXGlDR/5cpmuMGyt4GZhQDDMHek+ZGMUOTjA5VFVtQrVy+57P9K4WQmYWjYeTAGXWC49hra8U98 0I9yRElAZZmBZCQJzcldpRIEswsNaXILzuRGhXM2rPPBJFvvHefcCrM1pCqGJ/HLUuQQrhn1Sjxl FLPMB4/rcfr8U/PSCz09f5NyJRl03yWvOvb6sjm+7GNkGTxcIi12IKxnLcQ7W9cW6C+9vZH0pT6t jNMwc5NnSzUcd22dsrTT0udn3lRMVMuDFqgYmALMpnnv1bo6E/E9eVmiJR87M4PpO9AVvVBF0fJF ISUq/vaPhwo5jT1DPFC+YKHL3j/GY7wg+7oAOnD7KtvCt+P5i8sP5xL5SOtwa51KzeODuTtdt6nd C/ooycxFpx2i1LUTPx7T3hZ8GN++PTqUg3s88LIW1A3bmNoxyJlkrPwXyyTxCY2ICBG+rhl2+OY/ RNHzoQPWjh+bN44op3ZvILCSDxckCKm0AjT0j4V/16q/5HwZimqOK801dKhacvxqCrKoEG5svMS0 IU7KY9lblCxVMy3ub2sijiZ6bUOmtyUI8wPV6wNTd6SpV4fkQQxPooHowAVwa2dLv3uqCRJUpsbh VooKtkUpDEP18/MXcAZNTUGwVlEiRxiDaNqf1JUEWWxoKJzZL1PI2egPdUENkeEA3MX6GnBCL0Gp mKJHUNqRbzO4X0utO56jN5Y8NqNNwd8NpWQCHmgBgEtvAombjfw1ARIM1vnA5ID06jf/LlFz3evI rgo+Xzd+M1b2gKMBsop9hI1RyCAU8BpDGl3OH2ew00g5vBWqNT9AFMN8jwmaWKNra3LCvVmPjuEp Xr8wjd7p3uwsi7hc4MyQJIDoCb0w/Fhn8kAF9t6Y9s1ynZyuRo9j0Fcuv+IzpvcD74EIl12fBdqr +ukBByyRCbixTLFXF0zr2/DHsYpR87a0miroy4mxtZw1nI7HIcIwEPr8+Q/4ZZ8Md3cU3qL5k3U4 W86thWANBNd6hfIiXTF6mEych5SpMwxquZ86jRXUXPlmp0SFN8hZnTbsqnqQW2fg5N4GLOTy8+mM UlHjH6rgee6yhBI9/g1BUAFOzwDv4WLHZRBj9yjGyrnuGmX+wAOc0wmiOZ7w4uaC5oBYI6Ebwc2M Zg3+YcAiAxXCx2hFerba3NALswT42CPENEzABuOGQro88hTzAwZJ5Z7MYZBOULnSIpdgBx7w8PRE Ost9NbB4nqQhx7CJjK3iUVNeob0Ao41OtKA3szxYL91qHRnIwBSPOHgORcdABus0YF1bsIs4c+Kd qqbqIEp2zXWsLpc29nqGvWsZQ033xp+Gwz4JuEgj392Owh98fs3pRkheti/s6IlGbz1AeYNL0aUO yqjONMx3x66DyiB5UR5K7Mv0NCYqzdPFITxnXBnohg3D/gBjhFFgLAnIiTtqdPfgalpsSAaHtLAA XOrhIolpnzefJE9icSIzq4tL1xk4j4drDDE8w8fPuXPG4ak0cDHZG7JrIx6ec/a57Uon+kI+GViU YZi6qbrhCk5H9iZneVFUjXN/sy24tw/JTYOtnRf07zeA29FMyaVr+2/DQBmCmgs6jy9S3p9xNLBR fi7iRRWo5idl/4b9Uk3CrXoSMbWi2SKNSJtoFmzm3Y4D+UVeVO+7NgZe2LTbVqneV/F3/jmobR/g W3C2/uZi+h0tVNh/SiazaB68odRWmhSNrF1cee37dYGFatYcDwNt60Pj0GjIywSaMN3qreL2RiDP 2/emcezUlBftj37OLdNM4kOHGdyy3tgAwDxpxWCua41RZGjby/tW8QRjo8BX30Sr1O4KasZf6bkv +EYqelbL208QcbKmj2520w6fB8i9mHBaczDNdI0MvX1ssohIcGHAuy9dPZE+cH/IwNXU8RHS4gvr rDBQgTv0mF0O6IJimQlh24p8B2OuRRtmEQWrU1QlVA+9BeaW3VnoLsce+AtI4+22L3L9/l4c1jwm 1kw0GFocw/E5d1kFp0nh59PaAg97fTe6HGQRH2nQUcBWXE0eTs1uuSnzZErGPJkF5bcaR3pXXY97 ckJNHqF/dPlG5bpDXoeReA1PoOjc1HmcanpggVFD3mvFZJhs3KaitnBJj/sRi2V6N5pTVYXOGytk bQlvwZB5+ugzhP4XiKIY0e+2UE1f4SylQG+9Mw8EPBFXMBqZHAQti+Ezjry73C/H9aow6WMgSJUa 4IFW5Zzs5tSY+V88PLGvwt8NPNLCVC1J+c/Lku/cErxLjHMdjPGHVYPCMx4eRuYdjGr1a6hqShir KE3F0H+/8FZffTg/hnTm91pYlNh40hCjdvacFeJ+R9VgnNhEaLWWQilUVvRji/DQFrNQ5eGDcHix 7EnwOBm96XcXRszzpT5Tetqz4sJ33QYNi6buh4logVmsa/kRTs6mjkpa7dT0QbxvKjsDWhjj6hPF 2irJBBilJp9xpwKHPQs695stdT+FoAIBL9z50QSKmaBQEOXbFHyTphZK7EJKsDmzg48ScQdswx9p TykRwWE4Fu3oF9HlLa1Wj4zVH5k3CdbUFZ9OylYWB5et7Uwg/CvV1NDA8SL1Ify7Ri0QESBkuFOh PL/SQjAJqXNEorhjv9osPfWkMI+cWgG923hsfIY/NmNvh6Xi960SVJISjdNmflVaDQAQHQbJZern MBh4Va0edUt84M9BvGm3f+842m2tUwRgLa33IyDPBQ1WlMgU+GMmOFLsY5ZNJCBD4iBvah9lOWQq pHTpjZsKxGQXRjL2aS729H4z/0So5ozNhZA4vMODvA93kFwyXrsA9/37T9avD9bqnv+MUnidVeKP Ji/RFw2diZkWH81oduyWqjVXs/dCPxi2H9wSmosvDnWtPlkQVgWsaI333OrU1MXgkgK+H1LK5/TC s+uWKNunJJDmwfxxcj+IzFNnoKHLj+0FM7qW2N9RshXyW+TZVvmYrMkVmw44FzSElDwDtZsU9Ia4 98AhXgHLaqxxDBUO+9qZ+bPfnDkLEnebazAuzYhxAFSqLoI5fr2+1XQKBao0lT2yKqJVlUc3Zqp0 81EqT04xqsOv2ynILZvuFgDUJAHk7w8WLsF7a2TNZanmq9fUu84Jj7yR8U/aCpc9vxHMb8BijVJS KhkMOHCry/9h5T5mz5Qa4t4/0oWD3G4JfY86+12jvgB96dZ8BE9mYaHp0t0iTdeMvY/Jl2+CEmFE cgwY1uGM0CIiLFmr3sW0awHJou5wmL0Qgo7xozhZJQpEPYly1y8yKQNLiybdWGHUHTZmiKNauVQA aBdLkNgvqxZVmxw7lHvnYBba89yjycCArTUY3U3u1fSCkKTWS9ds3W5RI/GHG857opHfl/ajtw0D UadtSXyLzEAYZXVfMts/+/54dp20vsiYL6i9Hb+UFzDdfd2oXaZdhLbZ7i4ScpMGEMhk1MYzStbP QahjuoJ2mCJ1cWUwvlNft5mKI4Jlxf+/mGwzfWFWm9io6+VrmTnAOFtMl/jN2Ee8H/9TxqCLE2NV eVSGfZIqhdmxUGvkYfq75faeuUJTDXuG3NF933zG8l0kkK/JiuWqhQRklR+PgxPINyQZbuz4K0ia 5NoyicKeH+mmQrR86V7zQfgecgTeaSpFGIjQQIasK7hRvEVhOX9gjTBdN3pGnm8E4JGeZs9W40X2 yGc2bh/8qGup2aeYliCxKIQ4spf59nxN+xvbLtYZbyN3qN708tdhNXvKHVo0jxuQ067LdWaykK5N LwVvc4Y4smGOFTfULq3KqHKVmhWXvShgPHYJBBN9OdA7APKWpp9h99GluDHji9G5c14pgDvIhEF2 mD3I5/YNtt/kyd7+ONDlUDn1Az2Hxu0y0OdqFmOv4W1B2YtE/r2IQgggOUzRZaKAc7MUIANQg/Pj 5U4CzvlvpvYCYWuuobL2TyTFnJno/jAV2zgxZxhIulHPh2pbJqEbmKDLpZz9rwrEpSi8RxxCQAzI KbNw05zhSVmDVE8LUv6OoMX6YFu8VRGgaTaceBlsDmH7mBlQxSC1xqDTAeeMb9SeHux35s9gZT1c GacdyOsOJN14gazvfkaWv+8TObmmG9mpYaQEvsdiOpQzn6DinyeinQI68kAmInXBXs4UPqi442I3 cROxhLKXWONGuiBdKDQHca+vtcax6BLzPm0gbaQigK/giOmysb0fKpSsQYXGb8lQAzV4xKhm75vn TFcH09aiaTrx4zG8bzjFEHTCV2NJd8kZCsJwQCUkHcFXU2e7Hf1DDK0eBwhNSBbYhNRX6SDJKC+9 Io4tiF4vEETjub3nZTELgPVZxCqgJJ4NQOmrF1SJFh0C0b2EuJePU1rJfpSjwscbvAmeUTx6kMgv s1TuWGhpo+Ipb/PUzPkKj4KRRJhY/wklONd176moS9pdXSFarPyxensrCPFTwni8OU9d3Owl4xF5 wNCwIOyR6cNmnEjUzlIbwdqpAb+gFOR/KoLFFOUhcCOchln5nLzerEbpGNJ/sQs0mnsZmp0ytavT iBhSpqkFhNmPQ6tDnlZf3LTPnEn6ANyfg9dYQld1PTKqPit3HTQ65Qu1Ca6WcXiP5ftFeQ2Joc0U JMu4JhxKn3SLSMFMYEVRD2F5KhEmUGBoUmdLwBiSsM2V7ZtQYz++YwlRrA199YKaws6orYW59nOU ZfPMUImQhllolVUzftF20VQV2A4g5EGH/3gRGE/loLPl1DHMJva6JMkQpZHrEMKlmhmncS2z7oLk DW14jyHm/pD3CahbqCpAxMKlPo3SX1ihnbEss9jlMuOKnLW1KBut/Rj9p6lop1p+Fuiv3JZcpoCT Dz5rIkn25TqI9NdRZzdJBo7a0ZoW8rb26WWLw+wl7f8Z6smp/lv1/xis1mdABvmKgwp3KiniZOAe blcZaoqhmxgJ0IuJers7JzoWcm+rfMdscEw1I4kMVmdBmBjHQ2RnzJNhvOzaNyenofP1kUyWf6Lc t/bK/w2/38Q4ojL5ctY9kHc2ilKMYgqd+w2MYwalyL4PHZZ5oXsakMWKBMQ+DS0sMdIV+WCYXr1z P7+yoA8A/QBbtzXELWBC9ewQDFVAmAF1aasXxiuqol7yqnDJAel9/xs3S5+6iaq+UBmgLNBzbY4Y kefsJKA5XdDLoeGiG8iw4o3KFzMNi96wrzceQpfQtDyVVuCU7SGowNmferppheojGpvVDPmy99vt S6fyJtnaPuJfWFsQnVxGd411QCIdT61bSWbt0KdrxEgGgIC/iQtzrdqaI+arQcM0ngflfufUVukP 6eoUmdNutUVFHnaP+ReELB5EHQatoycbRDYjxQwR+BZ+aH1wHYd3yEnFZ1Ovzk9kjq7DzHmex9mz pHpLjbbXO0M94PaRZ75BIHBRKlo8aQtoADaFrixqIAT1tLdMygmrUbREoWyXUSnJ2Mxt2InYAgCS 9p8XARFyEJqTUPb3RXrJIwq7/OiE5ncg20VxHMsy0UlfBW8bsUfc6a8NPwwND3SZ/vNx1q4pWm9c oMrvOLU9rfMzmbJGZE1vICRnkgsXZjy7JFtiGC78wURCb7G0D1hM9oEIZPGTraF2OOFhvAUawnsH OTCM67onR4zheHNLXjSg0Ak5mIsy263oZIQUi+gWczXkuJNExmqRN3eiL/8XFPVSUq2geTVzB8km Mm8/zZqGN0h/82908sdGx382IXqzrri17hQw8xX+dBaNcqcmlSLKd8WsA/RQiIKHEu26V4xQxuBv 3l92K34euPWtQlpqX/zRkGAknpPDR+JTI7B/yUTsAPeJIi7wda3L6BCvRdHgi5aCmaZ/aDcbHB/R gpdHm0ePUBlhgU6sx5sW41njdF1ympLuuu8ff29aBhR/LcPg+q1sekWIPoOAtZDGTOwu/TqxPUrT Au9LOv+qFrKDNmHqcbT9JP06Dyv2Jx6LbrQRceeqiJxls06dHKOEaCVOE1sI70287WLCHMbnCylQ kPjmkwLSnGKB3XFjkAQEPyL7hy+Xh55kSPsWox/hnw7mAZBiX0/0gc2qgiGl696+ExzULNAm8N7S CI8m1lzfknj2hRL7VVPDipBwgZuW9EDAxrtL+Kl2AQog87trOV5cjOolBlo7Ja5ywRgtgQ9pkOab O7t/YhtlqUjX6RlIZLyVsjsPgkqJau1W7ndz57C2exvg4dPoBPchC8pXIeVf8MnyQiV0Y+ppe64F xiS2ugLx4AhGr/aNTRT+br1e9uGhD0+uLnzW2gs5pvDeesJAcCZFUzyaoUsr81EDGsRbHB7VwklZ 1Dtl1wSkSpYsuF6D5IhghGrcLYa2sM/dsZHyJ+NZ+8BmLkkwmsb/ETPE9movqDxZsqD5XuQaCRCx m2mG+nBn7OIeLBjZkiMp8/HBP9omszRgy/zRM4+UmUQgTcRdNXhCI7NGoUAkEqzUl+d+Ly0D9T5x PoYa4pMSh3b9lqI3jJAu1iNCZvzfY+Q+tGLBdz0n1ASTycZuc989igB49YbTlzMnxPH52NRO5SQl bhcmQwPg6rcap0+ER1CQSpTSroFJSNO0KMXd+b6CvC2kUD37x1RP/UemBHV0ATW2vl2rO524IqCF i7JIM8vSSRb19JZHo0dJ/mj1AGp5QzlU7YjmEGqbZYyLXQYd+QStojGNgNurEHiKgMLrAsLXpGed iqtBnQALZgWNr2ekpCSHBjFMGOkXQ0xtjKSGdldz4yJn13CZTkav8BcWU0vjrSkfcnR7v2rLCBsO lTz5p+QUDOoJzxNH3+O1SNE/5qkG5nh+8H96i3ZgOezT9+Q4x9effvRRazTOyOLthwwG0Iu9pUJo tu92icRo5mpHrrFZigG4jYKaIZip/ba2cOvKYt692U3VAcHwook5+MC1hmyNCbo6O/TpNkNO+ulS 6RS/jDqLai8q5lS4YVLWKFY3Toyu8ZV8ggyT0OvvLJo+sBOeILQ7dgfdaUjK7YOV0W+Nk/Vc6eBj ROLBnpHuhfKhVex7YFIEtrfjx6hH1Nx8Dj0jg87SCC8b0LRBASypXRhAsmEvwI1nvTys121J/txa dxXTVmU3bn9qDFUb6wgYSM4rayySZ5kwJfuZo8iCJ/a80lPxnj8qtuP3u5iy6fWxxrztLuQTpH99 AdbUxRxrSdXd+OtVxElBCbJLqq9K0HqbLtELOr1d/F3b4kQGlXpq8oE6zOYwNpu5zLOiOSdp6rhi 222fXCPt6M6wBoyQwa0unIOX26ZPG16efYF3I/2aIA4r9ItEFaAdIvprg0ZBdZYf7Hu+EmqRm8aq UgHXoQgBerLupT6a09KK7cbuoUpocuvqxVLlk42Cv3twxNdcrfUu9W/+pOGfJLjQ3CSu9HjQrZ9d gIkUvWHrP+NibNbH3dUYIEA6VXqhSoktCLX+1OT1OHjLHW39dYlnn+9VosC/Wodtyqdf0q9ABPl2 N5Oxs7LbVadk3lhrOClo47Jpcn8oQanAuec3oMKmT6RH3225zLnbI379iekrktroH8gxYW+9xYEO Mf8Hq5UXp7MdUqw4zt+J3BzJmm1RWy1Wd7HEKYwuVD3XVUC6Hmkw/JHNM4llpCd2+4GkfwVhCTFc Jvm3S+YWNK2fUB2azaN6B2M48HkBO3yyQmtaX6CbnegUKuYO1Xbg/iqs7mEc4HMZmUa7Er24JpJw ERA5zgXnDt6EY5/95a1CDkNTOPbw0guIKr4+eeA1hjHtiTOhSGjUzs4IUNUVQun7yi8+2T46+0vt GvCeoB4QLH542sVSFXqn3IT3Wl4BSdxKUJ6NtalSSmgMULshCQSIUN5M/X/kyq7j3+uPXbFoBPtL 4QUcYpeff1rpkwHqR7U7n5kCUcWqygtapoB1VxdVShspZ2smbtj9D7+Cfne89grn2a9c9jiwSYaN 2i0RVYcwEYI5F3ImSf9ZioxGIbF3znpEz6iZdZPpKiH4VH5TqaxeiMOphUdIlQLXhEB26ie5GPRo ojh8oXwgMEe/X+vRM4BWPbpuGkWM32ET4LJ8GrWKfBcJO8XXfKXG/tfJBsgthYaGaMT3NqcKwq3z R6xBh87DTfGoVNg8Mpsy0MKk6TSK4l8R+vDe4Oaf5tJ2GApSzfgcm0LxWxfq0p0wAnqKmBtR/nwi gM+ofuvi5lgngsowWEZAeYtgwbVk1uxkwNZ4UY7Pt7zblDvG0Vxzoz/vu7ADfJ2drbJ5/NIV7ZKy w66/mT0dPHVGjHVW0X9+wba1NDevOvo6HES6jXlnYyIM7cGM3FfOGRnwtoL9J6yWI6zjr7is5nRr 5gWr///wO7WnKeXo6hofoSqcAlcZAUhgVACHgWGv5O5HUwxP8CivcGS/r3e5yipDfrtL0REBcNgi whWdsYwxRUZTadgerNSU0P70SeSUdfz7t7OJOv2zKKqDVMOugJX/tUAGNG3ROcb0OsikbRN+Rtu/ nsXnn7OFnylddUe0ZCpOYuVscqjxin0/qAiwimBuWBOzBuUnEg/TWwLbTfmKMB2Ex8t/lI1KrCFj SLFZKdpbOgWDBH/T85xY0K4uVoWzYhxxZogzI9Kc0/SqBsUg7gfDbShCG0nYhutCMRuLPIzvYM8V LGFmhw1S6Qge/Q4a8CNshWfOjtowF1hwrRcQv5OiiFZ3f2ESNgjOzZb5K/fqhtCnFF3sqYZPyzuF VeDAMm7fTV2kdEler75mYh3QoHd/exo/PbS4hA+8eiOXYsjyWLEFxttzMOA9M6ZK8nSZyATWWDII 2vT6yyRc6mYPuioPl5k7I+uQjOc5DJmSJ0AflAne6iX+LQQXfsNpx/RBAcdLOSpmP77vmdeTgokl kVUF6bO+zy3sB/ouBz0xCREpPADucKW1aeo9RCe5Ry7yfW+tgrR+BYLfCNa4NcRhGePj0ixdN2kr +NMnmQqbWClTr3Bv/FQ8E6t7+MCSoJyM+jkFKD6zQS45zGslChqaN1rf9j13Xb5j+Tsx9+M1QQFV bBJXJ2bM6aV5Ov5paDigwDsqHjkLwNAR19PGhzwOXvsw0cUM/Kx7pdXIowwRI7yXVgss+NnrZs68 81Yv8dXrkQA6iYZb90K5m3CmuVMDcJeRZBed18/n6Gr1398EK1Cfxvg1cghDgtNnVL954sG5IFqj yp2rI+n/CAAAE9R389L7zrSnofKrtN3cxJhXZDb9eYAV+QHeuVRW2aFZvveuCY9Nt/lGfCjgHc91 UXqjIZ6WdiNEEa3NT581Pn8mLnfPaa3A4jUSdcGnhA54/B0YTXpbLvS1EU63juIKACFP4d89EG7J Zx7EjXKHqV7IdwqTQfTTHEjqWixkIWOco/zylGhPNXA6DHDcq7dteCWW+vH7wxV5EGQrb1U4qEvg XSkxP1L9NPY1SOtkvIP9rmRsUX2hvpOtBWIzc4K/KrKkybbSLaWnISkP9OeHN941Nh/I5dBXwCuk 4C1iaHUOpO4gVdIBe6YDKzTHLM+1R+7iUy5ke7s+TaXIHUS8rWjOzO+PXal9f8GryqnGUUTESJ8E hyKf/BXE5WTDn95knfJa1JLMmY/lERn7dE7w7Hst9vHG9l0z6dmm6ujE+SXpBsavlBVwPTh7nlGz dl93XBLWSWxUai4MjwCNbxEoSnYdl5MWm5zX/qqHFfDQR9odEQh69sDKlWID4Zgf3uyHJUJUHcCl Bvgs5hibE/bNtd6ThpJ+GxDKF1ysEYsHF99Bw0NW/zg9jSGyNcTboPdLilIlH98rbwECVmzpBnRV 6EDhiZ8vQ56zYb7NpWc0ulQucvLY1mFKXRpCmZH07IR8Fj+9ajrFgxRDdOW/nCjxrdYtsgxfY86D aiQtUZNqk4zkciFoeSR9wjzgmOt7eA3iHF5eFREsXAbDCoJ2twLSPFPbHkm+xtWVvZcQzuPc74GO KYW1FEzrIcKzPOcuNbTk8UHjK4pDCXQQtqaYXPuMBZi31QB77EYcqsp8y7kBCtzfscEpPw4azlKu M6ql2qTUiFvHivp3N37KoHw99aiqTVYRV7IoVCx8lqw7Op6j8dCKGqERY0NZlNNaiwjOycYxT1Tn l45pKAnBGrv71LdxIIiteNCxqH1v3wbiv+qWHl5w/ZxF5eE4N8Jlk3ScGu5EmmlD36+pGKnuEarO AQ0Bfm3BbKfdfm1iy5wh8nQbYop3nj6JR3pxEXCMXhyAadw0HTXgmId7FTyMqXpKQ2i6EaJCeeN4 rQF7Pe9QQT8BzKrxlEL11d6QABzVmMd3Y0Jk+XMRftJ8o/UQ9Pyo6OuNMcm5wSVEO+nzBi5xh8HG EzUj6Uw/mANArG52H0OmLJpQZ3lSZ89kVreuL+wzbj2qaphqVxU/9wW34lZishuR9ZW7a9Cykx/A 1tlN4f5qxdSjhKMlErdbZ2mmEb/gtXACzij4yb5wfb6MwZNffhHXUDunGuXJ+paMuNMkjeoNR74+ j3lYVrNtuMvrtIYhDq/Pgv0TTz+v9UASfhcV/eFsNgnm7B6gWBECBcikDa5Yde/3Tl6SLmrQTpeZ S3rQ3vHUisAjqHVShC5sikPDMbJ7R4NS/trguEyeBVbmAbAFjpLicZqHM0MYVz+hgQBUsfCSNZEW /BMz3ySfbJfnUL4kKqNGPWzw7yfW9bdX/YBndU+ybBuHrSXLX0vVX4nKLgFY5SyRxw3JYqORDx1o swD8lDmAjpAcBx6pBuZ1TgqEuTQA2FLdgqb/ISGVWejB/Tjz5UpFiM51r2MPMEY0IG+IQuXcNCnP /6OrJ4axhSGj5geSb+MLTVoIT8a7ZUki0mMxvazVMfSOFmkao884MHX6jDLwqSoMiIN/28Islh6B FqY7bqqj12pnTdBFYJ3Melj7MSTgAwldcH3YL136I7X6Chv4NQ80CYljidfLch+jEdsPHeveDPzz MBPkSgXRbnd6HH6+2rUgS95bQl6OPT3WlvgEE4WquNknQSnyIlvXhNoUXcR2psgl83ES7xAe0tHf Awd62BLLzUclfuQMi9q4IhjVpEUu/FOKb6IJI0JNa31xnpazgeHihtBZ2i/Ed0VguQ6GXOBHj3uv c06zo8XEQ6lYHfRkjmQIQWUTwWRjm+LwHhnnTMWF/gswZDhk4nGCQ1ro1fw1UFjrr4eCc2ltCkxu gAP7v0gibS3qXeSE6N+kRQdGYYxecX1x5OG4UljnLremarMse27RLc6z4Vf4T2XirsU28Ziv9/1u w7J813qNfDLVmc7vSRhK8DidzeRBjBKF2AY96ihyUkR8MJ+XHvisFnRASW/KOJZv38tczJ1I6nQE n2FfGvCF8sE6q/OxQFz57unfnAVcsK58zbhHgp/OyvOfIqDvUrL9v8SFRFormeN2BB1dwvRMoEBx rhuUy+1UYp+vuCAbOcX8m3X0bruKSfhS+R9uZxTCQrhZ1IUWOLnxx8pwxFilyhdKpixJQrZNz1sU A+frfUIlLJxLyfnRziNz0/VkLodoaQfNN1ywo5Q/sklSCAGCryp5kaPAKuGW1wkkC3GR2zI+pdnT WA3gXSzhjTqLD9iUt6OnNqZp2mec91yeUK5u/bCOUFWhFMmuBA2AZwWdD2eBRR76FYxsnOf6KP19 52vy/N218EHQkLaQ3XPDyhXhT7FabQv9fwfMBhpXfDE9pk7WbID8xyFYAoYgntZvDdtAw6fO3r2H 6XYvDJavj0F5zPnvF3p34PQVQH3KA8mhk5xTv6/HPZErswSd7kF7CtHndYRg9mJ+KjtEC1suwpUO bCnuQvITcQv+3gRRjPF4AIoFrjjALRJ/zccEgC36V4n8EbVx9dn4MqIZlSw4TRlKfyKZHudSWj0I ug/KxnDv+c8zliWmPPZgASBa+L3aQ3W2LZ0Ip5n77ZAtvrSvlGz8JOEZzdhoVsiLQHGeXgKxH/u9 e0yP4U8e9/LZC4T6g7UrrXvxClrb/QmSC0w80tou0omUZ4AbKHM8BYwh3cM7p77TuEnrvlqrJf5M kn3DBB0j2/yFAQyHSLPJJLptXe78224Yn/SRWcs1tOpd2oUFkKii2dGMhV/YfRh1zjz2CGTZxZSp PMaIaSkSG/JSJ9NwCMk8QFsX0hg6opSARsvk1fywYlt8ALNB03R48AkVuOIAvDx/DasvKJL598Fx lG8BZ3BTcsdUMRql8CbvNFRWweTMXL5qo89wZzgRjApbkXGJ3DYMUySlToWYbbLHUR2XwTauAeT8 9FQRGs0o5MpRAGlk+bnBB++YV3YICENw/AKhJsnrB/DiZ0AyhAFKzDGuZD/bel9EGJ1zl0cF/6Q3 FcqXCJ4/vJjZQzZOPGopmE9VT7voAta+AzIEF6Q+XV3M/S77mw+b++fPrqF0zdiXVYDQ1Ma0tShC JEnQEHoFctpJ41DZpIkI7i+Lu0tmTwifKekZEFtniiWpGxY058e60zJCw1MTqaRfYXY0N61jgc1Y 3BL3z5emFnBnyhm48el52ifdQ2tPomq2/BAoQ+0K8XOheO8NXZ7wD84wivTGXdNs6d1hXjjL6Ctr 2S7oTucYHvtEbYrABAT96rStrbA4+h/efMoLGhKa5IM3AKn2SG7RONq9j3VZljgZCgtoZrZZ9H8w aQBTZLs0JdMMoc8sGz3qq+exFi217weYV3mE1HmXSm+aMf2kPn09FnaDlQVkyesu1BBMh2jvg3d4 SXJfSWiz0yLmtPquiafSZuKPQVK8sn+2Sz2x5FKram5b7x997+fu3lZKhx6rblJqf1+XECnWf/8/ llttZ2FFUqTRctLAQoc5tTXtD8hUo5XD6N1NQDX8QDsYpMOteykmHJkz4wcyc7Bhtg7gx95e8oEm 3PU+XS/qheWBXaFPqXT/RAXNI44o4ZNHPCdeoqk200y6CfGBjpUCK3FDWlKo9Ozps8icpb5wqbL5 mRhNr43ThXKTpVWh0ZHVUoXarqIgCr675TCQGWQRSR4w1RQ9FrCbjcEcKLVDvy5g7uVMEiG8Rznk vNee2qhMkmhMVxnV18LEJeaMVqR5C7KZE+9aLOUYZdOEYadkgde9UMqHi/+Eonep7JUJnoBYa9yf 5vls9pWAkENvKMaHFwYpZDe/6yLxir7jcH8XmLbPgWpSwd4xIlhtQdgq6xJvTzURs23ez9NxTdfL D5/AYY1T4JihdnBpl3NXCyC1Og0UgHS+VipwI+txG8qAOfNnATxeCQ06VKbcTBDJTXLHMh2i2se4 Ov1Rhf18MYKHPIoCanFA38sfk4ByJl7gzCMYDkOaMEltNueW61wL8x49bPXxOITIvbrgKB79jAMR CwwQhOFx0pgEcKpC3Jw5g3REvkiSnxt9BdmjQ1V/6GRjudO5MXfG75PSxiUrCh87nXz9fPKs3rqy U2YrEWDw5V7+GDCutGFtDB13b6yKnXaStrod31oVvgY3VKco3tWd3059gnVrcm66ao2ncVFzM/x6 kGKvqjkuES4+nwWH6dQmDCMiSnDv6Hyole98/+jLxJs/bFldQv+ora4iJph4hzOcChgyVGq4NSbT 66n86Q2nO0aC/fP6PUhgDAXrCez8jiXcyipN5XP2XnxXgvQ1zjzShMmTBL5fnWjUBtL25V1XztFv VGwbnP76kqMnnWyKWj3b2laxcznt3j6Aiwvki7kh/W70mchYlXBhrntkJphZFXTNVSgez7WuaLC1 oGU+mBEurPJAzAPtk2aFa1Js+5bX+4Q0Mv0VF7wMFRnmma0G9Zt2bEDOo76/kJNyWcyFiV+hgqJO JpeCUiyel5UiBfx2KFGLQm2kEjQT/D5cLXfjhAgefo4C+noawjaJO4BIOu9Y0NPgV49KJ36lSMHA 7bEBPynZKbFqDHQ2Fp1NRKvly+Q5hJL2+Rw9B7EzFZLttVEsNmRcBIYDhoT4PJBZRPjTi3Ng3USZ t8fPkn3D1AXvDv21uXbt9ql2pEkCMYuIZLP3vGyOFJRAABQHBUcVUZNnp0pdqToQd+0Xjl4V2s7c 3DEB1r3c7xmFyBD9vcAdx+51dxvhKUH63fyGEsajjUo6VvgMTtWX0EzieQpsl9x0pHhlE/N+H4uv 8OQ1imgkAgA744kmQOPnqhr7damFnQcyxooaNsmO9fFEc5FTFrj4KRha4HNHE1pOGc5fz5xDdiqG 8w7UI8hBF8Rmpyi9IZwcXuYah1YlVwsUQaEZjkWKh4I8ODVmI100/03sCrF9XIBhFPqYu16H9UEk K5qS423WA5YGmMm5oWAKH+U5EwCklsmLRskMJuiTIgcH+ckYsrOBLtGj+k8dyz2TAZwWuPFQnTc+ X/E92kLQ6umFHOZWq50Qw4oL+CsUhmQAszvhKYat7w+ApV7znZGeYWhGcRil70qDLi1PsoEW4W3a Iz2STFedpubM2zO7N63THDQjNxyRtOk2J3ntpDq9ZM0BkirjGOMcDmrsD8etjv7hWObiiLD2/RCJ 9BoDKK8hjQOOxNnUrqO0DwAbeUiT8PBObk4ukpy52JLLCsI9Raoj3FQ+9savVqJen03Zcz6HCF0f R2DGlMrj8glnPiK4bPTeLp6zdZmSyDU2OkpeyBtMeFowB+tb3nK5U1TOu5E8LhttgESahbf9erXU 2bVybfT38QDOLBP3mhXBqDh4AGg9OfE4oJrVroTRaAM9vsdIyqfzZzcooeD9cRsym2Dfmh4yWHBH l/oj8E/2Xm9LjAXZbM+dNG9ZxR+feX9tcxsSG42myi+i7FUPNEESdkQ21cIfNgqQohmOap5rtnHp 1FLvo4HSROSdMkajJttlkIlRF/ydt5JE6m44AEqX6hDuFzSTvx3FGrNB1Kzvn/tlGB7Yy+o4u/3v P+5Ky3ois3FD21pXHqruy2Uc/jiLO20HKp4IMkNn82XX4BLlyZsMB4qA9GLOzXl3a80VyCjS+AU7 LaqsDfsSs+fy2YJg8NDkI11LKa2qEAonKP1UCF5O1e6r7zTo98DPIxVmaE3n96CMwRJLt297IHRj RIhbx/fSlxCMFRL5YW+ZMuy9F/8X8PoaOF5R59K9G8HMC/riAENk0R9GDpIk18Paswlii6rrBGdD 2dpZNLBJZHzQPR2vBzudkNUFUNLS9mtawlz+32dxB+xowSAfYKulaNxQTTZlBF3nFVY1XAgF6HHt yHMXkZVorcD/KMzFcrEyQwYedNnNICGKtRj8ZG9iLJE/shylCXa6JQA8OK+7dcsRcVjmwhpDxwjw 6AQGFo/HPs7OozF4dfqxGat4zVofxW2PnXzL5j+p0vgo9E+bcmbm5V2uq+l6F2oAoFAr09SqCF/p K4W5nZO47nYWT4kHzSxlsliDu82Z7MBhn4hXEj2m55TjsAucOlyMmUzIa4viKRA7pzUTI/55Ys/N cY1VZr/tfnIK/Ew/3AnAB0yJPx8vMmfd/GHdAWvmjNNPGuRDnCM574SpjsYuy2MFabcuNgGHgnWc TgL3xZUa3CBEdoUYESq0CmCOt1Fskwt1ZEmZB2c2W6H5spxE/BM24BOzWmoBkaFDYtiNFVGMrTGX V2whikwVdsMNK42yuOldcJmFKfOhxNtW3NalO8YPpRFTnmS7UcO4Qna4Vzkx5sj0m0X6PrqnElde lY9vMBIOiWD7IBtw3cy3qSyE8Zwxf297mnVoClMSqdrsUAYTdmkuJE8HM3lNtdR+AnvjjD4Da4eO 6RpYxz/RQDl9RIlsoBF/TO2Pmuk/M7/IgteiquFDEZd3cSLaZmhCSJTmfi44hs2ps8l+mbQVE0EG t1iVGOSI2n/SzBZuZqFhCcVKP1F9RV5DqVuCG+H1WJBes7LJAkQpnU8LB3pZR4M3OYyNH1vkf8w8 d/ZDzB2UC8Gfjs6lg+HtoO8H8Jcu/M6Zp38B/lEFVMZsvAkGOTykw1nZ842Pc6B2HpomLVIFRm8r IMhCusXU/b39hz3ozS8eMO7NLL1P/ewD16BfGPbxVPVaKeyBwOvq2YouOKZ6yvXH2kn8HW9W9Qzu KfeO4u3qgn/F4aTrYdujz4uBWSZr4N+cNqsWBLRYI0rkN9QjQwfZbUWG/xCgSf2zWGBeqQMriNus 9CEupPTLoH8XqLk1uYWdGuyjqLSRT8ZkIV2MlxW1FDHgIdpjvc/ebcI38fy9FQjvUIJUmFrA4YVw 7B3022nHZjCZdrnYhuwr6NEPN2+2xXMKFNV20yMTISagtZdFtk94kXrctMHVq+1UBBJ55Es/N3kM YUa+oP9VTTfObuUwujnyUAg661rbQL2yth/zt+15JqC21Ue82MydUg0+sBVnnaIaiFJ+bSvcXg/x L68sK+nimJeh5zQ9Zk26m/e8Dw8XhKby7YU2nOI9XHym9Dile2NeC/y9tdLOI9RGFijCyt5qjdCy Hlo4on3k/XRtZHFnpj82isyVlxYGfaZCXlUqui7BYgwfwz0Wl6PUdXatQOrpmM4Jt/TujhEMlyJz cQ9b9Z68U4AFyFVcNsxu41ARz4g8xICgoM0RkhNiCn4a8Z1wBicAGvsE82h0XRmBzJflnqc6hUu+ 5WR/jDfnbJuQnGT0Qa6pD+oi4cXkmcNmTYAX2GHyReJcSEyPmFH55peZtyl09se2f+sByBc5JEOc Nq93I7Kjg+tBKPtxRfXAiNiyXAhtuKw7jT4DBehnJdoa3YxQbUROe/xuzDWzgOtkXnV54ZJ4Tyk3 GpiDmfNP9yCeLDdKWWw/U6bWqbYrx3p8qm/dD92xLtyrKCgs6JZCNv0Bnm+424Bqlsdi5TcdAFiM 1gVIcjt8355OdwP5sKIycqDVz+FJPycxjtermx27kluniI3IKHwt6vMYfXuQGlXTI4FNp09mqFxg iSpSIrCQ8sY5uDJ52lA3rE2yLjprRq/DkvzA/L8XDypXfO57s8jqbCqt/XAQoGvGPYvt4uJxOSLq eiw2FVHTOXF1XYy3bTwQC5VQdUqAG+R9+2C8o+RaHkfkexPfH9AY0A7UA0jwy+9BYfIKzUKyAOKf LXTNV8UyDNrnE2pbBPo9GxF1XY5ReVVpGm8h5A5DN4dvasSTyydndMpKiKvCysriHRS+RESudSvX L/hJtVAcxMHNFhz4hrjklL0NCi0YxZ50qCruR4G033OQOwnTk75SGIuYlAWRX/5h7b+DcWLaZihZ e5voJKa35bvdYeFy7yNe+8HaX+vUQHpvAOWOlq1RKNYu4M2/6f5d7VWcceox4dhV2sG4yBPQfiYy 3v45c4jfIZhIyoxtRbQbejswpZ9P4DATq12mAAhq/2ENsHlh6SB7UZPY62S7li1UomGU1YEWocJ4 DuK59LQtGOPCMGXY9CVgomHxPS5rrlCtWMZbRlP5MqckdKazrOhlqXlry6d/k6wGK4WSki+S1JZL HMZ1Q/OAd89f25OwnCtDM7lGNaN5LoNTMDDO0fdfzsABJmhLRMtE57HuxwMXaJl4ebVK4zCK2rnI hGNUNk4+eqJmIXsoOk1GKmXFT3MB29UCTwWWMiB8Cj1hRBy6gDFey8n2+j33SBzmedOM+sXT+tT+ IXL+MPsPk7+AfvfxjNrkwSg73SvcVxchQ8Enx6mOchEVl2SEqasesHgsvJtw4nXSM2vSbIg0MwUH iFeeCy6c+KQ1f1UVX5y/juIjNN5bgNBtxd3PJjC9eBvRI4XvFtunk7aVwat2dQINWsuLqTMfInfb 4tnt5/UchSMxQv4EBvq3cF1m6YA3Vnae0hqyx/V/39NdTHHqbi0yEFwtkUmiX4Srw+iUlWxWfJwy tYq98rq/YqdPdUGTrJ0xANnbS/mutoTQCXLZtnNP7c7XdEjMzOguBLBJZOx44l6is1dfAQD79sus Q0VOEik9AtC/wSSrRXmjkpEgSEF+Jk2vsfMm/HGy+fn7Sy5bGiQQFO3Zmsi5iP9gPQYJmiCb7ASE AGLuNIMrtV/663BBBPM+IccsFqMiBDaZ4Mr1QcYHtetiFOMzM6zQnPhKHOKg/OjOIApGmoekiI5A 6qszPpE4tB1Ho1ttC8fgha6ruqlCJd2Oenh4FPku35MO7tQHCWdY661GTy8IT/feD5nf1lfnjSXd NnNY7I0HLjZCVVNJd43BACNWlOlkdlzZ2VXzxoSQ5MUgQLplHIyCVXlb1iNqm27A7sd9ukqD9+hC u0bSCT1aN9ugdtfjh189Qf5f67yOJGi8bLO5RCM8IAuzpN9pjI8hsOW4wYAah5GPStfHRS3C64a6 b5RuplHBHY3NwhNsZgI8sxV6umiH+Emf/0YeC3OkgMQeQgwXvvDnLK1Joj0mjRRlbzSHSnaydW9n cMfPeeqxNfsj9rZEYi5CYLToZ3QPZLB7UBtVwGPj2N0l+JtrGHKKcrvgddZ9Wv7DJs1j165FD2g/ csUo4SUP2eKk+iSKMDKM8TMKR7h6HTkZSKex1fYZGt8PAalIoIEiGTFghhQKZAwzmFyTlA0HliYW gQnn6U+g3BMXsxLC8Ar//cac9tj7o2d+23+5uaZMLDdl7z+a0t+cdyrAdLz3gAtEr9mE4zb/Ax3f o6k/LjZGfMTZL6kSDWOcJwLchHvz0adl8VmGjLUfqbmOBUkwuay8qS6x+Q/OzkJA7ZdBkGUZsT7l v95GTXGFouWIia3YtGrzgF32OnzGs34QzOYNAflW3oWkgrQgFdfXU0mBTeuenkbcdbgospigyC7E NCxceu9inEl/69nM5ULdupAuSpDJT4v6glUn54h7D6INJFUcRnomP2bHxu5sSzPmz+hLPHUSCQpc LY8pISldVk5cCgQaRgWaAIXfZcciMa6vKy6y40+h8qZPkezX+I+GmkBwW79N0OIBjnspO6lIkRHz 4If5j4YTJEsH4hLfRxTjMyw/N5gNaDVvqhXszy3QqHfL484bCxWF37Ou1pu9YoGHVUON99LOS3sT v9d60HbOmCpvOhK3mvKk `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ldsPonNRCmr9ZC17v2gRnkpAuiSWnE4SE7Ynl5gV2dZulIL6sMUaiEbpxdwld5kjMDA3Pqit+UCh Vb21uZVzLQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lP5sa3ofBPMj0lCMqaSiz0b8aD1SFgLl4Wyws1bBSXX/odVIxjiUcOLsoloarEy8vVORXlPLqL0N jeiXM4sMmSzPvQMrcpBaDjLFKB9rk4+Ypi1rGFpcmuihCJUEuikzT5poqlz/YKwb3XKlWOfMiuFi e1kxsveoZ1go2Lzwss4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZQlUrxP04XREOanrHc4FmH6nSdDPTfGQLCRYIwiSydhdaedTEfjmoWk/ZjMFZ1BMpPIRgOFRws+0 udteo25hG5oVkVPBpgboiOmK2MlvTFxeZYrBQYE5YU0rDIoy2zFh0drTmDYf2h55My4azRtlqp33 hKG1T2pKiJq8u29eBzWy1R0+kqggpnC6EKspF2EbpbA/uq2kVOpefQq8t8pkDb16KnXMsY2jVqZs AE/JGgwTJ9M+sMFs8RkYeo4RtY/a3l3HSDx0TiGEb6MEgjoqnLIlqf9S+iWq2cuv76nN4frJQS5N BVfrHB83HFPpRPYUgR+s6KY8f9fA0d/l6ZVyQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sWYeGfemB9rJl0O9uutynqdB5sIBTPBKfAGpA3/chWMr/CSFKh8XPmGSRk3CFaA7TD7L1PEVjVDS hQsWFoGVvqkZaMOzHe5HoNc2vujgMfuezz+aST8AO7ISb/UdgQJl63uLxynJT+Z5Cu7okmOoY3Fe baJe03WwfTlok9LYEQw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vj0wB5xhrutgd5oHx4HsBaOCBE1WLoHISfxJwMfaB/a+c3wIwlH1kcND3A8FWQHMxr6Tc95TDbp5 kgD1kTcC0F1xlw3aa4KTBC6yDLAqp2J4K1CmCh3KtPgG0f6n/kVjIBi0AQyow07Xu0TvbRzZbz/P CwWpGBS0041sozT+vV6Mquzc+e9X/N39j7tldUKZ3WXCbhFT4u5cEYSZaeB1gRrbxVDNa6T0ejyo EIBu2RNC/S8DuG6bIGHl+Yly6frCvNCBmq2c8MIy+MleuQMxzfIjh5NyH3blu2LtMIYIadZLr+BO iABJ8B8GLJbF+iRVHJapmsjlwe305tEzL9xTRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36096) `protect data_block RKBoVQIUTWWaECmNVtCGMfwEYA521UyFiUUTpFGHAr22Qvxs8+UOElYYtWhdUjTzMkgkAugzk0Ex IDXvPUwHxTm0R32/bD0R+N4zubNQJpWhWnT7s/3ZKOZ5mbTkg0v7OkCJFAOAQapFvIIGKFg/wudA zhHGo/AwRwzf8miAvG6iukTB+gsQzSKTTq8iONpU5pBsXXWRRmiufa2jadPBa/LVVUTEihGeYNT4 D7Nw66wJob+OL5NbVzLQbOJy/0QE62dgwnQrdN0buI+i2rK18YgV9WF8/9sCR2RtofkhvuBxlZDW tNu9vOyPADT4RmUyUK7dhFTOyYVZz+BziGwnPXHNNM/kLUXxWX4ZRI7hxRxIoq3n31xHofUOE1eP S9PnWO6TRZMyEQabcIhGC83fU7Mf/bOgAOcLv/V2j+T8OcOrVAreWxo3MRu58KhqDrb497UPjA7F 765/ETSKP/NaWeE2hsGiXCmMbbC1cdVvgQok1elicZCdXfbbL43glnEl4JbAlcnpAtv/mVnabJ1H XH+S1LBDJHwVLx/XoHLusKup8+89ltFZcTqU76fZegRSU+VO9VXi6LaPqbjeoDjdBl8OVOGi8eLE uExPKf3Nl2f3DeCsXs7yJ5CvulzBmD1eLTFU/TDRnpRADqjveUr7xAZ2+WmfFeQPg8CA0Mj8I2aW D1vRjDP6PkcTgVQDRHR0sI//XLuPMic5w6kVxAsjs5ajtz84wOxYOrPONLhK3jxURkqDaFLECjGN x5TtSs+QtIR/AnlKk930x9tPYN1BpJa1Oxg0hn52r+i8P87vfIWPotKfkHGT2rBS9MOtY9sQScOr M0sMEGDV7Pjzit+268NKjb2mve/j/IHTH2xrYwO0PZYzfLzZD1pO2u8Ny6JAbo13hVAYlgwttEg6 oR5e3G59OTDPylBeZUY7lf3kyaAluvi/Yt37JR+3fv3RFa+fkYCoC0MOzt+n8Gq0O67vaIk63CLj Va6GujNXw2X+BRcSFcvkC8dOGr/RBpNhc7uqjIbwZ8oA+O+aL7AKzzdpnl/eSlnpe+6UZeGt8aXf 9/THZY7TVGcWzQMiIp79U/IROp3yimjsGJ98Mqi3jxRgpo2FddJiKF7/1DPFzWwZOAKP0tvIu5JC KEkbKRYM1ruaNusbsW3MoDl9lxGC6Hy6hScSFA+8AkrOYfMskwyadoD2/dMaKqCNTIUVOT1L/iM0 uG0mYkkbY9yrWoVZR7PvgzgCT3pLdlafb8nbzySC0tBrGj7vM0xyztEOlvW/NDEuFCMoEDvLr3pm JDAbVv5aSCOSZpJVHTYQ0Vv7p6wYfc33hmJxzbzGNBAwLcXo2BhoXpLLFLRurKPh58vMpN9mzqAD UWMR8w0MYW4ABxm6Ab0u/XiHl3jSEiPz5ev6Tyd+XGhPZ8mUrVl43yEMNWjOMSPM5tKlsygE+vrw 5daVDeYWagJISMYqw9Imzo1rwtpSzKSMKdr3NU4drIOqz6kbOXzlLLHMo8MTtT8KZOfFYgERYT2h KjbrU2DJAXCvGOMWlB821ixHrK5YeDXbzq5V31XnaxQOI8dho9y/69yygmS/yoGuZsjn1hH0Tm2q 6hQH7g/OZCkyoRJ4gAwwoGP9huhkSpmd4pbHqM52vj+VBz/DVYYHb8LSskh+8kHnPRmXYxpfuk8b MHFgKbubLbi9EiJiPnYEYrbpY1U63mS3jx6+fn5ndZgfxUlQ3A8TEdcc3wPEo/GJb35TMIhRS7MF 4X/nbkiFojAU48+PCIURKIE8D9Vp/nNqMUOGTG2D8pC2DOw7elaa/1m/mLkuf4JOI6GUTBpOyFJ7 qhC+1z2nRjq18g6U4JpmDKKHGzmU3Hj6e8PV4D4Zw/Wv6GommFcHkMV/Z4nK9i4DjWGsctabNqnI kcpglFVBY8EZJSrBOy2J+dFc972r89nrnhKSyPOn9QabdwixY/4HOeguGgReTV2s6MJVkHlKw7GS ZavC5RfHyHChm27oYbYsVBIb5DcHxymnczBv2Db9SmV7An7oRqwpAVQz3CIA/pcPmWfXsoGRMKpV f8y+jkm/liX406kYkvmeyJlF0nZpa5svInu7n+wf8LtP4pW9lwwdrCUA4Q9Tv8R3atcD/cAGpjMB zDcZzhz73eDje/1onk7T0eP+5o6v0V+F5d4GR2B0fEj2CjV6dXy2VSFhcgA6PZepnTUauYzktUYc ZI/4XrvC/TI+WGOA6EOMVMfeaBX5Zt9dVSq0Cd0tx6uDmmPeJgtCLJoHv/06GbA5UpfA/tOInm01 m6Nf+btPeXw1SPW1INjGGYzDA+D8RQCkVphAHOKbqakBpUeS9Z+PBXW5NKsDTVY+7Gjh1/N1Iqq4 C28LsK0HNGMlSgcA2TUdPdrIE9GUwenmII6G3+UzBkAuxrKIL+5VG3rrjOvo57CXSOaJOcP4LrEq 4RilPj6r9EY+6wyd4CLAV+BFprX0JdkkSpHDDtuijohjaIxx6OO3GmGam3qsETj4N2zgOHFHXo8m JiC1K8/ECssxJz4+tMEFkfDw+Qg2dg1a/pjotJQShJ9TfvjDeNRnsNXXFRiYda5/zyRnT5krUlZ+ PCMBAThyRxoaQqt2QDxuT3LyJo5iB/zElki69SUJ8X2fbkF9XhpH4jCI1H37HYAtWdw3vJcO8xyY /z4Nk3M7vsAW4T/RncJURUDrjZgPR7z4II8bzKEhjjyjnkNX/lkrmtwcE76w/h5SSFxZ6NVvRFt5 hSrhwO5ODKRtsLI8eCGraPzqAxgO9WSXMONoKHW5tyJZnvETcgPs1hH5GnASXch5nMHzw11xTVs4 m8ZIJYtQtjz/rtSiWWVnJIdnglH/ATqIR6GqHWoFoBrrkb79rAHgDnFCcyleT7PNJPlFuSaFJqZQ mLYu93UwBtSQKXYNJG42dL7uTHjo5YSRZfl6ToxG6I1rk8r+PtSl0yKsWyRUTUIASY59IEOFSve6 eA5LPfICPO4dUJu+dOgH/kN6URZGl1A8tQqIvzi989rJqlTZhPfhRbiipXpbsmlqV6bKE5PxIP+Z 0lWemKYC6qr7Y/zNofHTZREyW/IjOL11q1/17raCkcZCC2AP/oFXW5v2QxRaHXO7enBTDzqnbk7C REiokZUFW/t9vxFCO1+WePxqihLa7bJyG7+IWO8+BtBr1o2dUyhsyhAsgAKSchv8Z17cxCiCftsB iwJztxAf1tf73uUAYOEDe3Yt7eThNNXvtc6fuNOY714dhtiu5fr0c+DTYdsuIQxYyVnIuzqYzuHj hrrM2suDO/d7P6+/pnZGirCUh7O/yBIyk14MlBBLme0G20/hVyZepnfJ/xD0cFJ8gT2QG7Bq2kUx zcb4/96fm9MA8CrURnWNvva7K8RsI3/zBsY1X0UVmya/Y38jGKiHvOjoUp0uhxNotpacXOC00jM6 +eVOe5eGeKB7NIehmY/qt1KZKUyJ/oZZjCnhq8iQxg7GEAEPHz8E2Vxh9JEocMHREhqjC2i45xNB 1UorIvZXDbPj/NsV6mmms/poTbzZElbjxPclsZkGOFj5ut//wnTM8vzOCGSnEkGFbbkXadsegFvW vxSKFpEbso4x94drbWvoPq2wZeVWk61zmi7WAifFcjVxgU2rYYWKMquwrR8+hhB0An4sNs+cv1rZ W5d5wiZsyLm4KxP7ZGCh6UNqH6glWOiQvEspDyf0UjY7SueTmAfNFN3hoIrbVexcyI/NJO5XeipA mOZ/2uvEkWy+P77vtX3vI+uZF0NN+AKxsqGwUdSIVfoPYwT/H2t3d6dK2LDRVL3C3gi9Hw+DrQ0l 567W34PavihF5qAD8K1drcEWtXsOMNjxPBoU8lEFkzHjR7PTomrKBiwqUMgnObQXv0MToMKs8xPq +SnWKnEnxbFo37ZUH+6ZId0mxeUSXwHLdAYDc/Naaqgn+PIIg/w++TviU2UgqDv4rf63lzdisjDn 5DLxlaroRDUcAoEYnDS3XAXuT5odNypMoUTfssv/yiJMQFrh8SsbfEqmLtVX1s290LLjR4vjYsSe O/cJ08yN8qGxzrt4nqWKaFsiKgK36DuJtQJQ2ZnWHT8Hl5ykPSpyBhbGNUJ1oA46E0MUHW6rnvrD nTNQmnYsRrIQgOjiHsh4dIJUKxH1lbHPSueGcKj6jD11c/Hy4MFZhiuXu8P33Dd4qfWvtyYH3tOK yXRMJgYrxtsbVcFpRPMNraPO8OyjUMcUIvy85OrYj+5Sy1Q//Opj4eRxdpsIG6GSegl9mNFH+udL M46YRVT+fVtQy9WtV73Ny0RDFrZdqTAc0m3EaQf/SGMhYly3ODlCvbCSgdXZ4+TCaBBJyrr5t/36 dsCGYpr6mSSkVegTP81DzQa3jRM3pFJMvH2p/7hzQjlRwRHCAyNL3CoVTEDp614pTucWHgFkcQ+q t4tjFA2CvVToGDUU8f+3z5kU9ja6G3dZv1vwAHh1xdxWO/D4YlyRKSF8Gs6OWdXmaIQh7XwQ68g4 vCcvniXaYuT2w8+3cQolFTG8kWt8Nupjpp3h/zj0PcjlmyH+TXK+LkhJEtlu9gVH/FQhQDUkxgsA ICVs7geyfjs5SovHYUjKrSdJ5DPQX4R/pUIaPv5w1x3eKuPsta8n1fNLk+qsqYQTjG+UYJQ9LnlI vUeNWpN5o51Rv2ikF2bG7dNVVEbscWJnLpNAjeWi0ABTNHjLNKKL/EfOeR8E8bu1YpwEsJ3xPHMB Y/Vy/3N46zykV6BqHeRkaAOYTjW4Q2xVBBUNGOiS3HJkN8vIx0vgyB2kalyURUDtUbWRHOFNvF8/ SKEL4vC5HfJR/yXPwEqrtIBHe37EY5SQL3j4N01apwoDy9giCW3ngEcTKA1q6TnUj2A73FlqGQp2 7RsQJ80Lv56u1ufOJ2g2MrYnEbs1wI3oOBNbhtiCBIyO8jLwzK0WCQXBXO1U481//J7eOG0fBAaq td8WEDtlBOGlEg765/7aF27VABl3+wpVqKJxU5XDHH+MDcWIWPvTyD+bngmF7JL7lIE/g8k+c1W7 dQ4LnUUEK8uOfaJ7jJtcxcDCUHQ5JGnjWEOfYX9Q160/gr7SynP1N3z7f1nGzNXPaYPfm021sA4O tktwNSM8JutqgnbVpeYGPxIyJpDBN/AYgP5g1uDmdOFtTI1systKAvL5g2M+GinMzY5u3i1xBTsC RTf5pqJabLayw2SK+mu8pmG8ZvAA8Io5W8vGzYqlPHqkybCuV5yr+TrtGJbWoSL55IT7ZtKaDyQF m93xXdpNP4C9/9gPoQe5tq31PhhdIEW6vVqDLlxqzSr6jSnUkHlnVn09aRb2KE+3KG2jE23xPmE+ uYZIi5OhkrnW2abslY+rEFH3PKunmKiNcdnn0qMeuZFYLqdhGLL1C2XcvGC5yptyI1QsQTjhRTx2 YS25djYkICL5T1K2oIwEFVL0yfeZV6i7Xi7mG5tw6Y2tRUIFnph7YZq8PNNurmn4lg2tEdVaHqR4 x2/37HJEShrOSHueszqTB6p6wHI1zIDMSj6dpkvbVhiUmSaDuSw7R9fiCNPJ1nCKE/fk9ZldSRz7 95Ja9yWQ/ZdcGzmQfu6bkWTUoA6q5djrtY1JalWhRavfiVy4x/hlPfbbNo4EFYLbDsOpsyRL396M oU9CWWeeg0sAplX59SDkMPFZ2Ep6uKR/ojlJFWtam/ZKy4IhS5ZMplBLejUdV2saHOM/JpOV7xb9 7cm/7MBPlhie3EUiPrDMbxD8In8bvQc2Sk9VkETiqIuxzV6UoETvFlSdgHKsegXKp4KjwATkQkgE tjrz5RixQ2B9u65UFbzQCC3mgpE/znBeD5BAD73Yi8LcWMOHserqhklGGSAnaRCQbiYpp57GfzG7 D5F986Ys9+DBJfM910ZE9V4hMYYfX6mLBlirn9z0At2Hq/pHkS392ekinzP4DbAhzdt38HhGyepC tVBrqW1OWh3/VUguC+c+X/sKGXAdlttfhW/mtiAb7oABjM5zu3RBkH3E9sg7JMLRQDAqIW64mfCn hphrk8affY/op7U5/8aLATuYyGjdL8tLfLhHRvqx+dBnrcJocelyRimzu744wObvS7sHQ1HyVDNs a0+g3lN7AtNAOfOYBw2sT0scJ7gSEY9dhIEJht7Kg6J74plOli84or/zD32omLPcYryrxRyGE7AJ KrM18RlsafWHVcYvBVDsEex9f6m4nibsCJjY+HA+6QMNkqrqkQjfVYxLjr5mljmErGIQtWo3UfHw ksK25neM70BIM4WMChOlyZ/WVuW1FgdszK2n/PtoNSExObyMVpIRfZqQqEzmKRCnAUDEeD4IOe0C odMxhnuf558IX4E1cM1cjOXLfBR2mxPLBceFUVr1cLFhOliQw3cHdyNxU+f2wH2sZmLL/T0GI/FH j8Unas3QMFtFAfpTkNCVHjrxPzqcnPQFYa2CYCz4zQtg3dWXb5yMpvarPft5rtonLh6r706BlWm2 W8t0n+LfMCPhGvdHz+w4zbjUkF4Fa9Ja6MeLnAI0uSZv1yu+RFBiLkfwiiv/TMkSYaIEQ+VSGFK7 HOxR+dCcSSRQvnYy1888VPDDf+KKEKyDsay/qjiPWv9GWy1TESe8aXwwUCn5KbkLRwMyengATZpQ Qyv7Ax0vwsA43zH4yZq3BH2FBsc5jCMKDXNvQZVZf0xW+BIVbmRg4JcEgVOQN3poUkAtpe/hpU4h jjEwjObfsv4ewGp+9XNkIH1cQJ6skTPStpHvCUiCSBV+ndNw+oHMGPKRxDPrKgtCBLNKz5PGeLT8 /Pg8m2okIG3F51IYWHAmQwcaaoeXog9piu0CNrmB7wwxVJNKO4uGpPNMrPGwwX7dQqT5TbLmGp5G I3AbvuEGtqsG6yS4njFjiNeEpmvg2LHUvLMYzhD7NqnnSgiICPLW6wXhMb6/BvLv9nxkv+Pp7+wy DwuVra8Jt/a8hkF+68vqs2a4l7F6zFGJ8KWgqU/OVFQJuSDKqw8pfieK1TdINvm33YQ9vD2bZ/0w fOkRkxE+SeWmzLBUrNBb/ICVAruRovrJJvVYhLPj/SfiqFrhYzNLrNmHeemyI5lMXuFiZBeIrWXI pRwcTa/NCiY9pBbePeQV58n/J+RvQqcSLdFjbBxLs0LknK9tBhUs4YHWIou+4mIoxJf1w3zyAp8A +ymbsRl4ne1P3eKihlldluQ5KpR4UJSEXVrENqUtdhILjtcjJuBMfTbqVxn9HjjlbfPgv/4+yz2N PeXCTYzypFGrD+VlzEi4rbvryLTLTZVNlrvCECBIKXoIaRmkOquBMQLOUjIOOfOE4v04c9Ydw7yO C6V7uPwx/xMCZhPne7LXHusuR8CxVvVy3+TRFbII03dSuVNe92PjgI1QAfS8XYsPiKdO5/9H/CU1 rDNRoNbv+npdSTVl9i0NzcdDEKNL3e3b4tbeEBdpf4/mn772aRAoDtKJroDfCYESuMjc1H1PNUaI Ia2nONDuxR3wMNAkmH4iOVEwgLhk+LJ34CTFCgws/SrwIF+YqJc0jMKXvKcBKzCsJChh8Bthaxbh +6qy+0XrStabkacCEwdDHVMcF4TtqQltJXgxswUY8GqSj4tQMhDMoNgzqn6bHlp0/bccImNoE1Xm dtOYn66xTP6vUp1TwfhMgX8vu/mkXDiQQeIf1km9TfOGV9qApRD/4iOtdyP2ngWQDJx45/aC5ECT pyKroaQXtA6w7AOufyMucUQ2jakKbwr9tpcizFMXreRTyUO7GEnOl0DPZjfWwanPkSg45HERioJF SoJ4lt70hvxq9cDE4kV9yG5kXuyX2zSsfYIMxGVPTteSryjrEyhnzuVokjhKb/naKk6+IBBumkCN 9RaBc29yVp3f0oHNp4OkTuqnokjZr+MCP9OLYxxRJV0HHB1NCVjIjWsrx2WaYRUvux5tSYBkI3K3 r0HI4PtmJFijUyqgsLMS3+RSghxGAUjFmoRzsZlLsKVEsqD8PWZLHSU7sTGR0vT5Zlqper5Yy0vd 82XDpGc+0Hl7xdx50pDXdZCeYG9dietRDCKQIc1u+EF1XnxdScADHe2UT9gVEd2xsjHygLIBTxjE KfOzNNHuZ+oCDlLSLJE4qQi88Cn48uhkov7hDgKzM5kl++wodRUfDe86lyw/A4cP3ApQc0XJqObC fLoR280JWx46SAFs60b6VxRUcXxhD0dZpe+R3LaOvlIE71yhofg6b6hfaVgPDyoLiydIKSpS82Tt 10VVwoOYSuMqPYusRDOOTPHyyk8WYlftT7OZ35t5QHp7AxdPz6Ld8BtP0z7qJiF896EM6g73Xijc VzSEO9lkq7gg09HnzPlbh2ORGqMQEoDUoEbY/YS79UcJGTJLTXM2cw7/LqHlf6j24vpRvd4KW0y1 wurA2uWpFHuBgS3Iz1/TAHGpK8Y5NgWJ+5WZ3XkgpWzlrvt0WA0ZiByxOM5BbWQ5pRfrGXVjeTVf KhkZ5CH5ZUFXi2x901qTUG8P2y7fZlg94lWI+e01zljsZV3raX0YDLxWBauSV72jIO1lGnYL9iqQ UqWMx5h9EMP5egFQgiaYwq/ji429Njvw9ZFNMnawmHt4mt1J1PDb7BnOu9QRPNMFEHjBk84eQ6HX p8PZPhmVLUuEf7QC8/TJk2s9gbv2FA3k+26E278vJyUBMl2Rr15wss/njkpaPUtTvK3CCLH+AMtp tY3ONUDmj8dMpxLJ4OsNg4sYSKNXufVHoEu0KBj2hi8l9gtLDcS3bR56BLf0a5DyoutvHMUk1JhJ ViG0LihwNwFYt/k6x4KwKQtHJyGQAoT4F0gxWszwTXg7oCd+bJqC+/wF07k4I4tr+2T0GGxP/i+2 JvjYgKRRnKiT8Ns85tMOMhy/5JBvqXi6BQS5RHPTpIhEvsy0PehlhMIbr/w2BTZ2SaItmMw/8FK5 FA9P5CUCLn07Wvmqe8iZ1xrdNsq8TJ0+s0Td+CkEc/vp7PAWfzM0QUH/psqeft+PPHcCBtdeHVoJ J/B93FWDyHGT/vS/jNKijDnc7WzUDxTuGEYgU9galr8FAxzO8ZTQglyVg6P8cTvqzmipIyPnt/xp jWIbBzmVrR1YCJB5rZLZGx/4PkUFOB9oLwRivXlPM26PPXu0iQ5Bs3qr3i/tN/szbTi2L5Ppnktk rDDQrUx70HYedJkCrQIfR05qjw86IkEWkz+jyBCfhTQyzJz/6juojlpGA8k97ex6iSYm6iUWJptK JPixJmKKMJrEqfLsgm4g+hvDfJebWtcNR8NijngF7hLin0M7qvR2VBRgmeEIkYmmbedDTMiBwmRM 8b90icKyjTkJ8aArwlXoLXPJL5y+avtWg6l2+Nj6Ho85cPL/laJVRTwlvG+stpGTE68jLEl1VD1a gCDrtykRr96QkxKyLN9LhG6flc/2M89umymqMpoJinCCYbOWaXe4mtvyk/BLRnBcLV8avn3Z5Mrp i60Z/yUTgizjUQ+tWqOFi4zmSFa6Nt603e45PSNMKCVe4uEtJ/NFQT9GSlDwL+YUbYWeX+oY8iM+ QKKNZsxSZPZaX50oJ3aHOby7vPgH7q3dSkJCpE7KVE8FaQlEDCAwxAtrS0g4yIkqg25E9MjLUlP3 jpyVdSQ/yEMSuBabAdsdeqOKuv5iWVT7yE7LoZYdeNPWutvay12M+GWaKNAo6KrZIUbPLoAuaQEw K65A69kA+QIzSjyE32MYmMlkmS4Y3Rv+qC5dN+YWNXCc0ERuYy6OG2br9r0gN75D9TXmBVW1k6lq 1tG2QBqW/tAPzU+kSL4C/WN4fL6JRHzF1EfD9vX8LGNLkcpudwA+CxMGolDLosnzpG1jAaygg/jb voARmYvmM45QSWEnGIhgGCZhT4eJMUTi678JpxpYuNmON/0AW0Px01Fp5oel9IDARYKqtf3mwdpE D+T4HLVBUVcMX7X/esc0jbfMQB078BjfGWqcOFZrJiwf88LuKC5szDzCJXcB+W3toHb/Fq1lT4nx nNXnbeVikr4C7VUZw5HuhlbLS2ldl+wfRWcgWd5VMINCO8Sj8jb6RZcWMlrwk2a0oQw4ujh4aq6Y jXBfB1MwGknF6NhPPnagNcMG4evynOZwJUtXtKJksizAlpJD8S0WAOzamc4dTvlBNs/ZMkvzYT/U pM/FRBsvgOx0hc0EmCgfZbIYmICWmj1VDe0OJ/dJQgoSbsGdpl1WNqLcNdgbzW+6RARwLmcAxe9b jqkWDcqPcZd3YRvUlxAiWDcPeGevw8kVXH0RDsrrAPUinV5pMNkhZQgzH6PYV9eCfWnuivHPdArE /nZHwNS7PTUWlxafN0xrx2AS5FpSIsenWdmRaR0vXdVE+cRNCnbmTiQV2shvtnwMfBga2XxAcZ7A MVg5BxkO+49ujuE9KOj95iNWs897nTy8Cp2KQCM/+t2hWG18r9lswxnjbEaJWnF06AsTBZrmpkhi cf0r1hB4WI9ZcA9tp14ASNaUWXp+rcK1K+ZSXvRehyQRBWLTrXi0QRLrBsxe9rDW9ijWnO5Y2WiU v72y+THSo39+RzPnYco1iL9sL+Qsgk+yY+XYGkeevYeNojbI4iLbXa+4VG7AU3UCdYbz1cRDG+kg yFcdLg4lNVAMIO7xtf+UbI+c0KO8baFv/hcnAr3q8KwRDdt1eNBqPSx26TrouHqK9Ez73Ja8K3gR U1+Sgg8MKshZZFYI6oWSLQgxK9PG5T3+cmj6EKYh2DEVzDr6DRTXfwFZdNObQW3bnYTpYbNQaUCn MvCrVhOYB6V74KghJlXlVJEu9tWHWFTPiqlYPGXXBtRF7TBc947YXbWNw+Pwvb+Q8739QsQSMTEx Kw9OXofbpAnuXxX/HJtjOME9bU9ME3qv2kbuGbmTI3UNl5KGKiMSLH11+XoKMMoWkuV18r9xF1/i g1e/BBInmwRFPIdBT5IJSQIGSXLZHjHCKL6FA0MpuDTWyScXtFFuJmkXY1iRfwuvP1vEMGBT4hsl IDrLNwbdZQ3RAK2irRF/sBMMHLClhhM6GeXwFtW/7fy4mXG9fa+Ul4MYIbwdiDhEryoTjkiMEZtR XHNhaA282dOelGlYItDAhKBCCknEg0aOdDMirXwzkF3fGryazL2Gq751liYgt2zkQ4yYrEX0XKAa IbKJbSenvahNofbnIbPS4YYKbxVJvg0sLBLtsnVSnEvZ/eJs0rrBDGr6lKUg4/l7M8tkNxOlY5Q+ CZB977UKw7jC6r6Ptjt+u7V1QGwQe5ekEk/g20AXz/xEmI6jV/xBTgwvtxjq+xgYNvmjlLqcYLRH IDFkVVxISUmOClbnVjz9Re1xjxK8ZDTsSQXEqii1eJmzrMwCeFR7HFo5F+OukGYEpEkUoAM7F6nY HwiY83Ekl/EaNxgJ6k5AdzuNsdmCnBD2t6OsvGuKsbUwPsi/5xqf0+KtrdrMDg8SZXVaC+Ka8S6z EGBtByVQwMeiEYMYyr51gLEyCnRlwMmsWSatbIXUInJE+7siirIBqavv+fxCvXBpnmSB0GAyQUJ0 AX7qqhiPcIQbMk1yYr3VAZTF3g2b1nenrZOItb/g5TA/sZQSesAf0tKnnFMsBhVO5l8PR0sdB9eC 3wCHZAwVXA4f5jVCdWKXM+5nBazrt7GCykra0GTR7NhstQ8Gra1pjtHkd3GvSCOfu7o+ixdP92TD StOi+quVJqq75HhTAAdM+iLQ1iRU8ijdsAnl0j+zsl5infkgh0N1JHefAvkrGXaEPPdKChQxfrZv pXS+M2bPAEBiAjsal247mUwfnrw1LQMz54f3R47BEgU07rmV8qkMSad1xzHKqeYiQpjCbugjPu6K VmG3fX/Cj0AVQIH1dGBYBusdjsqjroN7JCcaW0lhj31V1uk7bxKy01hDBdRJ4yGxuzVyLM8828Wi 9fz1oLeFWWGh+qCgmlWSPUuXzFYS2IWB8ogYLJf/+sDcr7piNGg/K2OxLZbLcLPb/9elW5r6pHWj 93VTvJtLQzuQiUwWF3knExw1LIAMDCBSzqf1Ov8xvimBD5xJcab9bjg/QsCmAJR5pyyAW0Potvpn b8ffMIb7rjxGEDA4IPNyf2XQZxReZazin3ObObA2KCLFUCwPhqaz/vrQWuZZypMoSXa8sq4pQvZn ssV/MwqpK382RHQpfg9LAWUC0Fjh7fCqwqJOQ0RiNR5j0ThQ5XpA/b5v+tSlVeHOGvzbLOmQ+LO8 fSaZHQF54+pcr1sI+xu7juyN+Q5aOqd4vFufvtVLS+Tx+8sQpK82XDBCySz0HP+Qn/94KMSsUBsv MT8KJNYJeDx9YmZR5/Irqz1sZpsim0jBkgvy1kDmEpbsDhsIyA4W43JT2ZRYUy1o2t8hIVfA08Js wsv1tQDkdp5N510CrdiEqBJMttta+6qLCosKJjszjS920+fkpZ+NqTigXkClYn70p2aC1RHAUrfG A9GNHDNAsa+c83uBeBnZOF3Fy6MP4J8PMxoFxJVz4HBpVWUbWwMP+/1MYB+S0WX0oWwHIj6o0Lkx B93xkso+pn/Lk+SkTmZYau572wA0WRrCmY+0T8nffpf2wQTEKdHrsHxSJWg67sbdw+RkgZe1kVWM AxYnlum+sln+A3gCZBczAopyroRd5bZuao+3dJqsSf27/V3dAaaZj1jn3UcNRy5RXasGhkxEQnn9 j9C+9Xx3QVczIiWxk1vbWuXJNWKLL28MTfxO3nqVe20t89W4Um4zQltgkVvuzbuxm6jxl8vusdeT QBczV0K0IV0S/bB4HT33KJcHZaMALNP2ep6F4dKucUpHKc8ktEDXwj7Z17Xqro2iyL/GL4qIs/Ww gOG22m9z4q2r6lfgY58A0Y+sCWAtfrspAZlHYDOQMOVj92cXq11FMkJjfKbVslh5XXgH4l2bDXlk z3t4UlLjHIG5Jveb8gBnztLsBTwRUTX4adNCB3wRb3FJ+mIB9luYKsl713XmXa4mWPlBeZPbT4st J97ekWxlXMt/lmbqOmNgayUfyeP1BB1N58VpvXmms9UD+IGjmOyvm31ZuQuRrO0I2UexIbBnD67e 6s/7pzOdX2dWSfLPbD5ff9aHGMh1uI+C6I89Vwf5PdTICMODktKUuKYUUeXtp9wmNQlAUx19Ass+ UFbWY8pHrjxF0p52jXyad1YgAOPUrpjnSAVEvC+HNfxX+q8tL0sRxD0Ed4aTXqP8xWgBHaayfwCN Y85ySyXjTaCgWNhKs8oJjH74MryhuxaLjV6wskEUvW2oHiPgyd9+742wHkWfFIiq5eKOw7g/Q9Ut 31rTkAfeyEEQqwUm3WOYdy/zbEPMVJkg6lIHtQ+pLl9UYo3gQlb66ngdIZZb2ZPgnR3pLxZXsnyv yIy7VvG6BZNoMJbsQ7/5dUkMCZ0kTXMJaR2eSX1e/4TV7IhiolnVVxp6nvsTCWOOF8dJnjfTlzkZ IZIvxeOUY7FAOyGAVXO1JjRBr8SGF8AOsc7sxdsNEUMv1BrZ63iYZhua30XESl0a2NtzUR0SG1nN RwKA7E+I/mN2doMFngnuT3RZcUT21T3K3El8T6VDaKicjF+8FkMsVbGSAweIBXQ19XxoxS5xatyo nHDaD23hv2XY494j6uEGkVrXgtgcveb4LirVNx6By0on1bYIWFyIChjhmt+sJMLJEXRXhF+KI83A YxiPs1+Mi7mwEQEprSe/UDNmRU8/zVbT9V1WLscYWvBeL65KdJLrnZqQWGgQh55mjRposoHD2aci NM7LxkK7/z1MRJ4FG208sG8nPPL1HyF2LSObIWrt+cSVyfs2f1UYSr6oTZcbrcF1gQWTuIK2bED+ N2Cyj2QUmQpchzZ2sjSYagUCqIBIz5a6lu5cSdIYnIJlihMyWRzVqOfhpLU67grU/c3xBq7gu+k7 CvBoG/KqxRF3R9cIjr6KDw24iW1P25XYJes+S+rbSFBzICLikfka5MhWBZPCjRV7wS48gGsj3ynM DtNm0zGVbBnDWR/OvCNA/OURaYYbPetJxkEtfMksRDhHuVJgi2OnB4aW/BQknB1RC3OPvgQZKvJx 61XyxF7NT5TM5xYnlJmD099Q4SPat7vo5t4h0HGGQKly75oJzSA36icbp8eq2AAu3XcNGdg4stKU OedadBVE3K3vqFUkZ7Aqut0LeLW2tbP4PGzu48YoicVxr3i1FOzLmExP29TorPFgA45sSmQ7k64z dZ28B0FlRW2rq7Ae50SMOqEIKVs4AGacBNtttU86VhEYzxuX3Hj2ofW9n5A60BfDrm1yPN3beMxl 4PrSTOtt3mUZxmkKVujF2EfrLTivxfLrMiCuzdIcBDwBxc3rM20UPTrNR703ATa5exm3PGh0JVlr 1aW/7IKyU5O6GAf9Lx3HvOVyKSPL+hVwJ95jV9iOGvkrrA+/TIUQwDU2K2ifwbxQ/bhA13LEchli Zv5PMcVmECFg6GoEuTyIlcLVzC0eqbs/u5PsMzlr8RJZdN9KpZsOkj56P0xfgFR5G4z1fxJsm/3P jdWcDWoxe4VecWB8/6zQ0SpNVWPcK5HRDSbCiW/v/2PwOOm05uTMUCuTjZXWHFpkoPhuVrvnP3K+ /Gdq8Tr2kPlFnipuDywkIpWn+TDSIu2Zz/RZfeMgrRkE3/zaENK8VLnpu2Z7FLOFgLLwKzAgRo7M 1s3I2VoeW/p5rxnd5ZnwtQDExPrDZUITPA4x0zr9CGfEh9Lq5i4I+gcPKYP6y650OfwUgAZTtd0g pU7NtXGnMVOrjSjRy3cI2Gpwgo7tPHp9n5/sMd/EE6e/u0shFQ7J9e9u73gsMAKhapFplxf5ec6p zI9Q4iKGTd80QZ2OQMOc/KoogfHOfGdtmqeX9o0FKWiLgRZwLgHayV8d5F1Kvx+S+HESW0j3SBQG nVH39xQpkp19B6GF7vLWM4/sxsQwrwxyOe41WZc0NK30WRx4U6aHD/Mcfhzf7aXjiZ+swCXNn0rs E3w4yt2ZXnJSbNGNGznQW5HEEEF2Hy7bMdsIwGjJisjT7jzxuyQIxuLgk2d7UAM7l0xFFBmnFJm5 PX2sxCjn66C/OAP965u3zHY5OICg0wuKbJLM2BAyk/B5Wcsxrakk48jmFs51l3KdLPZIiiVQbP8k 4szTw2uPdYIWiViBhVdr1cgNFjyuIR9Xdz5VTfCe1TcmmwVCLz1f7POhhMtZDYWiRpgqy4/E75Zj MkHL8GU7R/BmXGiOKdUGWD8Fy1ITfL5KDbZmWNgrl/5G7upZmrM4rjWfaJgNb4aV1p2rk86knh1W GXXCOOd6zT9v+KiFyxpeTiEbroqVNfwtiRzmk0KdKs4WeGAqORt02+ACu+IrrsEoKzOEbB3Vlcrt EC5J8ZOcHLBma0aADiHVJdGGYsAqXHVCAxrZ1l5u3JQCQtRd3VFXnETQ4r3tLJnyEYq8TnfIfxug V/HQYXiUBJB/oHRkVn4VUPlYLTlpSScK8qAKP/yoc2nwLDXBD7uupCDidi4zqFjJ4IuxTVjJdDhT NOnRB4O9JlBBdVLFqbeZsKfZxkCtEJhj5KTIhlDT7iz53Hm9+anF4z/HzsA84RoXpxmODhfy0C5v 8NAxO6sFftDSM25ZzPfr1ViSf5Cf5d/ni4WZkTSM8sGuWPKEAcvcHCRySRlBSp1n/SL5gnWqv6ia Pn6Px5t6MPuWAm8+Gd+5fRypHoprc9UbZayUH3PFdb6i39MjZUKDh8EMGNxw/QQ3nczhVLO/Lc0C 6gY/brTdkDQaDIJLEznhes2FRAmAPk0GoaHyoDysvT5y7A2z0042HZIK+tzXPdEcMu5ZDED03zS6 OICbdPsquFNfAr0Hshrk9yQZjhiHNbDm/AwDT2RCbUq8NzSGHPp3bXhhuMDgqHI9VDXywFbVpTeu ivByEUSLmNRXb8/RNbBg40DAzuggAMXCP7KnQhNDI48mUuiBxWleigAxbij1kvtUH4GcjOF6Ncq5 vNGaIUDzJ0T5PqpSL+Esjw3+U+KzVR5ktfh7spg+Axwe9C6+WKWZYEWpxpYCYR8I+L+GA+7QfrLm C/9kMT3uYX9v3SYIdagVHQ2Bmwyx7Xo5MSvtsGWdvqW5K8Quu4N9w/d8XjVdzUfcberr3Hgn3wTk SQzFun/K4xG8nRDkMkkMuutiYyDsF/IDY+Qhlyp+9kfHJJ/QJSYqvwJwQkTFsC++Wrx4KNkA/JP/ h+ezSCTaEYDyAW0KrPfgbS+OOi6NqnGmDXvaaozGud0KQtGfMcXkEpN/VJtOjYWY5DYLSfhHcrRK R2pZ2UfH4e0/YG5obC0Sduxmv2u7Zf8qkn2FfF2cbtshZi8jRMzkS58JMDIYFJzfg7SoLG4pfELl CZd0Jr3rFtbjhu0VWkuvpnDPfXnklKVgH7Nnwi7EB1Bj1mmpUZafJNHB7WphrlmfgsjDn3xt/gAd npS5q9rZoAwdDAJlth7fXA7hlTG7JCi44qWyO0iBu8D9wdMzZ6vUWMl7EhYmcmpCX4Tsls+MQvYN mB2Hm+u9oK5vLAoDfgqtdCoxEg7kqIFvTZE9wh2PDPt1isbFVwyHV9QllZdQHiP8tjqiMjVkiBjT 3P1L9KEz1OG0s5Jbnz2z3MgjjwLXzFhTxIsGuMdmQsv/UJQYvc3kF5TCxygBw2wDQ/+I008pSiT7 8IOI1sUukvm+NWQgV2M9eulFl2RWmMUpTCPkpDWZccGwOpyRWxo2yirzTKgZ9XUipWQJmwB6ZaOx vG2UD6rMENZqSpvYxqcLg1pCle4NwQFgLl1Pn9te6vMVuyDYzpCPryYkXSe1E8kKNztL66bhDQHu JQ126lhodc6iP8aEiSyfrWZcsQHSx4t4l3mDZsCgatDotXL1UwaIMm8r/EsSMoytE9ue1lR0zm7Z NP2yhkbQwfrxN98ZiURgLTiUZgHftKQOUoj95rBmEJjco8HT/oRgcZdw9aTEN58HDaW+xirJ2g38 Mc8HUgW1nEIUY1CDi7TyH6RcYbjsIxIk6uEq8gZqhpPeCOuPhYR08ywEKkugZEt+uXymLJDCKjA2 syHaemTIT53SKPLCUawbUZXZJ6+8loHt/jmbuPTV77qXP1F+VayjRgbPRj8fKpXQziaYvV5Qrfry r7nMW7rNelGoTIrJbEUto3k+XqkeU+3WoRyCDfsqnFH3XqNt4eigjKb3FcwoBW7ujxDHpyzmpX40 Owf4XWwLXyFN6vRLiVm/04T+HGTdnV4n6LWfSGkmx5kOIx3Jj8SpepmaxXYrRddvc6FuXjvtGU3+ DSG3XYVMKTqBbRzPN93iyTmrDa0qdDAj/L+rto1+EkHIQIqReg17qnO3BCkV8IuTi83iLExOzDgL R3Qq4tVve9X2osfkWgvBoOoGxuZlzQMka4oivneYcqHQmT3YmgEeUDNIuuOdIClG75Ld8xLUNNyI /lLPjI5uYrsUGcLsuf3WI6hnK0hRHCifIjN2jpxco6pMV+mF3vWSxTLb7yCflHkGPq8qlIBgl3td W4wU1RocW7MvHO6qIlSEPhZ9hZrWmIdjqpTJoZkD9WnCk5hV6Tv3jIvVxTVnZrXoOlXOGjwHS1Jx tCZCnA84rewkWyNAq5BRbwHmPH1tbFuZWiC0fuM1rn7lkq6FaF7mfCdviks7aWdTH0Cxl3mb8hqi gDha8lMyrz23JFNNldBAxyJu2UEkR1pT8tZDJWb1Pac1XuX6LxlzSstAnTklHhXFbFWGvdmEEv/s 23YSBaCdOYj6zrptkJI+WX1e/RfTzjVJE401pNwH3DApGBf2VOxUsQZJzEyJ6mfXIbfA0EVFqxsZ WCa2FuCQ18bu/DuRzTWeKZksJvhLo33LTYCocSqXA/YQW0x8qEqdqlyn6uEknVfgxh6u4K8KQWGh ftOLLEKY75PM16DenqLHT2AxDNEL3FAYQkYSqyMzB0VYtl/n/T6YBF8pkUP0rc2HwgNKQDs5PZdp ENXNAq+Lh9m3nH6DgmakmPo6Vx2n8SYK+aNDzdGSSqeyqCqwtng4P33orKmlfJfjec4spuEZecjh cJkMhkaDTQ8o9710Z1UT+32XCpchIA5203M127PU5RtyyDKdXmb3qs/AVx9a0PVcApKb3pOby1W3 F4ty3h0DiZlExdt9+VLgoZZgtjMvOdo1mt0td0QCK0kRfw0Neel7qex8rhTMHJbx584tJkyDJOSU kn3QZE6mxKMTwmessILf+WruPNFLtJ/SAyR1czzTsrkcdAB373Zk5km3UItnoj0UXmR0q3XEauc+ 2cFRN/mKS7jCzeVncv6XrT4izjGp5qAAL5q7J+sEIqFBJggeBNTGsBjCQv6XeQQIRwdpi/QpKUaL fNTcaOXUFG8L451zOKfTyKFcvAHBMLZ2VP6f+w/7aNIbwjy1U7RkmXZ+nNMWNBFIENKFCRvy9pTv uAbe+HO+uT0dC+i/hPG+9YX8rvQml54G+1DKyrbSvMFSzw7toZMUQcw7U4vIHCpDiZvhlNt4YABy 8ppyGwEMFwGzap0w15XNMkUEkaE22YtP5yucvJ17wz43wU2qnDgIec75G+eazDVgc0HoNLXxF5HH ARCeeQPVOmACFAcjdC0aJfUhJ6EnfVo+F89bTZl5Sa9mWRaYoAVU6f/9mYgVB8BQ9JfPNfwAYjx9 DhetzQoZkmhhIC+UDTWMF9bz22SQMsIXuxJGprgsaDHPDm3/kkfkqUo0j+RBqwify/VW8Vp/1SrK OBzqEQq1UCHG3If0bGAlAttcHcRoKjh+692KoQxtQCfxr3KvEsLAlvE4YglMc0n47/MHqDAGFCRs jpjZ1l7ioSgFgzBKFzCZP3GP17gN/U9Z4rB8jxmHs65JgnWcheQk7m23/EFsrXeN1O7ZA8q2aJ9O hsXJAT2qdkg1p216PI7hwn3o8rEnCxC7JIY4ejK6Su8RQO/b2b/aaQYuHG/YR2Uq018bkJlBTTKg ZXweBuZEznbBvQ9eUfQ3M6AyGhrWeHKMqhv5WjfjSm6ec9BzBVj3oBn8/1idez9zjHRCth+Jggko C/dgwguS9LbwM7jLcPUzmjYJumLr95BjUMbbNi4D6hoZnQ834RqBypjOUEh7td/U1cLj+OMU6dJm czytWgdl+txaDHdM0NDwR+LaC95xO8vSGIJDG2fWadMV9xXUgiA3GYkwGuYFaThpQzfZFeH0mrRb otma7AoDpDY6X9ysWHl+7hIEr8S9AP5Qck67hDOgY7dzN8lyGn95xEfsP2P1SjTZJVxppdjQpZLG 6JolzmFkKqSYQ9Ic56NDjgh+9FwP/6US1yibqHrYBqbT14h5mYqOzxNmAQZKTgyC70uWKTvUDjfD dmQnXK5uF00VV3hDSfteBzrwdrvLFbSpMeW8nuAwxtWHfEbsxhfZJnde1MagI10Olw0E6e8bPayc naSuekasjDIUTTV7cclYbF+tUgW1vR/kgvxscqeps8W2axRuR5/WUuFfxcxsLsZwtviK8Ex5Q7aw a0qO2HUZEcYzZ7gaEWiARe4saM8E3du67oa8bM+OYGEBb+LNdv9rqFJwFXdzhop3nXlql/7f/tE3 lzLEEb0Gy4HJn2PnCeosy2yu5pNDp92wpyecm1v90aLajfHRDEa+JD3lksmvnDnKRt52tBXvT/gx A1bJDPwfkPaoSAFhMi/HPv0cKrPJ5qCIJeD5eJLngnEiaKPf5hmsvORxBat/L6OuXFTmgl3beRHh IIHgaooNLyTMq1soZkjHUOPjLYks/xuGHmu5tWm5VECthzUsTiwiqMb4kyvqec78YiyqZhhgb/Yh zF/7Qw58jCi8TD/ate6zp17d6BmigGM80FqxkbzHTA4trrjecp0kll/BVG1LSPUSPR7sZzlyDPKU 3gUxKY8kr66/Q8LxpXOdxtGCnLirszcLRna//W0up7zkJz8G2FZGVxnR2jcZMFMV6pKbMaQ+6BVH h0BxUqYZA1y6sGXCpd0K+IePk5XVBjsWU8AjMfZF091iuLI7qjRHAd+IQdPlEO8iPoIjTjMWbgVw Ydk2pmT7LuUw5ETydsHV3+IRM13skzmy2MCqYyY0xay1XoO6ovdKdjjRyyaPDQFqgFXZc3Yk3YEk tOoUmn767Nv/MNuNkZhvEJ1w/YvOs9E4hhv4VFLpZ2Yimt7QRUyXgzwzAKz5EYWhzkaVcTTkPNtV L4mIv70YHbqDvyeNMbf8RMXCXEwmzTf8mRnDO/6Ogsy12Q+/l+pzDeHfhqDXprMM0in3MWQmPDuh 70G3PgB46SXRXCKANEkp6vYSUq3t9FA+3YMa3PlndOjgD3vrUIM43lWM8SYjKpqNSknnIhZWOLD+ MVLQDC3XuWy55aN1a0n/xWPbi0RqwucyIDcPv8o9fHgFgDZmKQarDoX8EljhCMrd0HfQ6rFW/c3R z5o4ckVD0XgCMCjXMQCsp+vHxvMj3qsFOxpnpoewNFklobBTPOoerZWDDOvyzftngcWCBLjdU5jL Avz8jYpa6YNeVilEslehCQA6joi5D8iHGolq0AXnw1STzozb70tK7R42ClHzw6xvoI3cLul+BWqc /mft8ubsHV0t+XaNeCRClw43ehqJFlxU8VD4VPgm1QJxlnBKCfbaYJRQ1nNiJG9/L1ZIdTmyzw5a HaEv4jZmdu1dk3yHJBAv0U2bRu+hY5UYZ4m2urK+Ulr66H41wHE3kk3ZcIgF44uqtUq2/hV3mDZ6 amlfnNuNYmnpYBPvv6wKHNpNO6zYIs7fV4B+JeGrO9GlZQ05ABa3NPzyBc5geFKpN0jJ8aTEy8CB aoIz5VsxujngBkf2s7DhKV0Qc19hmJ7CX+KLnu5GhU2Tjb6w8WzYpEfOQw1l9BCXBHYTjP0GbOMB uNKIPHI+fZnsv8hR4PqjTfmGtW6lvRU0ffBDK6ngQO8TCauDY1Y/B6SjpyxvGLigoyJ517vB883a xDS6I9kJxgalRYINM8yJATCLR1mOrRwX9+ilaBTgDKdH1bHhxcew9/7Vqws3Rzo68YMobS+IlMnX OHq3saPQWTAUIKKKQ3vZS87027QBqOVnm/JLiGvpsOzU3XhHxZ77uEheEAt/uUIuA9DyqzkZ/ks1 QjU+RbvgaPC1sdCr3XTgLxibvxK+uPavN1hpEjBew/spaColmyAQ+5Y4VL88EJSSNo7bXtte0VCp Zc9DckmJqnXIN5tJFtjnsvk1eDZC6Er35tTjNEbXecYiOntYdjRqxdSL0T/rnqjJrcGb3PJ1LF30 b8J4aoEjH5xdG/oVHj7GP+ykfzJIUTdD39Bo+K1fSWWDovi62WFtzlMOYrle7MYO2PV9tjTOGN1M 1a6aoXOung3PjlHW4JpbIE0JTmiua0/mA00keKSMdLHn+cbUEUpqtkjkwkv2Lep/KEKwAg5DCYNu CgFGGiHpEt2uJjvXwSPcg2T1okRYUP2p9vhystcT3e5lAGXmxbe17lrOXrqf4rNuo/dxDlNTzwuZ Sna6+abl1xvDae6AMwW7sdl6CqhUPhBii7huzKd5e/YrKliFpMxahLGtIEr/lX8qqfkRhf30X2bx PjdZvErJxr18vY5DNRtVSTeQxgoJDNsXoUQfWk13EucJAEqpdPw5BtkrwhHz33fHpKs/JS+cRUx7 hJd1fBpnNJAqbkyoomBneZOVcPCu7LpNZCvqYfCO6BKqHRAvD8kbZJwXEZzO/LQxaKTAm76Pc52n ik8MX5ETe1U7fnb5EUh1LqhTHdZZJYTENndqFCB3Q3hx+DFq2MVQQLvAP3LwFxBzx2+ilHU95OjX y4EXjRT0cIcB5Nf/yQ9V6YYhnwMch3HaVfDCmU1XFQY1HgJzgkejHbuzRuCz/p+Z3rvu/LqncszD AmJUvB1r1vYR8Ru/IDHqTNT2B5K+8SXKAWiao46Diy8HgSaIxkOiAci0vu4uECInv5ss01CjLZ1J 38U+Kw69GSCoORmSyL+RL/aUGBBJAdY/+JULfPZS64Q/HuaKhqLdD2Z0Uab5/H8nXkc21xrUOtyJ VfQm8ttLB9MPjNsidTgOzvCI7QcjCeY2bb5Pm7ZfMRQD1r+SY89wZFCPdOSL6UAy3BwZuAByI9DI L+0TTBIiiYK2Gj4vSnReCsMBba+X2QXwmFMKaF7Jzr4S6B/2Nku2+m9eo6k2MsTBDQw4aP0G3msk NVSTEEkscKRcpob+Ykotnwcv8lCZKWJr6pBLqfKf1Wxg1/nw3HRpzL6ZqDNP38uakNWvHKU9FSLR 7PDDWucp40NKWGlBbw4zjyFUApD3DXAwK4BosE1Lx47MpXwC5e9u+fbA2fotdn7hgTrvNVDb/p5Q vhQ9Xn0re5rkBcP1d4PZsLd7vTUgSL7VeHrxpAYlbFPkSQbgF0mUhXayt6nFePCbpj2KiUZ5vQ/2 7Nt1ai5B00t5oJqz4uLjNeAQl+1/xjqBbszbU7+3XTx1/ksg/pB/ZvP5hMhs437jRy1oovzJjvv7 CAIgpmH+p/8+q8E1ew2vFfzTGxLPMUz1xrqv5qGBZG4Lowcbcu0tSmAV2K5AgA832CZsn7J2jqDw 4vIkPqGpJU+vk8i1VaVY6tOGLXHHC0wVHA1O3nJwOMCB3vJ+coOsPbNZ5gWNTbaGUwiAr/L6ppd4 GbAKnOnwvgts63rfvXXBP6hnaGTrbG5xEvopyjnrZesaEHUiToTj87p7b8eD/kLLobXEKsvXbTh1 hC4C6x99Y2liJLzps+YdL/+2qbKRMUHXm/b75/FzdzQHqahmEzoEvU6plazEXEbcIejuG1iMo5oX ZzoXiLYcB1IMG7vIpmcrZPWUbFuZAuhMKvVACHEZ5i4gP6wRXCJ0XXSa6Y/cDR5jWjcJcPzQgT9y Bc4z2fBitWL6dwnJigJduVuY5FLR2ARfhTfUwm6Zhr7u6n/NA4rl5upuN52WWDQdCdHtLk68oqVN uxd+J1umsEJ8Ey7MZQHtDoIIcbw+093jP2K0tFUa9q8ZLqUrAs2sU4RYvwjJCpPkXcBldE3b5KR9 mBg/110uFxJ1rOHcNpNF9TXx/pTgH0K5xV5w8U2rej9QDtOEgOh6D6crkPB055v4kiooMH+WtTox UWwlaVfMgD1J4TjOfknFzKegf03R7vC2QAPvhXGIUK22HOGEF7RTrIRdrIh4TLY6pR/cctrbO3v+ WxB54HWcfMH+O1D4s46Gy1x6+LQyvKifRyUR57XyG6e+6ncelsm3+hQLBs6CLcYkG79jJ3q2EwTP aFeONyZnGrBUSbqcODSr/RyGinysRS8KhVTChkI7DqwDfGnu38S7LuW7s3g9elUeGKgaMZAsu3hT m2C2f+zIG9uXFDox/Rx8WTexal3ckKpxATXODfHl+Oy2SKLetn/u2+cYmVkF7TVk8lBMWMsMc2f8 e2aW7BshH77hs7LhTKv0IkEAZe+qoSw/sX7NSbelK5kLyqm9hEh3iEhaPxBdv5sQAP05n5bxvbbN h1iS/se4HFVoGum+Pkym9LeNphqxobhR30NZBszPMHzQ1L2MGuWJVmEYewKZwPgb1w/in5NPVkuk 00zapv7kxaBHkJ338KUcVxJEtEznedA5vZ+JbG10XiqiKtqKiD+VMCBMHpK+sWCgiDlgOLnsMw9s FW/0t66vqdm443ucBwM5RYuMAye7Tb/v/ni59+iSTzlNJrtcdYu7jT4P0ajHOojxfMPxepiN9HAH PHjRv5Cwf6wiaWM87gUbkHDRotM1BEeD4pxx5XB0LEj/ty23omD5ghpH0YGXj4CgJ0t+NSYucK6D wBKLkvnAtX8u3fbg6o8bB7Dh+yjp10DLhjOr/8SuipjzCPBB2AA0bimlZVaY+AyYU7SOx681Vk65 wKaW8ikpKWfeBy8GgUpOBn/HY7Pr3M6ehsTpkK7F8YCbXb9qOn+GYjPJ1ITcUkNFk6T0GViB57Yc 0SR0j2kBJMi8ZzXDdjy/TtTSZjSoHgvnl8YxkeeNbXA5IbED+KunNqcgeRqoOftgFWNcCSMsp9x8 paCEXAgU2stfP1ev1ci1EWVIkexMVV01m1IkGW4XvdTh7JdenuC5GXL3Wg9SQs19VsA6U9j2FsVS IW534Rhf9vo2TXIYaoVsmA4Fm3HJsgjESvkGQw4qlt3e9qTl8fqtE2CzauPFCwLLf1JYTVKcdB/N wxR81laBf0NcbbUYCRX1fisjnSHHuESVUg04YwuEcS1VaMxKvguluQcsCYOVEY0p+5e1Sl3jkk6n W9ujYziw6fcYz/2nOoaRAfj5QiK/wFyHN81HuHvhTgBaVN/O4OXmuEmLWTOq1diIoNBLKKrPPEZd EDoxef/LXdvC2MjqjCwnYbMdGvEpbljOI3Ur5wYZUSUmsjxUjRyyVcZtrYZ4Z86vNPISf46CgsiB 6IAUeWcuhPeFH0cy/gM0AI28hSOnECrh+WankCQzoQ8oT4bzr4gFDtzO+AfFESEY6iClSWiGnScx kng3l4zKnqW0aH7mE1QdFWhqcprf/Q204ctC0Ph9U3tIn0dTZ5qCAY9RLSlVpH5wC7UkF80A/HQe +T+GPp91QRxX+ZLfDfCvykewvxCWBZcSdgy54qnYxEc8eIQ54Ia4CfmRIC5x+rKxCljgeI/DKVOi b95DiJENEgb4MjezCuTIEsLx+OH3Sn1g+4hrb4/Ol9HdnC3WcLf5AkcSR/KK1Itn71w6APiSBQEI rZuv9tsnDm6SdS3SEtOBIMH0rSx6l50mI2uB6O+NXjRCUoc4BuZgXvIe7sdmE2R1SWfT+Oa60HZL QhMRCxNLjtNZ1BIUvu7Q4R/Auau+IBAdHROkIatsTuqradLxYmk0YpnjkSZPlb91HxJq9OxU5jrj ZUYTZ3YnukYc5eYpF+cy1t21ekRrUNu2gBAs+demF7fknUPpa6HHoUr34FbOCbea6OL9YFEoMIAk IZwvIPxKScnWAfHZBnb0Vx5o/aGHTgOVB6GQogaJZWzx6s/nY8vLf8YLgB2kVsv8l4/mpc7QvrLK 09Z9/NNQocDd8pt2V3CHrMDcG7/rR8q+gG8zTBwRwb/mLpt6iKbWeCY59y9RDaPPK3/BXH1DN28k IoDRqqrKesfTbB+JU3YpiQ655TzXrCITpz/wqDR3bv4t+h/IooCER9gaCruUU6WS1UEFGmbB61vk om2o3/eOlcJQvQ9iJhtrGueySEsBvF37WHgb9nfI6ERAlq9ld065RYe/7BW5ykYiM4YDT3lcv3xq z6FWG4P3xDNMyx1dBNvmp6aYGaMdeOo4dwOPsELc/+yZmWHNORE7qAw0clX41LF650jvKO1U9vbB wS0nTgVYk9Nf12mThYBEvdziz3jUgHJnV5EBJ/6vuGv3tQGOWSTmV1DHMSXrTuIeDblym3GeMbdf gonuq1axaQdN8B3RZg+/ZBPiQedBnn6KAJ/DkDvVpzB6W513cEh8p1B8Vu/NwgsT0oljbZtWPRl8 lXiWsWfLH/Z7W0hhuQiqLaVBJV0s2L1jVhjo6WrGeaf9lwuNb/nzu8Gw1LA3HMJnsHhUljEHzAkV ocoeLFpLWO+Ox26OV57MnrWg13sW6qWmuUHKDDC5CVHcjHb94Lw8rE7rgD4ZUAMRwCi0RJXKLCvV SEphESDQfHBDk5kKohjJsAxbiWmdtSudq+mG/B5sIKWUwM+6/AoFQATa8xQpMWjfrN34DVSsrC4D wKX6Q7ik++7BWmj+WRAgBsJhuFfy97ifpF8YacG82/6Xdpuwa0YWV6yDZuUSQMr0AehQCu7pPLh1 ftYjr/cpLPcWLao5jY/z/Lq5qnxOA0Dor1oOUI6Szzfw8uJDO7Oyi3css+aIp34TNT/GwsxKfqUy 9aEmX+clCVFVHa8SOWMHPQllpymuYXJwL+7Wa6QXVix0zSOS3bD23Kqj/+CF4MNTrm9tcoMBt6CL pOxWn7V/+vYj2qyXHmu4qY83n4V7QDJl2IRQzqRlu/qAKBn2tzF+WjtMF2VxFwGJn/bki8LUSG1h 6Hp4gBjbz31ZT4HHD9tfwG7kqToVlSYIOs3d3vKR3Y1eKzCno6CTtUUK+gRHHFPotktMqoQ5PfTP eEBIWB5UOSA30U/q3GUVWB/E0J6vImliYvRO1JglghO3MuobrGC85N2kmo09G8ySzdIUvqjj2k+u eJoDMMFg3oIwfvXBr5iUJYRL7C1T8rkUxq086L7j44gQ/SLg3Pcuu16vmp/sgyu1TERa3lDmBZr/ ITXU2k4Lokuu8zzrb8ton9LY+no836Uvi+aQu5Fa8L5AXPSskgbbbPdRJm5A8BEHQnZiS/MFLbBU kgiUc8bZjjs8ctqPPU6fMRVJjukz7EqqHgBK7fesErUuAlBJv0lCHio1fchtw6i/NHG/NO2dYeWC aQCPpyWpaV0/vWyash65JuqIruqkhLkPnBlx6KRcYPl7mgY6y/kCkq/gHm8LHKqVuJmtecVHojKx xOKIp6hVnkgkLhviPRog/OoXCobNrFV3ds5kF/qr89W974ryPdhyarh0gDbaYLc2jnA+BwoEwm+Y 7Fud4n/G+f5G6tBSOY62PHzgKl6AoDGF0AhvEDc8N1IHQSn4y+HSZqM5POdkQBfI97diD80H1e6e WELWnsl+DqlHkzOyPIL+wA0UT8NgK6uZOSZQMAHqlBcCDZn2fiSIXoFuXqiWMoyFmSMqxLUQum1p iO1j93RYu4FFb+hXyONiEAY0IlxR2D9TCJ7NarZQOa4nG5XSS436EHbhTe821RTWYRLjzUWQelhF zw/LYBzNYDurnAvdAlMRkJTTvrfdOZt61bLwwX336+OWyflFd9GSJ4yOK0a8wy988l8NNhCF+ziA X6Uold3r30YEO8g/u1Ay/TsLwkmEErzTqw8QDWd9glYQIw+210gu2bt3e5CpBQ4ZUCk0vnagYeio gPRUoceDe5eQ+1H/wcQbq8j2T/GBDTyYMQATSoCnewtzvG6hWD3gdUuoo5LqSs1j3FVCoSCvMdhV yiNca1hpbuZDBfL41JUqAQ9ZowXijGkzN2CHntS6Oye62Ej9YHbilcKOFDQHDG+hB6Uy6Fvs1Xbm U9vB3GlErcpbdlKu9EEiOM9Q1CLrtd/iSCp4RhvVCpILVLXaG+cXkPD8liPfUjvacGjh8xqbAq3E +pr6TXCqG26SOrWqyHcyU4wqfgC+zGklXSBdcqItAGSsux65zj5XmRBW0CYLAWbO8hYdbSIKMoZj tsDI7R7CfVjgV479O0QgJZdwQCwXT5mHqLMaKj5nrkqPurFdA4z5g6Gj8vaYcq8fPCGitrqvz2H8 mq/KC1D/2OQY+A5LMlFRf3Bj4dlZJ0lI5iOS/TjRQiKCfXVSd/NvDUIIqFxofjsu4QRfcA8uqIwS whRcFAC9Vf+iN8oyfTcqQxsHWi55D5D850sT1UQMXuZkmPADmzHCrZH0vux5YKNTR5lj1SmQ9Prq frqCfqktYJii5mZR/T+3YTTpNEaNYFNrD9udfq8yPK2n415zkKtA5XhVI7FSukBm5sTeZn4Gc5pW l/nCNgkrNGsBnaBWsK2whiZzjSz5NwX742TRatDa4XTx3DDSB2WkGdwy4AKk6QfMef/uqAiT1UDR 9a03DyUG+uXB47rRCLrD6Ms+H4OoV14hddM7gH/ctwva8Ub2+lqz1fyabmxN1diEtFx6ZIDLAduU bL47hx6avtQ7jBZa9uXQpA4zYjJ60yVOJygPHT/TAsjxaz+JzGDmbZ9qAwUjzFk8BRu8BKtmzp9B ywnng3g86Yp1pVhEo+XimDe5kdmsznKUdHhAvpYwno7j8AjChWZ26/U63tuCO5GSvjj8HrA+FWel sqgo0PAdAAyvpB3RzxdJfmEmpTfhFozOC6CtvdMAdiGgPmvdAOaupuOSDTbOmYHfz0wwJlc3ReNx 4ckfVlJJdq5HFsRZWpBAI3dqm56Z1oTSH8xaJM7vkeWpQZyYectdwJxBW6k7ZM5NqFVo1+2ugiRB sWzt3KVJB4517ONdW1FEU3kVvqcoCvyR8MC8Srs2fNKdPIVB8ng/F/+1bXUt0gZIVpFbltCoTU+B k04XcQjaMX/zqg79jeb5KQTNATPqsEXGOZEF98XBW0FOgtImgpDVVdZUew1rmAoXjtZCz1A0pR6T TvD+NAxywF4OhPVxvOFk28WPzILNqmCpzuyXeFy9CR1DcKYQz2D2oc7PYgbdNw/e3rZxcLI4HEiu 3iQOUIto/Zn1BlVlVwYnJFnMaIJuNeZsJLGBG7ghDIcJMYd+OM584o1Roy/Gbbdcc6GGD0xGVGF3 BL9V/npjrmraw9yJCZeHAG35n9KXwIweS5+mB3O770VU4ay6JVl8VKVRrH7R/ElSv7+vho93pq+E R5FuSVG08cR5sjSzDEi1FYaKHp8/9/oPlOlu7/R+mMDfpQBraNbamE4p8sqZaSwaZcp/nqibTC5I 4+/elaCRUEGjIhicnEKkL5zaUxIW3RgHzboeSgmVZsD66AeQ90A3eFD93nsl/ABnAuXP//qzib34 sa8DQZ5Bx3ss7XEQmjmSkJPUk08pFYTReRTGN6j1+b7JLxlOS/HUY4kZO/NRgRaNHij+kFqcwaqx DTU3GWTOJW6WD8HDNeSk78SlFoPcmFD57OY7l52OWHR1lPFPv7ToB0qc65CDDXtfD1oFsOPbWgFf esNPxZAldd+nTdaAE5MAeLxlgxVVoh/ObVAQijxfFKeI1FWMOZ0RycL9VsA9RtpYtZNiNbC7MVxI 447Frue8LuS02YnJ8so4RKlVSlscDREGSVa1pcb0aRwiI7zL1wJFFCw5eqkOP7tj0xByLU7VQ/dm ZRQGXLW57D+zInrxZVNxpMg8/WtvRQQ1FP98ygZSte6mWa7Bv7IQl97radkeRLfLVZMxIkhd4CbM NPEmwt+iOkC+/SgHvajGTNhryzUI5IOl5Q/lrJJFFrnXskiseR5x174MeY9K2RObQ/Iicnj3biC4 omboraEYgcT6oFX/3hJhaHpR6kwt5OPzhSOrWA+Hn9UQquw4dF4uT6004S6j0ttSiJaJxUHXysNm 7kTa764+HbsLQgRWSJ+X03IvhZ3r3VWDsP7Hmraq2ZJk2DPT6KiuZR8pvDXcpvx8GnNvWSbvpJjy b4ziYEfyuaq9yYe6KdZCVt+U1r2RLYTUDZ+sYYDIl3NuQjuou22CevK7OKADPB9ZjzHwu3cZ6CR5 Yl+k4NmVLPg8ht0fg/Pjpl+ETAsJNQlK2xhC7ggVT5H+aA9Nyc+8REXCRLi5Tb9Qb3fsAQ5w6rq7 r8vr5EGcZ9+5hE+Y4QmaxEM5BdQqNhvysDAsJ9AQ9kxhQ8t9gdhH2kjCmIX1vJNDPCyyok1dpc/k 5tC7Qcofw8ovW8qgH12I+nPfJvNOjuOjmxZfruZVYdd82T5qYfJNII+Og174idf8zzn/xt0ORHDn 2bnx0R5cmwStExpFCZicUf7kEvv9WLeXkTwF4J9ojV6/spZsNfjrk47THd6XkC84GeU0g/dCqTbS sC9d/A6nwCMxfUD8CN5GOqkpOT8C11C02RL5H/P9SnSCGdTJhFKR7wE5alhIlcqt7FivX12Wsf+f diXGlDR/5cpmuMGyt4GZhQDDMHek+ZGMUOTjA5VFVtQrVy+57P9K4WQmYWjYeTAGXWC49hra8U98 0I9yRElAZZmBZCQJzcldpRIEswsNaXILzuRGhXM2rPPBJFvvHefcCrM1pCqGJ/HLUuQQrhn1Sjxl FLPMB4/rcfr8U/PSCz09f5NyJRl03yWvOvb6sjm+7GNkGTxcIi12IKxnLcQ7W9cW6C+9vZH0pT6t jNMwc5NnSzUcd22dsrTT0udn3lRMVMuDFqgYmALMpnnv1bo6E/E9eVmiJR87M4PpO9AVvVBF0fJF ISUq/vaPhwo5jT1DPFC+YKHL3j/GY7wg+7oAOnD7KtvCt+P5i8sP5xL5SOtwa51KzeODuTtdt6nd C/ooycxFpx2i1LUTPx7T3hZ8GN++PTqUg3s88LIW1A3bmNoxyJlkrPwXyyTxCY2ICBG+rhl2+OY/ RNHzoQPWjh+bN44op3ZvILCSDxckCKm0AjT0j4V/16q/5HwZimqOK801dKhacvxqCrKoEG5svMS0 IU7KY9lblCxVMy3ub2sijiZ6bUOmtyUI8wPV6wNTd6SpV4fkQQxPooHowAVwa2dLv3uqCRJUpsbh VooKtkUpDEP18/MXcAZNTUGwVlEiRxiDaNqf1JUEWWxoKJzZL1PI2egPdUENkeEA3MX6GnBCL0Gp mKJHUNqRbzO4X0utO56jN5Y8NqNNwd8NpWQCHmgBgEtvAombjfw1ARIM1vnA5ID06jf/LlFz3evI rgo+Xzd+M1b2gKMBsop9hI1RyCAU8BpDGl3OH2ew00g5vBWqNT9AFMN8jwmaWKNra3LCvVmPjuEp Xr8wjd7p3uwsi7hc4MyQJIDoCb0w/Fhn8kAF9t6Y9s1ynZyuRo9j0Fcuv+IzpvcD74EIl12fBdqr +ukBByyRCbixTLFXF0zr2/DHsYpR87a0miroy4mxtZw1nI7HIcIwEPr8+Q/4ZZ8Md3cU3qL5k3U4 W86thWANBNd6hfIiXTF6mEych5SpMwxquZ86jRXUXPlmp0SFN8hZnTbsqnqQW2fg5N4GLOTy8+mM UlHjH6rgee6yhBI9/g1BUAFOzwDv4WLHZRBj9yjGyrnuGmX+wAOc0wmiOZ7w4uaC5oBYI6Ebwc2M Zg3+YcAiAxXCx2hFerba3NALswT42CPENEzABuOGQro88hTzAwZJ5Z7MYZBOULnSIpdgBx7w8PRE Ost9NbB4nqQhx7CJjK3iUVNeob0Ao41OtKA3szxYL91qHRnIwBSPOHgORcdABus0YF1bsIs4c+Kd qqbqIEp2zXWsLpc29nqGvWsZQ033xp+Gwz4JuEgj392Owh98fs3pRkheti/s6IlGbz1AeYNL0aUO yqjONMx3x66DyiB5UR5K7Mv0NCYqzdPFITxnXBnohg3D/gBjhFFgLAnIiTtqdPfgalpsSAaHtLAA XOrhIolpnzefJE9icSIzq4tL1xk4j4drDDE8w8fPuXPG4ak0cDHZG7JrIx6ec/a57Uon+kI+GViU YZi6qbrhCk5H9iZneVFUjXN/sy24tw/JTYOtnRf07zeA29FMyaVr+2/DQBmCmgs6jy9S3p9xNLBR fi7iRRWo5idl/4b9Uk3CrXoSMbWi2SKNSJtoFmzm3Y4D+UVeVO+7NgZe2LTbVqneV/F3/jmobR/g W3C2/uZi+h0tVNh/SiazaB68odRWmhSNrF1cee37dYGFatYcDwNt60Pj0GjIywSaMN3qreL2RiDP 2/emcezUlBftj37OLdNM4kOHGdyy3tgAwDxpxWCua41RZGjby/tW8QRjo8BX30Sr1O4KasZf6bkv +EYqelbL208QcbKmj2520w6fB8i9mHBaczDNdI0MvX1ssohIcGHAuy9dPZE+cH/IwNXU8RHS4gvr rDBQgTv0mF0O6IJimQlh24p8B2OuRRtmEQWrU1QlVA+9BeaW3VnoLsce+AtI4+22L3L9/l4c1jwm 1kw0GFocw/E5d1kFp0nh59PaAg97fTe6HGQRH2nQUcBWXE0eTs1uuSnzZErGPJkF5bcaR3pXXY97 ckJNHqF/dPlG5bpDXoeReA1PoOjc1HmcanpggVFD3mvFZJhs3KaitnBJj/sRi2V6N5pTVYXOGytk bQlvwZB5+ugzhP4XiKIY0e+2UE1f4SylQG+9Mw8EPBFXMBqZHAQti+Ezjry73C/H9aow6WMgSJUa 4IFW5Zzs5tSY+V88PLGvwt8NPNLCVC1J+c/Lku/cErxLjHMdjPGHVYPCMx4eRuYdjGr1a6hqShir KE3F0H+/8FZffTg/hnTm91pYlNh40hCjdvacFeJ+R9VgnNhEaLWWQilUVvRji/DQFrNQ5eGDcHix 7EnwOBm96XcXRszzpT5Tetqz4sJ33QYNi6buh4logVmsa/kRTs6mjkpa7dT0QbxvKjsDWhjj6hPF 2irJBBilJp9xpwKHPQs695stdT+FoAIBL9z50QSKmaBQEOXbFHyTphZK7EJKsDmzg48ScQdswx9p TykRwWE4Fu3oF9HlLa1Wj4zVH5k3CdbUFZ9OylYWB5et7Uwg/CvV1NDA8SL1Ify7Ri0QESBkuFOh PL/SQjAJqXNEorhjv9osPfWkMI+cWgG923hsfIY/NmNvh6Xi960SVJISjdNmflVaDQAQHQbJZern MBh4Va0edUt84M9BvGm3f+842m2tUwRgLa33IyDPBQ1WlMgU+GMmOFLsY5ZNJCBD4iBvah9lOWQq pHTpjZsKxGQXRjL2aS729H4z/0So5ozNhZA4vMODvA93kFwyXrsA9/37T9avD9bqnv+MUnidVeKP Ji/RFw2diZkWH81oduyWqjVXs/dCPxi2H9wSmosvDnWtPlkQVgWsaI333OrU1MXgkgK+H1LK5/TC s+uWKNunJJDmwfxxcj+IzFNnoKHLj+0FM7qW2N9RshXyW+TZVvmYrMkVmw44FzSElDwDtZsU9Ia4 98AhXgHLaqxxDBUO+9qZ+bPfnDkLEnebazAuzYhxAFSqLoI5fr2+1XQKBao0lT2yKqJVlUc3Zqp0 81EqT04xqsOv2ynILZvuFgDUJAHk7w8WLsF7a2TNZanmq9fUu84Jj7yR8U/aCpc9vxHMb8BijVJS KhkMOHCry/9h5T5mz5Qa4t4/0oWD3G4JfY86+12jvgB96dZ8BE9mYaHp0t0iTdeMvY/Jl2+CEmFE cgwY1uGM0CIiLFmr3sW0awHJou5wmL0Qgo7xozhZJQpEPYly1y8yKQNLiybdWGHUHTZmiKNauVQA aBdLkNgvqxZVmxw7lHvnYBba89yjycCArTUY3U3u1fSCkKTWS9ds3W5RI/GHG857opHfl/ajtw0D UadtSXyLzEAYZXVfMts/+/54dp20vsiYL6i9Hb+UFzDdfd2oXaZdhLbZ7i4ScpMGEMhk1MYzStbP QahjuoJ2mCJ1cWUwvlNft5mKI4Jlxf+/mGwzfWFWm9io6+VrmTnAOFtMl/jN2Ee8H/9TxqCLE2NV eVSGfZIqhdmxUGvkYfq75faeuUJTDXuG3NF933zG8l0kkK/JiuWqhQRklR+PgxPINyQZbuz4K0ia 5NoyicKeH+mmQrR86V7zQfgecgTeaSpFGIjQQIasK7hRvEVhOX9gjTBdN3pGnm8E4JGeZs9W40X2 yGc2bh/8qGup2aeYliCxKIQ4spf59nxN+xvbLtYZbyN3qN708tdhNXvKHVo0jxuQ067LdWaykK5N LwVvc4Y4smGOFTfULq3KqHKVmhWXvShgPHYJBBN9OdA7APKWpp9h99GluDHji9G5c14pgDvIhEF2 mD3I5/YNtt/kyd7+ONDlUDn1Az2Hxu0y0OdqFmOv4W1B2YtE/r2IQgggOUzRZaKAc7MUIANQg/Pj 5U4CzvlvpvYCYWuuobL2TyTFnJno/jAV2zgxZxhIulHPh2pbJqEbmKDLpZz9rwrEpSi8RxxCQAzI KbNw05zhSVmDVE8LUv6OoMX6YFu8VRGgaTaceBlsDmH7mBlQxSC1xqDTAeeMb9SeHux35s9gZT1c GacdyOsOJN14gazvfkaWv+8TObmmG9mpYaQEvsdiOpQzn6DinyeinQI68kAmInXBXs4UPqi442I3 cROxhLKXWONGuiBdKDQHca+vtcax6BLzPm0gbaQigK/giOmysb0fKpSsQYXGb8lQAzV4xKhm75vn TFcH09aiaTrx4zG8bzjFEHTCV2NJd8kZCsJwQCUkHcFXU2e7Hf1DDK0eBwhNSBbYhNRX6SDJKC+9 Io4tiF4vEETjub3nZTELgPVZxCqgJJ4NQOmrF1SJFh0C0b2EuJePU1rJfpSjwscbvAmeUTx6kMgv s1TuWGhpo+Ipb/PUzPkKj4KRRJhY/wklONd176moS9pdXSFarPyxensrCPFTwni8OU9d3Owl4xF5 wNCwIOyR6cNmnEjUzlIbwdqpAb+gFOR/KoLFFOUhcCOchln5nLzerEbpGNJ/sQs0mnsZmp0ytavT iBhSpqkFhNmPQ6tDnlZf3LTPnEn6ANyfg9dYQld1PTKqPit3HTQ65Qu1Ca6WcXiP5ftFeQ2Joc0U JMu4JhxKn3SLSMFMYEVRD2F5KhEmUGBoUmdLwBiSsM2V7ZtQYz++YwlRrA199YKaws6orYW59nOU ZfPMUImQhllolVUzftF20VQV2A4g5EGH/3gRGE/loLPl1DHMJva6JMkQpZHrEMKlmhmncS2z7oLk DW14jyHm/pD3CahbqCpAxMKlPo3SX1ihnbEss9jlMuOKnLW1KBut/Rj9p6lop1p+Fuiv3JZcpoCT Dz5rIkn25TqI9NdRZzdJBo7a0ZoW8rb26WWLw+wl7f8Z6smp/lv1/xis1mdABvmKgwp3KiniZOAe blcZaoqhmxgJ0IuJers7JzoWcm+rfMdscEw1I4kMVmdBmBjHQ2RnzJNhvOzaNyenofP1kUyWf6Lc t/bK/w2/38Q4ojL5ctY9kHc2ilKMYgqd+w2MYwalyL4PHZZ5oXsakMWKBMQ+DS0sMdIV+WCYXr1z P7+yoA8A/QBbtzXELWBC9ewQDFVAmAF1aasXxiuqol7yqnDJAel9/xs3S5+6iaq+UBmgLNBzbY4Y kefsJKA5XdDLoeGiG8iw4o3KFzMNi96wrzceQpfQtDyVVuCU7SGowNmferppheojGpvVDPmy99vt S6fyJtnaPuJfWFsQnVxGd411QCIdT61bSWbt0KdrxEgGgIC/iQtzrdqaI+arQcM0ngflfufUVukP 6eoUmdNutUVFHnaP+ReELB5EHQatoycbRDYjxQwR+BZ+aH1wHYd3yEnFZ1Ovzk9kjq7DzHmex9mz pHpLjbbXO0M94PaRZ75BIHBRKlo8aQtoADaFrixqIAT1tLdMygmrUbREoWyXUSnJ2Mxt2InYAgCS 9p8XARFyEJqTUPb3RXrJIwq7/OiE5ncg20VxHMsy0UlfBW8bsUfc6a8NPwwND3SZ/vNx1q4pWm9c oMrvOLU9rfMzmbJGZE1vICRnkgsXZjy7JFtiGC78wURCb7G0D1hM9oEIZPGTraF2OOFhvAUawnsH OTCM67onR4zheHNLXjSg0Ak5mIsy263oZIQUi+gWczXkuJNExmqRN3eiL/8XFPVSUq2geTVzB8km Mm8/zZqGN0h/82908sdGx382IXqzrri17hQw8xX+dBaNcqcmlSLKd8WsA/RQiIKHEu26V4xQxuBv 3l92K34euPWtQlpqX/zRkGAknpPDR+JTI7B/yUTsAPeJIi7wda3L6BCvRdHgi5aCmaZ/aDcbHB/R gpdHm0ePUBlhgU6sx5sW41njdF1ympLuuu8ff29aBhR/LcPg+q1sekWIPoOAtZDGTOwu/TqxPUrT Au9LOv+qFrKDNmHqcbT9JP06Dyv2Jx6LbrQRceeqiJxls06dHKOEaCVOE1sI70287WLCHMbnCylQ kPjmkwLSnGKB3XFjkAQEPyL7hy+Xh55kSPsWox/hnw7mAZBiX0/0gc2qgiGl696+ExzULNAm8N7S CI8m1lzfknj2hRL7VVPDipBwgZuW9EDAxrtL+Kl2AQog87trOV5cjOolBlo7Ja5ywRgtgQ9pkOab O7t/YhtlqUjX6RlIZLyVsjsPgkqJau1W7ndz57C2exvg4dPoBPchC8pXIeVf8MnyQiV0Y+ppe64F xiS2ugLx4AhGr/aNTRT+br1e9uGhD0+uLnzW2gs5pvDeesJAcCZFUzyaoUsr81EDGsRbHB7VwklZ 1Dtl1wSkSpYsuF6D5IhghGrcLYa2sM/dsZHyJ+NZ+8BmLkkwmsb/ETPE9movqDxZsqD5XuQaCRCx m2mG+nBn7OIeLBjZkiMp8/HBP9omszRgy/zRM4+UmUQgTcRdNXhCI7NGoUAkEqzUl+d+Ly0D9T5x PoYa4pMSh3b9lqI3jJAu1iNCZvzfY+Q+tGLBdz0n1ASTycZuc989igB49YbTlzMnxPH52NRO5SQl bhcmQwPg6rcap0+ER1CQSpTSroFJSNO0KMXd+b6CvC2kUD37x1RP/UemBHV0ATW2vl2rO524IqCF i7JIM8vSSRb19JZHo0dJ/mj1AGp5QzlU7YjmEGqbZYyLXQYd+QStojGNgNurEHiKgMLrAsLXpGed iqtBnQALZgWNr2ekpCSHBjFMGOkXQ0xtjKSGdldz4yJn13CZTkav8BcWU0vjrSkfcnR7v2rLCBsO lTz5p+QUDOoJzxNH3+O1SNE/5qkG5nh+8H96i3ZgOezT9+Q4x9effvRRazTOyOLthwwG0Iu9pUJo tu92icRo5mpHrrFZigG4jYKaIZip/ba2cOvKYt692U3VAcHwook5+MC1hmyNCbo6O/TpNkNO+ulS 6RS/jDqLai8q5lS4YVLWKFY3Toyu8ZV8ggyT0OvvLJo+sBOeILQ7dgfdaUjK7YOV0W+Nk/Vc6eBj ROLBnpHuhfKhVex7YFIEtrfjx6hH1Nx8Dj0jg87SCC8b0LRBASypXRhAsmEvwI1nvTys121J/txa dxXTVmU3bn9qDFUb6wgYSM4rayySZ5kwJfuZo8iCJ/a80lPxnj8qtuP3u5iy6fWxxrztLuQTpH99 AdbUxRxrSdXd+OtVxElBCbJLqq9K0HqbLtELOr1d/F3b4kQGlXpq8oE6zOYwNpu5zLOiOSdp6rhi 222fXCPt6M6wBoyQwa0unIOX26ZPG16efYF3I/2aIA4r9ItEFaAdIvprg0ZBdZYf7Hu+EmqRm8aq UgHXoQgBerLupT6a09KK7cbuoUpocuvqxVLlk42Cv3twxNdcrfUu9W/+pOGfJLjQ3CSu9HjQrZ9d gIkUvWHrP+NibNbH3dUYIEA6VXqhSoktCLX+1OT1OHjLHW39dYlnn+9VosC/Wodtyqdf0q9ABPl2 N5Oxs7LbVadk3lhrOClo47Jpcn8oQanAuec3oMKmT6RH3225zLnbI379iekrktroH8gxYW+9xYEO Mf8Hq5UXp7MdUqw4zt+J3BzJmm1RWy1Wd7HEKYwuVD3XVUC6Hmkw/JHNM4llpCd2+4GkfwVhCTFc Jvm3S+YWNK2fUB2azaN6B2M48HkBO3yyQmtaX6CbnegUKuYO1Xbg/iqs7mEc4HMZmUa7Er24JpJw ERA5zgXnDt6EY5/95a1CDkNTOPbw0guIKr4+eeA1hjHtiTOhSGjUzs4IUNUVQun7yi8+2T46+0vt GvCeoB4QLH542sVSFXqn3IT3Wl4BSdxKUJ6NtalSSmgMULshCQSIUN5M/X/kyq7j3+uPXbFoBPtL 4QUcYpeff1rpkwHqR7U7n5kCUcWqygtapoB1VxdVShspZ2smbtj9D7+Cfne89grn2a9c9jiwSYaN 2i0RVYcwEYI5F3ImSf9ZioxGIbF3znpEz6iZdZPpKiH4VH5TqaxeiMOphUdIlQLXhEB26ie5GPRo ojh8oXwgMEe/X+vRM4BWPbpuGkWM32ET4LJ8GrWKfBcJO8XXfKXG/tfJBsgthYaGaMT3NqcKwq3z R6xBh87DTfGoVNg8Mpsy0MKk6TSK4l8R+vDe4Oaf5tJ2GApSzfgcm0LxWxfq0p0wAnqKmBtR/nwi gM+ofuvi5lgngsowWEZAeYtgwbVk1uxkwNZ4UY7Pt7zblDvG0Vxzoz/vu7ADfJ2drbJ5/NIV7ZKy w66/mT0dPHVGjHVW0X9+wba1NDevOvo6HES6jXlnYyIM7cGM3FfOGRnwtoL9J6yWI6zjr7is5nRr 5gWr///wO7WnKeXo6hofoSqcAlcZAUhgVACHgWGv5O5HUwxP8CivcGS/r3e5yipDfrtL0REBcNgi whWdsYwxRUZTadgerNSU0P70SeSUdfz7t7OJOv2zKKqDVMOugJX/tUAGNG3ROcb0OsikbRN+Rtu/ nsXnn7OFnylddUe0ZCpOYuVscqjxin0/qAiwimBuWBOzBuUnEg/TWwLbTfmKMB2Ex8t/lI1KrCFj SLFZKdpbOgWDBH/T85xY0K4uVoWzYhxxZogzI9Kc0/SqBsUg7gfDbShCG0nYhutCMRuLPIzvYM8V LGFmhw1S6Qge/Q4a8CNshWfOjtowF1hwrRcQv5OiiFZ3f2ESNgjOzZb5K/fqhtCnFF3sqYZPyzuF VeDAMm7fTV2kdEler75mYh3QoHd/exo/PbS4hA+8eiOXYsjyWLEFxttzMOA9M6ZK8nSZyATWWDII 2vT6yyRc6mYPuioPl5k7I+uQjOc5DJmSJ0AflAne6iX+LQQXfsNpx/RBAcdLOSpmP77vmdeTgokl kVUF6bO+zy3sB/ouBz0xCREpPADucKW1aeo9RCe5Ry7yfW+tgrR+BYLfCNa4NcRhGePj0ixdN2kr +NMnmQqbWClTr3Bv/FQ8E6t7+MCSoJyM+jkFKD6zQS45zGslChqaN1rf9j13Xb5j+Tsx9+M1QQFV bBJXJ2bM6aV5Ov5paDigwDsqHjkLwNAR19PGhzwOXvsw0cUM/Kx7pdXIowwRI7yXVgss+NnrZs68 81Yv8dXrkQA6iYZb90K5m3CmuVMDcJeRZBed18/n6Gr1398EK1Cfxvg1cghDgtNnVL954sG5IFqj yp2rI+n/CAAAE9R389L7zrSnofKrtN3cxJhXZDb9eYAV+QHeuVRW2aFZvveuCY9Nt/lGfCjgHc91 UXqjIZ6WdiNEEa3NT581Pn8mLnfPaa3A4jUSdcGnhA54/B0YTXpbLvS1EU63juIKACFP4d89EG7J Zx7EjXKHqV7IdwqTQfTTHEjqWixkIWOco/zylGhPNXA6DHDcq7dteCWW+vH7wxV5EGQrb1U4qEvg XSkxP1L9NPY1SOtkvIP9rmRsUX2hvpOtBWIzc4K/KrKkybbSLaWnISkP9OeHN941Nh/I5dBXwCuk 4C1iaHUOpO4gVdIBe6YDKzTHLM+1R+7iUy5ke7s+TaXIHUS8rWjOzO+PXal9f8GryqnGUUTESJ8E hyKf/BXE5WTDn95knfJa1JLMmY/lERn7dE7w7Hst9vHG9l0z6dmm6ujE+SXpBsavlBVwPTh7nlGz dl93XBLWSWxUai4MjwCNbxEoSnYdl5MWm5zX/qqHFfDQR9odEQh69sDKlWID4Zgf3uyHJUJUHcCl Bvgs5hibE/bNtd6ThpJ+GxDKF1ysEYsHF99Bw0NW/zg9jSGyNcTboPdLilIlH98rbwECVmzpBnRV 6EDhiZ8vQ56zYb7NpWc0ulQucvLY1mFKXRpCmZH07IR8Fj+9ajrFgxRDdOW/nCjxrdYtsgxfY86D aiQtUZNqk4zkciFoeSR9wjzgmOt7eA3iHF5eFREsXAbDCoJ2twLSPFPbHkm+xtWVvZcQzuPc74GO KYW1FEzrIcKzPOcuNbTk8UHjK4pDCXQQtqaYXPuMBZi31QB77EYcqsp8y7kBCtzfscEpPw4azlKu M6ql2qTUiFvHivp3N37KoHw99aiqTVYRV7IoVCx8lqw7Op6j8dCKGqERY0NZlNNaiwjOycYxT1Tn l45pKAnBGrv71LdxIIiteNCxqH1v3wbiv+qWHl5w/ZxF5eE4N8Jlk3ScGu5EmmlD36+pGKnuEarO AQ0Bfm3BbKfdfm1iy5wh8nQbYop3nj6JR3pxEXCMXhyAadw0HTXgmId7FTyMqXpKQ2i6EaJCeeN4 rQF7Pe9QQT8BzKrxlEL11d6QABzVmMd3Y0Jk+XMRftJ8o/UQ9Pyo6OuNMcm5wSVEO+nzBi5xh8HG EzUj6Uw/mANArG52H0OmLJpQZ3lSZ89kVreuL+wzbj2qaphqVxU/9wW34lZishuR9ZW7a9Cykx/A 1tlN4f5qxdSjhKMlErdbZ2mmEb/gtXACzij4yb5wfb6MwZNffhHXUDunGuXJ+paMuNMkjeoNR74+ j3lYVrNtuMvrtIYhDq/Pgv0TTz+v9UASfhcV/eFsNgnm7B6gWBECBcikDa5Yde/3Tl6SLmrQTpeZ S3rQ3vHUisAjqHVShC5sikPDMbJ7R4NS/trguEyeBVbmAbAFjpLicZqHM0MYVz+hgQBUsfCSNZEW /BMz3ySfbJfnUL4kKqNGPWzw7yfW9bdX/YBndU+ybBuHrSXLX0vVX4nKLgFY5SyRxw3JYqORDx1o swD8lDmAjpAcBx6pBuZ1TgqEuTQA2FLdgqb/ISGVWejB/Tjz5UpFiM51r2MPMEY0IG+IQuXcNCnP /6OrJ4axhSGj5geSb+MLTVoIT8a7ZUki0mMxvazVMfSOFmkao884MHX6jDLwqSoMiIN/28Islh6B FqY7bqqj12pnTdBFYJ3Melj7MSTgAwldcH3YL136I7X6Chv4NQ80CYljidfLch+jEdsPHeveDPzz MBPkSgXRbnd6HH6+2rUgS95bQl6OPT3WlvgEE4WquNknQSnyIlvXhNoUXcR2psgl83ES7xAe0tHf Awd62BLLzUclfuQMi9q4IhjVpEUu/FOKb6IJI0JNa31xnpazgeHihtBZ2i/Ed0VguQ6GXOBHj3uv c06zo8XEQ6lYHfRkjmQIQWUTwWRjm+LwHhnnTMWF/gswZDhk4nGCQ1ro1fw1UFjrr4eCc2ltCkxu gAP7v0gibS3qXeSE6N+kRQdGYYxecX1x5OG4UljnLremarMse27RLc6z4Vf4T2XirsU28Ziv9/1u w7J813qNfDLVmc7vSRhK8DidzeRBjBKF2AY96ihyUkR8MJ+XHvisFnRASW/KOJZv38tczJ1I6nQE n2FfGvCF8sE6q/OxQFz57unfnAVcsK58zbhHgp/OyvOfIqDvUrL9v8SFRFormeN2BB1dwvRMoEBx rhuUy+1UYp+vuCAbOcX8m3X0bruKSfhS+R9uZxTCQrhZ1IUWOLnxx8pwxFilyhdKpixJQrZNz1sU A+frfUIlLJxLyfnRziNz0/VkLodoaQfNN1ywo5Q/sklSCAGCryp5kaPAKuGW1wkkC3GR2zI+pdnT WA3gXSzhjTqLD9iUt6OnNqZp2mec91yeUK5u/bCOUFWhFMmuBA2AZwWdD2eBRR76FYxsnOf6KP19 52vy/N218EHQkLaQ3XPDyhXhT7FabQv9fwfMBhpXfDE9pk7WbID8xyFYAoYgntZvDdtAw6fO3r2H 6XYvDJavj0F5zPnvF3p34PQVQH3KA8mhk5xTv6/HPZErswSd7kF7CtHndYRg9mJ+KjtEC1suwpUO bCnuQvITcQv+3gRRjPF4AIoFrjjALRJ/zccEgC36V4n8EbVx9dn4MqIZlSw4TRlKfyKZHudSWj0I ug/KxnDv+c8zliWmPPZgASBa+L3aQ3W2LZ0Ip5n77ZAtvrSvlGz8JOEZzdhoVsiLQHGeXgKxH/u9 e0yP4U8e9/LZC4T6g7UrrXvxClrb/QmSC0w80tou0omUZ4AbKHM8BYwh3cM7p77TuEnrvlqrJf5M kn3DBB0j2/yFAQyHSLPJJLptXe78224Yn/SRWcs1tOpd2oUFkKii2dGMhV/YfRh1zjz2CGTZxZSp PMaIaSkSG/JSJ9NwCMk8QFsX0hg6opSARsvk1fywYlt8ALNB03R48AkVuOIAvDx/DasvKJL598Fx lG8BZ3BTcsdUMRql8CbvNFRWweTMXL5qo89wZzgRjApbkXGJ3DYMUySlToWYbbLHUR2XwTauAeT8 9FQRGs0o5MpRAGlk+bnBB++YV3YICENw/AKhJsnrB/DiZ0AyhAFKzDGuZD/bel9EGJ1zl0cF/6Q3 FcqXCJ4/vJjZQzZOPGopmE9VT7voAta+AzIEF6Q+XV3M/S77mw+b++fPrqF0zdiXVYDQ1Ma0tShC JEnQEHoFctpJ41DZpIkI7i+Lu0tmTwifKekZEFtniiWpGxY058e60zJCw1MTqaRfYXY0N61jgc1Y 3BL3z5emFnBnyhm48el52ifdQ2tPomq2/BAoQ+0K8XOheO8NXZ7wD84wivTGXdNs6d1hXjjL6Ctr 2S7oTucYHvtEbYrABAT96rStrbA4+h/efMoLGhKa5IM3AKn2SG7RONq9j3VZljgZCgtoZrZZ9H8w aQBTZLs0JdMMoc8sGz3qq+exFi217weYV3mE1HmXSm+aMf2kPn09FnaDlQVkyesu1BBMh2jvg3d4 SXJfSWiz0yLmtPquiafSZuKPQVK8sn+2Sz2x5FKram5b7x997+fu3lZKhx6rblJqf1+XECnWf/8/ llttZ2FFUqTRctLAQoc5tTXtD8hUo5XD6N1NQDX8QDsYpMOteykmHJkz4wcyc7Bhtg7gx95e8oEm 3PU+XS/qheWBXaFPqXT/RAXNI44o4ZNHPCdeoqk200y6CfGBjpUCK3FDWlKo9Ozps8icpb5wqbL5 mRhNr43ThXKTpVWh0ZHVUoXarqIgCr675TCQGWQRSR4w1RQ9FrCbjcEcKLVDvy5g7uVMEiG8Rznk vNee2qhMkmhMVxnV18LEJeaMVqR5C7KZE+9aLOUYZdOEYadkgde9UMqHi/+Eonep7JUJnoBYa9yf 5vls9pWAkENvKMaHFwYpZDe/6yLxir7jcH8XmLbPgWpSwd4xIlhtQdgq6xJvTzURs23ez9NxTdfL D5/AYY1T4JihdnBpl3NXCyC1Og0UgHS+VipwI+txG8qAOfNnATxeCQ06VKbcTBDJTXLHMh2i2se4 Ov1Rhf18MYKHPIoCanFA38sfk4ByJl7gzCMYDkOaMEltNueW61wL8x49bPXxOITIvbrgKB79jAMR CwwQhOFx0pgEcKpC3Jw5g3REvkiSnxt9BdmjQ1V/6GRjudO5MXfG75PSxiUrCh87nXz9fPKs3rqy U2YrEWDw5V7+GDCutGFtDB13b6yKnXaStrod31oVvgY3VKco3tWd3059gnVrcm66ao2ncVFzM/x6 kGKvqjkuES4+nwWH6dQmDCMiSnDv6Hyole98/+jLxJs/bFldQv+ora4iJph4hzOcChgyVGq4NSbT 66n86Q2nO0aC/fP6PUhgDAXrCez8jiXcyipN5XP2XnxXgvQ1zjzShMmTBL5fnWjUBtL25V1XztFv VGwbnP76kqMnnWyKWj3b2laxcznt3j6Aiwvki7kh/W70mchYlXBhrntkJphZFXTNVSgez7WuaLC1 oGU+mBEurPJAzAPtk2aFa1Js+5bX+4Q0Mv0VF7wMFRnmma0G9Zt2bEDOo76/kJNyWcyFiV+hgqJO JpeCUiyel5UiBfx2KFGLQm2kEjQT/D5cLXfjhAgefo4C+noawjaJO4BIOu9Y0NPgV49KJ36lSMHA 7bEBPynZKbFqDHQ2Fp1NRKvly+Q5hJL2+Rw9B7EzFZLttVEsNmRcBIYDhoT4PJBZRPjTi3Ng3USZ t8fPkn3D1AXvDv21uXbt9ql2pEkCMYuIZLP3vGyOFJRAABQHBUcVUZNnp0pdqToQd+0Xjl4V2s7c 3DEB1r3c7xmFyBD9vcAdx+51dxvhKUH63fyGEsajjUo6VvgMTtWX0EzieQpsl9x0pHhlE/N+H4uv 8OQ1imgkAgA744kmQOPnqhr7damFnQcyxooaNsmO9fFEc5FTFrj4KRha4HNHE1pOGc5fz5xDdiqG 8w7UI8hBF8Rmpyi9IZwcXuYah1YlVwsUQaEZjkWKh4I8ODVmI100/03sCrF9XIBhFPqYu16H9UEk K5qS423WA5YGmMm5oWAKH+U5EwCklsmLRskMJuiTIgcH+ckYsrOBLtGj+k8dyz2TAZwWuPFQnTc+ X/E92kLQ6umFHOZWq50Qw4oL+CsUhmQAszvhKYat7w+ApV7znZGeYWhGcRil70qDLi1PsoEW4W3a Iz2STFedpubM2zO7N63THDQjNxyRtOk2J3ntpDq9ZM0BkirjGOMcDmrsD8etjv7hWObiiLD2/RCJ 9BoDKK8hjQOOxNnUrqO0DwAbeUiT8PBObk4ukpy52JLLCsI9Raoj3FQ+9savVqJen03Zcz6HCF0f R2DGlMrj8glnPiK4bPTeLp6zdZmSyDU2OkpeyBtMeFowB+tb3nK5U1TOu5E8LhttgESahbf9erXU 2bVybfT38QDOLBP3mhXBqDh4AGg9OfE4oJrVroTRaAM9vsdIyqfzZzcooeD9cRsym2Dfmh4yWHBH l/oj8E/2Xm9LjAXZbM+dNG9ZxR+feX9tcxsSG42myi+i7FUPNEESdkQ21cIfNgqQohmOap5rtnHp 1FLvo4HSROSdMkajJttlkIlRF/ydt5JE6m44AEqX6hDuFzSTvx3FGrNB1Kzvn/tlGB7Yy+o4u/3v P+5Ky3ois3FD21pXHqruy2Uc/jiLO20HKp4IMkNn82XX4BLlyZsMB4qA9GLOzXl3a80VyCjS+AU7 LaqsDfsSs+fy2YJg8NDkI11LKa2qEAonKP1UCF5O1e6r7zTo98DPIxVmaE3n96CMwRJLt297IHRj RIhbx/fSlxCMFRL5YW+ZMuy9F/8X8PoaOF5R59K9G8HMC/riAENk0R9GDpIk18Paswlii6rrBGdD 2dpZNLBJZHzQPR2vBzudkNUFUNLS9mtawlz+32dxB+xowSAfYKulaNxQTTZlBF3nFVY1XAgF6HHt yHMXkZVorcD/KMzFcrEyQwYedNnNICGKtRj8ZG9iLJE/shylCXa6JQA8OK+7dcsRcVjmwhpDxwjw 6AQGFo/HPs7OozF4dfqxGat4zVofxW2PnXzL5j+p0vgo9E+bcmbm5V2uq+l6F2oAoFAr09SqCF/p K4W5nZO47nYWT4kHzSxlsliDu82Z7MBhn4hXEj2m55TjsAucOlyMmUzIa4viKRA7pzUTI/55Ys/N cY1VZr/tfnIK/Ew/3AnAB0yJPx8vMmfd/GHdAWvmjNNPGuRDnCM574SpjsYuy2MFabcuNgGHgnWc TgL3xZUa3CBEdoUYESq0CmCOt1Fskwt1ZEmZB2c2W6H5spxE/BM24BOzWmoBkaFDYtiNFVGMrTGX V2whikwVdsMNK42yuOldcJmFKfOhxNtW3NalO8YPpRFTnmS7UcO4Qna4Vzkx5sj0m0X6PrqnElde lY9vMBIOiWD7IBtw3cy3qSyE8Zwxf297mnVoClMSqdrsUAYTdmkuJE8HM3lNtdR+AnvjjD4Da4eO 6RpYxz/RQDl9RIlsoBF/TO2Pmuk/M7/IgteiquFDEZd3cSLaZmhCSJTmfi44hs2ps8l+mbQVE0EG t1iVGOSI2n/SzBZuZqFhCcVKP1F9RV5DqVuCG+H1WJBes7LJAkQpnU8LB3pZR4M3OYyNH1vkf8w8 d/ZDzB2UC8Gfjs6lg+HtoO8H8Jcu/M6Zp38B/lEFVMZsvAkGOTykw1nZ842Pc6B2HpomLVIFRm8r IMhCusXU/b39hz3ozS8eMO7NLL1P/ewD16BfGPbxVPVaKeyBwOvq2YouOKZ6yvXH2kn8HW9W9Qzu KfeO4u3qgn/F4aTrYdujz4uBWSZr4N+cNqsWBLRYI0rkN9QjQwfZbUWG/xCgSf2zWGBeqQMriNus 9CEupPTLoH8XqLk1uYWdGuyjqLSRT8ZkIV2MlxW1FDHgIdpjvc/ebcI38fy9FQjvUIJUmFrA4YVw 7B3022nHZjCZdrnYhuwr6NEPN2+2xXMKFNV20yMTISagtZdFtk94kXrctMHVq+1UBBJ55Es/N3kM YUa+oP9VTTfObuUwujnyUAg661rbQL2yth/zt+15JqC21Ue82MydUg0+sBVnnaIaiFJ+bSvcXg/x L68sK+nimJeh5zQ9Zk26m/e8Dw8XhKby7YU2nOI9XHym9Dile2NeC/y9tdLOI9RGFijCyt5qjdCy Hlo4on3k/XRtZHFnpj82isyVlxYGfaZCXlUqui7BYgwfwz0Wl6PUdXatQOrpmM4Jt/TujhEMlyJz cQ9b9Z68U4AFyFVcNsxu41ARz4g8xICgoM0RkhNiCn4a8Z1wBicAGvsE82h0XRmBzJflnqc6hUu+ 5WR/jDfnbJuQnGT0Qa6pD+oi4cXkmcNmTYAX2GHyReJcSEyPmFH55peZtyl09se2f+sByBc5JEOc Nq93I7Kjg+tBKPtxRfXAiNiyXAhtuKw7jT4DBehnJdoa3YxQbUROe/xuzDWzgOtkXnV54ZJ4Tyk3 GpiDmfNP9yCeLDdKWWw/U6bWqbYrx3p8qm/dD92xLtyrKCgs6JZCNv0Bnm+424Bqlsdi5TcdAFiM 1gVIcjt8355OdwP5sKIycqDVz+FJPycxjtermx27kluniI3IKHwt6vMYfXuQGlXTI4FNp09mqFxg iSpSIrCQ8sY5uDJ52lA3rE2yLjprRq/DkvzA/L8XDypXfO57s8jqbCqt/XAQoGvGPYvt4uJxOSLq eiw2FVHTOXF1XYy3bTwQC5VQdUqAG+R9+2C8o+RaHkfkexPfH9AY0A7UA0jwy+9BYfIKzUKyAOKf LXTNV8UyDNrnE2pbBPo9GxF1XY5ReVVpGm8h5A5DN4dvasSTyydndMpKiKvCysriHRS+RESudSvX L/hJtVAcxMHNFhz4hrjklL0NCi0YxZ50qCruR4G033OQOwnTk75SGIuYlAWRX/5h7b+DcWLaZihZ e5voJKa35bvdYeFy7yNe+8HaX+vUQHpvAOWOlq1RKNYu4M2/6f5d7VWcceox4dhV2sG4yBPQfiYy 3v45c4jfIZhIyoxtRbQbejswpZ9P4DATq12mAAhq/2ENsHlh6SB7UZPY62S7li1UomGU1YEWocJ4 DuK59LQtGOPCMGXY9CVgomHxPS5rrlCtWMZbRlP5MqckdKazrOhlqXlry6d/k6wGK4WSki+S1JZL HMZ1Q/OAd89f25OwnCtDM7lGNaN5LoNTMDDO0fdfzsABJmhLRMtE57HuxwMXaJl4ebVK4zCK2rnI hGNUNk4+eqJmIXsoOk1GKmXFT3MB29UCTwWWMiB8Cj1hRBy6gDFey8n2+j33SBzmedOM+sXT+tT+ IXL+MPsPk7+AfvfxjNrkwSg73SvcVxchQ8Enx6mOchEVl2SEqasesHgsvJtw4nXSM2vSbIg0MwUH iFeeCy6c+KQ1f1UVX5y/juIjNN5bgNBtxd3PJjC9eBvRI4XvFtunk7aVwat2dQINWsuLqTMfInfb 4tnt5/UchSMxQv4EBvq3cF1m6YA3Vnae0hqyx/V/39NdTHHqbi0yEFwtkUmiX4Srw+iUlWxWfJwy tYq98rq/YqdPdUGTrJ0xANnbS/mutoTQCXLZtnNP7c7XdEjMzOguBLBJZOx44l6is1dfAQD79sus Q0VOEik9AtC/wSSrRXmjkpEgSEF+Jk2vsfMm/HGy+fn7Sy5bGiQQFO3Zmsi5iP9gPQYJmiCb7ASE AGLuNIMrtV/663BBBPM+IccsFqMiBDaZ4Mr1QcYHtetiFOMzM6zQnPhKHOKg/OjOIApGmoekiI5A 6qszPpE4tB1Ho1ttC8fgha6ruqlCJd2Oenh4FPku35MO7tQHCWdY661GTy8IT/feD5nf1lfnjSXd NnNY7I0HLjZCVVNJd43BACNWlOlkdlzZ2VXzxoSQ5MUgQLplHIyCVXlb1iNqm27A7sd9ukqD9+hC u0bSCT1aN9ugdtfjh189Qf5f67yOJGi8bLO5RCM8IAuzpN9pjI8hsOW4wYAah5GPStfHRS3C64a6 b5RuplHBHY3NwhNsZgI8sxV6umiH+Emf/0YeC3OkgMQeQgwXvvDnLK1Joj0mjRRlbzSHSnaydW9n cMfPeeqxNfsj9rZEYi5CYLToZ3QPZLB7UBtVwGPj2N0l+JtrGHKKcrvgddZ9Wv7DJs1j165FD2g/ csUo4SUP2eKk+iSKMDKM8TMKR7h6HTkZSKex1fYZGt8PAalIoIEiGTFghhQKZAwzmFyTlA0HliYW gQnn6U+g3BMXsxLC8Ar//cac9tj7o2d+23+5uaZMLDdl7z+a0t+cdyrAdLz3gAtEr9mE4zb/Ax3f o6k/LjZGfMTZL6kSDWOcJwLchHvz0adl8VmGjLUfqbmOBUkwuay8qS6x+Q/OzkJA7ZdBkGUZsT7l v95GTXGFouWIia3YtGrzgF32OnzGs34QzOYNAflW3oWkgrQgFdfXU0mBTeuenkbcdbgospigyC7E NCxceu9inEl/69nM5ULdupAuSpDJT4v6glUn54h7D6INJFUcRnomP2bHxu5sSzPmz+hLPHUSCQpc LY8pISldVk5cCgQaRgWaAIXfZcciMa6vKy6y40+h8qZPkezX+I+GmkBwW79N0OIBjnspO6lIkRHz 4If5j4YTJEsH4hLfRxTjMyw/N5gNaDVvqhXszy3QqHfL484bCxWF37Ou1pu9YoGHVUON99LOS3sT v9d60HbOmCpvOhK3mvKk `protect end_protected
architecture RTL of FIFO is function func1 return integer; pure function func1 return integer; impure function func1 return integer; function func1 return integer is begin end function func1; -- Violations follow function func1 return integer; function func1 return integer; pure function func1 return integer; pure function func1 return integer; impure function func1 return integer; impure function func1 return integer; begin end architecture RTL;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2650.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02650ent IS END c13s03b01x00p02n01i02650ent; ARCHITECTURE c13s03b01x00p02n01i02650arch OF c13s03b01x00p02n01i02650ent IS BEGIN TESTING: PROCESS variable *k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02650 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02650arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2650.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02650ent IS END c13s03b01x00p02n01i02650ent; ARCHITECTURE c13s03b01x00p02n01i02650arch OF c13s03b01x00p02n01i02650ent IS BEGIN TESTING: PROCESS variable *k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02650 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02650arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2650.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02650ent IS END c13s03b01x00p02n01i02650ent; ARCHITECTURE c13s03b01x00p02n01i02650arch OF c13s03b01x00p02n01i02650ent IS BEGIN TESTING: PROCESS variable *k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02650 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02650arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1707.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p07n01i01707ent IS END c09s02b00x00p07n01i01707ent; ARCHITECTURE c09s02b00x00p07n01i01707arch OF c09s02b00x00p07n01i01707ent IS procedure call_wait (variable dly : in time; variable bool : out boolean) is -- -- This procedure simply waits for the time specified in its argument. -- begin wait for dly; bool := false; end call_wait; signal trigger : bit; BEGIN trigger <= '1' after 5 ns; TESTING: PROCESS( trigger ) variable delay : time := 2 ns; variable bool : boolean := true; BEGIN call_wait(delay, bool); -- use wait indirectly assert FALSE report "***FAILED TEST: c09s02b00x00p07n01i01707 - Procedure with an indirect wait was illegal to be placed in a process with an explicit sensitivity list." severity ERROR; END PROCESS TESTING; END c09s02b00x00p07n01i01707arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1707.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p07n01i01707ent IS END c09s02b00x00p07n01i01707ent; ARCHITECTURE c09s02b00x00p07n01i01707arch OF c09s02b00x00p07n01i01707ent IS procedure call_wait (variable dly : in time; variable bool : out boolean) is -- -- This procedure simply waits for the time specified in its argument. -- begin wait for dly; bool := false; end call_wait; signal trigger : bit; BEGIN trigger <= '1' after 5 ns; TESTING: PROCESS( trigger ) variable delay : time := 2 ns; variable bool : boolean := true; BEGIN call_wait(delay, bool); -- use wait indirectly assert FALSE report "***FAILED TEST: c09s02b00x00p07n01i01707 - Procedure with an indirect wait was illegal to be placed in a process with an explicit sensitivity list." severity ERROR; END PROCESS TESTING; END c09s02b00x00p07n01i01707arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1707.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p07n01i01707ent IS END c09s02b00x00p07n01i01707ent; ARCHITECTURE c09s02b00x00p07n01i01707arch OF c09s02b00x00p07n01i01707ent IS procedure call_wait (variable dly : in time; variable bool : out boolean) is -- -- This procedure simply waits for the time specified in its argument. -- begin wait for dly; bool := false; end call_wait; signal trigger : bit; BEGIN trigger <= '1' after 5 ns; TESTING: PROCESS( trigger ) variable delay : time := 2 ns; variable bool : boolean := true; BEGIN call_wait(delay, bool); -- use wait indirectly assert FALSE report "***FAILED TEST: c09s02b00x00p07n01i01707 - Procedure with an indirect wait was illegal to be placed in a process with an explicit sensitivity list." severity ERROR; END PROCESS TESTING; END c09s02b00x00p07n01i01707arch;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; ELSE EN_R <= EN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_R='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; ELSE EN_R <= EN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_R='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
---------------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.package1.ALL; entity top1 is port( clk : in std_logic; rst : in std_logic; en : in std_logic; top_sh : in std_logic; -- for shift register top_in : in std_logic_vector(1 downto 0); top_out : out std_logic_vector(decWidth - 1 downto 0) ); end top1; architecture struct of top1 is signal tmpReg2Sh, tmpSh2Enc : std_logic_vector(3 downto 0); signal tmpEnc2Dec : std_logic_vector(1 downto 0); begin -- I will use positional mapping here COMP1 : ckt_reg port map(clk, rst, en, top_in, tmpReg2Sh); COMP2 : shiftReg port map(clk, rst, en, top_sh, tmpReg2Sh, tmpSh2Enc); COMP3 : encode1 port map(tmpSh2Enc, tmpEnc2Dec); COMP4 : decode1 port map(clk, rst, tmpEnc2Dec, top_out); end struct; ----------------------------------------------------------------------------------------------------------
-- Package for helping to implement midi synthesis on an FPGA -- Midi enumerates musical notes. This package contains functions to help -- implementation of this on an FPGA. -- -- To generate notes, we will generate sine waves. this will be implemented -- using lookup tables which store sin(x). -- -- the following chart shows the format and scaling of what is stored in the -- LUT. -- -- SINE LUT LAYOUT -- 1.0 -- | -- s | --- -- i | / \ -- n | / \ -- e | / \ -- | \ / -- | \ / -- | --- -- |__________________ --0.0/0.0 x 1.0 -- -- Note that x and y values are both stored in an arbitrary scaling from 0.0 to -- 1.0 -- -- -- -- ------------------------------------------------------------------------------ -- The most common audio sampling rate is 44.1 kHz. We will thus perform one -- LUT lookup every 1/44,100 sec. This time is called the 'audio period' -- Different frequencies are achieved by indexing through this table -- faster or slower through time. -- -- Notes that are low frequency will be sampled far apart in the sine wave LUT, -- as in the following table where samples are indicated by S: -- -- LOW FREQ NOTE SINE LOOKUP -- | -- | --- -- | / \ -- | / \ -- | / \ -- | \ / -- | \ / -- | --- -- |__________________ -- S S S -- <-----> -- -- -- Notes that are high frequency are sampled close together in the sine wave LUT: -- -- HIGH FREQ NOTE SINE LOOKUP -- | -- | --- -- | / \ -- | / \ -- | / \ -- | \ / -- | \ / -- | --- -- |__________________ -- S S S S S S -- <--> -- -- The distance between audio samples (indicated by arrows in the -- diagrams above) is called the 'stride' -- -- -- -- ------------------------------------------------------------------------------ -- We need to consider a few implementation details here: -- * We want to prevent alisaing in the x axis: Because FPGAs have no -- multiplier, indexing through the LUTs is achieved by successive addition -- of the stride once per audio period. Thus we should calculate the number -- of entries in the sine LUT and the size of the counter which indexes into -- it differently... The counter may need more precision in order to -- eliminate cumulative error -- -- I do not know exactly how much error is permissible. There is a wikipedia -- article on just-noticeable differences (JND) in pitch which states that -- minimum JND for two different pitches played independently is 0.6%. -- However the article says that it is easier to tell the difference for -- chords but it does not give a minimum JND for it. I will thus treat 0.6% -- as a upper bound for pitch accuracy. -- -- -- * We want to prevent aliasing in the Y axis. this is a topic that has been -- well researched. I will implement 16-bit accuracy. -- -- * Also the number of LUT entries has an effect on aliasing in both the X and -- Y axis. I have not reasoned about this yet. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library virtual_button_lib; use virtual_button_lib.constants.all; use virtual_button_lib.sine_lut_pkg.all; package midi_pkg is constant sample_rate : integer := 44_100; constant sample_period : time := 1 sec / sample_rate; -- This is the enumeration of midi notes as defined in some midi spec. subtype midi_note_t is integer range 21 to 108; -- For each midi note, holds the number of sample periods that exist in one -- period of that note. -- e.g for 440hz, there are 1 sec / 440 * 44100 hz = 100.2 sample periods in -- one period of that note. type period_arr_t is array (midi_note_t'low to midi_note_t'high) of real; function calc_midi_note_periods return period_arr_t; -- This table holds the rates at which the sine LUTs must be indexed through -- to output sine waves at the correct frequency. type stride_arr_t is array (midi_note_t'low to midi_note_t'high) of integer; function calc_midi_note_strides return stride_arr_t; -- I can't remember how I derived this exact value. See reasoning in the -- header for an explanation of the concept. constant midi_counter_width : integer := 17; -- A type that allows us to use generate statements to build sine generators. -- -- TODO: this is very implementation specific. Sounds like it should not -- beling here. type midi_note_arr_t is array(0 to num_sines - 1) of midi_note_t; ----------------------------------------------------------------------------- -- Common midi data typres type errors_t is record no_mthd : std_logic; not_format_1 : std_logic; end record; type chunk_data_t is record base_addr : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0); length : unsigned(31 downto 0); end record; type chunk_data_t_arr is array(integer range 0 to max_num_tracks - 1) of chunk_data_t; type midi_pulse_arr is array (1 to max_num_tracks - 1) of std_logic; end; package body midi_pkg is constant midi_counter_max : integer := 2 ** midi_counter_width; -- There is a direct formula to convert midi numbers and frequencies. -- Sourced from https://newt.phys.unsw.edu.au/jw/notes.html function calc_freq_from_midi_no(midi_no : in integer) return real is begin return (2 ** (real(midi_no - 69) / 12.0)) * 440.0; end; function calc_midi_note_periods return period_arr_t is variable freq : real; variable ret : period_arr_t; begin for i in midi_note_t'low to midi_note_t'high loop freq := calc_freq_from_midi_no(i); -- the ideal calculation is real(1.0 sec / freq / sample_period), but -- rounding problems. ret(i) := 1.0 / freq / (1.0 / real(sample_rate)); end loop; return ret; end; function calc_midi_note_strides return stride_arr_t is variable freq : real; variable samples_in_current_note : real; variable ret : stride_arr_t; begin for i in midi_note_t'low to midi_note_t'high loop freq := calc_freq_from_midi_no(i); -- the ideal calculation is real(1.0 sec / freq / sample_rate), but that -- has rounding problems samples_in_current_note := 1.0 / freq / (1.0 / real(sample_rate)); ret(i) := integer(real(midi_counter_max) / samples_in_current_note); end loop; return ret; end; end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grethc -- File: grethc.vhd -- Author: Marko Isomaki -- Description: Ethernet Media Access Controller with Ethernet Debug -- Communication Link ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library commonlib; use commonlib.types_common.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; library ethlib; use ethlib.types_eth.all; entity grethc64 is generic( memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 512 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahbg : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ctrli : in eth_control_type; cmdi : in eth_command_type; statuso : out eth_mac_status_type; --! Debug value read from internal buffers suing external bus interface rdbgdatao : out std_logic_vector(31 downto 0); --irq irq : out std_logic; --ethernet input signals rmii_clk : in std_ulogic; tx_clk : in std_ulogic; rx_clk : in std_ulogic; tx_dv : in std_ulogic; rxd : in std_logic_vector(3 downto 0); rx_dv : in std_ulogic; rx_er : in std_ulogic; rx_col : in std_ulogic; rx_en : in std_ulogic; rx_crs : in std_ulogic; mdio_i : in std_ulogic; phyrstaddr : in std_logic_vector(4 downto 0); mdint : in std_ulogic; --ethernet output signals reset : out std_ulogic; txd : out std_logic_vector(3 downto 0); tx_en : out std_ulogic; tx_er : out std_ulogic; mdc : out std_ulogic; mdio_o : out std_ulogic; mdio_oe : out std_ulogic; --scantest testrst : in std_ulogic; testen : in std_ulogic; testoen : in std_ulogic; edcladdr : in std_logic_vector(3 downto 0) := "0000"; edclsepahb : in std_ulogic; edcldisable : in std_ulogic; speed : out std_ulogic; tmsto : out eth_tx_ahb_in_type; tmsti : in eth_tx_ahb_out_type; tmsto2 : out eth_tx_ahb_in_type; tmsti2 : in eth_tx_ahb_out_type; rmsto : out eth_rx_ahb_in_type; rmsti : in eth_rx_ahb_out_type ); end entity; architecture rtl of grethc64 is procedure sel_op_mode( capbil : in std_logic_vector(4 downto 0); speed : out std_ulogic; duplex : out std_ulogic) is variable vspeed : std_ulogic; variable vduplex : std_ulogic; begin vspeed := '0'; vduplex := '0'; vspeed := capbil(4) or capbil(3) or capbil(2); vduplex := (vspeed and capbil(3)) or ((not vspeed) and capbil(1)); speed := vspeed; duplex := vduplex; end procedure; --host constants constant fabits : integer := log2(fifosize); constant burstlength : integer := setburstlength(fifosize); constant burstbits : integer := log2(burstlength); constant ctrlopcode : std_logic_vector(15 downto 0) := X"8808"; constant broadcast : std_logic_vector(47 downto 0) := X"FFFFFFFFFFFF"; -- constant maxsizetx : integer := 1514; constant index : integer := log2(edclbufsz); constant receiveOK : std_logic_vector(3 downto 0) := "0000"; constant frameCheckError : std_logic_vector(3 downto 0) := "0100"; constant alignmentError : std_logic_vector(3 downto 0) := "0001"; constant frameTooLong : std_logic_vector(3 downto 0) := "0010"; constant overrun : std_logic_vector(3 downto 0) := "1000"; constant minpload : std_logic_vector(10 downto 0) := conv_std_logic_vector(60, 11); --mdio constants constant divisor : std_logic_vector(7 downto 0) := conv_std_logic_vector(mdcscaler, 8); --receiver constants constant maxsizerx : unsigned(15 downto 0) := to_unsigned(maxsize + 18 - 4, 16); --tranceiver constants constant maxsizetx : unsigned(15 downto 0) := to_unsigned(maxsize + 18 - 4, 16); --edcl constants type szvct is array (0 to 6) of integer; constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256); constant blbits : szvct := (6, 7, 7, 8, 8, 8, 8); constant winsz : szvct := (4, 4, 8, 8, 16, 32, 64); constant macaddrt : std_logic_vector(47 downto 0) := conv_std_logic_vector(macaddrh, 24) & conv_std_logic_vector(macaddrl, 24); constant bpbits : integer := blbits(log2(edclbufsz)); constant wsz : integer := winsz(log2(edclbufsz)); constant bselbits : integer := log2(wsz); constant eabits: integer := log2(edclbufsz) + 8; constant ebufmax : std_logic_vector(bpbits-1 downto 0) := (others => '1'); constant bufsize : std_logic_vector(2 downto 0) := conv_std_logic_vector(log2(edclbufsz), 3); constant ebufsize : integer := ebuf(log2(edclbufsz)); constant txfifosize : integer := getfifosize(edcl, fifosize, ebufsize); constant txfabits : integer := log2(txfifosize); constant txfifosizev : std_logic_vector(txfabits downto 0) := conv_std_logic_vector(txfifosize, txfabits+1); constant rxburstlen : std_logic_vector(fabits downto 0) := conv_std_logic_vector(burstlength, fabits+1); constant txburstlen : std_logic_vector(txfabits downto 0) := conv_std_logic_vector(burstlength, txfabits+1); type edclrstate_type is (idle, wrda, wrdsa, wrsa, wrtype, ip, ipdata, oplength, arp, iplength, ipcrc, arpop, udp, spill); type duplexstate_type is (start, waitop, nextop, selmode, done); --host types type txd_state_type is (idle, read_desc, check_desc, req, fill_fifo, check_result, write_result, readhdr, start, wrbus1, etdone, getlen, ahberror, fill_fifo2, wrbus2); type rxd_state_type is (idle, read_desc, check_desc, read_req, read_fifo, discard, write_status, write_status2); --mdio types type mdio_state_type is (idle, preamble, startst, op, op2, phyadr, regadr, ta, ta2, ta3, data, dataend); type fifo_access_in_type is record renable : std_ulogic; raddress : std_logic_vector(fabits-1 downto 0); write : std_ulogic; waddress : std_logic_vector(fabits-1 downto 0); datain : std_logic_vector(31 downto 0); end record; type fifo_access_out_type is record data : std_logic_vector(31 downto 0); end record; type tx_fifo_access_in_type is record renable : std_ulogic; raddress : std_logic_vector(txfabits-1 downto 0); write : std_ulogic; waddress : std_logic_vector(txfabits-1 downto 0); datain : std_logic_vector(31 downto 0); end record; type tx_fifo_access_out_type is record data : std_logic_vector(31 downto 0); end record; type edcl_ram_in_type is record renable : std_ulogic; raddress : std_logic_vector(eabits-1 downto 0); writem : std_ulogic; writel : std_ulogic; waddressm : std_logic_vector(eabits-1 downto 0); waddressl : std_logic_vector(eabits-1 downto 0); datain : std_logic_vector(31 downto 0); end record; type edcl_ram_out_type is record data : std_logic_vector(31 downto 0); end record; type reg_type is record --user registers status : eth_mac_status_type; --master tx interface tmsto : eth_tx_ahb_in_type; tmsto2 : eth_tx_ahb_in_type; txdstate : txd_state_type; txwrap : std_ulogic; txden : std_ulogic; txirq : std_ulogic; txaddr : std_logic_vector(31 downto 2); txlength : std_logic_vector(10 downto 0); txburstcnt : std_logic_vector(burstbits downto 0); tfwpnt : std_logic_vector(txfabits-1 downto 0); tfrpnt : std_logic_vector(txfabits-1 downto 0); tfcnt : std_logic_vector(txfabits downto 0); txcnt : std_logic_vector(10 downto 0); txstart : std_ulogic; txirqgen : std_ulogic; txstatus : std_logic_vector(1 downto 0); txvalid : std_ulogic; txdata : std_logic_vector(31 downto 0); writeok : std_ulogic; txread : std_logic_vector(nsync-1 downto 0); txrestart : std_logic_vector(nsync downto 0); txdone : std_logic_vector(nsync downto 0); txstart_sync : std_ulogic; txreadack : std_ulogic; txdataav : std_ulogic; txburstav : std_ulogic; --master rx interface rxrenable : std_ulogic; rmsto : eth_rx_ahb_in_type; rxdstate : rxd_state_type; rxstatus : std_logic_vector(4 downto 0); rxaddr : std_logic_vector(31 downto 2); rxlength : std_logic_vector(10 downto 0); rxbytecount : std_logic_vector(10 downto 0); rxwrap : std_ulogic; rxirq : std_ulogic; rfwpnt : std_logic_vector(fabits-1 downto 0); rfrpnt : std_logic_vector(fabits-1 downto 0); rfcnt : std_logic_vector(fabits downto 0); rxcnt : std_logic_vector(10 downto 0); rxdoneold : std_ulogic; rxdoneack : std_ulogic; rxdone : std_logic_vector(nsync-1 downto 0); rxstart : std_logic_vector(nsync downto 0); rxwrite : std_logic_vector(nsync-1 downto 0); rxwriteack : std_ulogic; rxburstcnt : std_logic_vector(burstbits downto 0); addrok : std_ulogic; addrdone : std_ulogic; ctrlpkt : std_ulogic; check : std_ulogic; checkdata : std_logic_vector(31 downto 0); usesizefield : std_ulogic; rxden : std_ulogic; gotframe : std_ulogic; bcast : std_ulogic; msbgood : std_ulogic; rxburstav : std_ulogic; hashlookup : std_ulogic; mcast : std_ulogic; mcastacc : std_ulogic; --mdio mdccnt : std_logic_vector(7 downto 0); mdioclk : std_ulogic; mdioclkold : std_logic_vector(mdiohold-1 downto 0); mdio_state : mdio_state_type; mdioo : std_ulogic; mdioi : std_ulogic; mdioen : std_ulogic; cnt : std_logic_vector(4 downto 0); duplexstate : duplexstate_type; init_busy : std_ulogic; ext : std_ulogic; extcap : std_ulogic; regaddr : std_logic_vector(4 downto 0); phywr : std_ulogic; rstphy : std_ulogic; capbil : std_logic_vector(4 downto 0); rstaneg : std_ulogic; mdint_sync : std_logic_vector(2 downto 0); --edcl erenable : std_ulogic; edclrstate : edclrstate_type; edclactive : std_ulogic; nak : std_ulogic; ewr : std_ulogic; write : std_logic_vector(wsz-1 downto 0); seq : std_logic_vector(13 downto 0); abufs : std_logic_vector(bselbits downto 0); tpnt : std_logic_vector(bselbits-1 downto 0); rpnt : std_logic_vector(bselbits-1 downto 0); tcnt : std_logic_vector(bpbits-1 downto 0); rcntm : std_logic_vector(bpbits-1 downto 0); rcntl : std_logic_vector(bpbits-1 downto 0); ipcrc : std_logic_vector(17 downto 0); applength : std_logic_vector(15 downto 0); oplen : std_logic_vector(9 downto 0); udpsrc : std_logic_vector(15 downto 0); ecnt : std_logic_vector(3 downto 0); tarp : std_ulogic; tnak : std_ulogic; tedcl : std_ulogic; edclbcast : std_ulogic; edclsepahb : std_ulogic; end record; --host signals signal arst : std_ulogic; signal irst : std_ulogic; signal vcc : std_ulogic; signal txi : host_tx_type; signal txo : tx_host_type; signal rxi : host_rx_type; signal rxo : rx_host_type; --rx ahb fifo signal rxrenable : std_ulogic; signal rxraddress : std_logic_vector(10 downto 0); signal rxwrite : std_ulogic; signal rxwdata : std_logic_vector(31 downto 0); signal rxwaddress : std_logic_vector(10 downto 0); signal rxrdata : std_logic_vector(31 downto 0); --tx ahb fifo signal txrenable : std_ulogic; signal txraddress : std_logic_vector(10 downto 0); signal txwrite : std_ulogic; signal txwdata : std_logic_vector(31 downto 0); signal txwaddress : std_logic_vector(10 downto 0); signal txrdata : std_logic_vector(31 downto 0); --edcl buf signal erenable : std_ulogic; signal eraddress : std_logic_vector(15 downto 0); signal ewritem : std_ulogic; signal ewritel : std_ulogic; signal ewaddressm : std_logic_vector(15 downto 0); signal ewaddressl : std_logic_vector(15 downto 0); signal ewdata : std_logic_vector(31 downto 0); signal erdata : std_logic_vector(31 downto 0); signal r, rin : reg_type; begin --reset generators for transmitter and receiver vcc <= '1'; arst <= testrst when (scanen = 1) and (testen = '1') else rst and not r.status.reset; irst <= rst and not r.status.reset; comb : process(rst, irst, ctrli, cmdi, r, rmsti, tmsti, txo, rxo, erdata, rxrdata, txrdata, mdio_i, phyrstaddr, testen, testrst, edcladdr, mdint, tmsti2, edcldisable, edclsepahb) is variable v : reg_type; variable vpirq : std_ulogic; variable vrdbgdata : std_logic_vector(31 downto 0); variable txvalid : std_ulogic; variable vtxfi : tx_fifo_access_in_type; variable vrxfi : fifo_access_in_type; variable lengthav : std_ulogic; variable txdone : std_ulogic; variable txread : std_ulogic; variable txrestart : std_ulogic; variable rxstart : std_ulogic; variable rxdone : std_ulogic; variable vrxwrite : std_ulogic; variable ovrunstop : std_ulogic; --mdio variable mdioindex : integer range 0 to 31; variable mclk : std_ulogic; --rising mdio clk edge variable nmclk : std_ulogic; --falling mdio clk edge variable mclkvec : std_logic_vector(mdiohold downto 0); --edcl variable veri : edcl_ram_in_type; variable swap : std_ulogic; variable setmz : std_ulogic; variable ipcrctmp : std_logic_vector(15 downto 0); variable ipcrctmp2 : std_logic_vector(17 downto 0); variable vrxenable : std_ulogic; variable crctmp : std_ulogic; variable vecnt : integer; begin v := r; vrdbgdata := (others => '0'); vpirq := '0'; v.check := '0'; lengthav := r.rxdoneold;-- or r.usesizefield; ovrunstop := '0'; vrxfi.raddress := v.rfrpnt; if edcl /= 0 then veri.renable := r.erenable; veri.datain := rxo.dataout; veri.writem := '0'; veri.writel := '0'; veri.waddressm := r.rpnt & r.rcntm; veri.waddressl := r.rpnt & r.rcntl; end if; vtxfi.renable := '0'; vtxfi.datain := tmsti.data; vtxfi.raddress := r.tfrpnt; vtxfi.write := '0'; vtxfi.waddress := r.tfwpnt; vrxfi.datain := rxo.dataout; vrxfi.write := '0'; vrxfi.waddress := r.rfwpnt; vrxfi.renable := r.rxrenable; vrxenable := r.status.rxen; --synchronization v.txdone(0) := txo.done; v.txread(0) := txo.read; v.txrestart(0) := txo.restart; v.rxstart(0) := rxo.start; v.rxdone(0) := rxo.done; v.rxwrite(0) := rxo.write; if nsync = 2 then v.txdone(1) := r.txdone(0); v.txread(1) := r.txread(0); v.txrestart(1) := r.txrestart(0); v.rxstart(1) := r.rxstart(0); v.rxdone(1) := r.rxdone(0); v.rxwrite(1) := r.rxwrite(0); end if; if enable_mdint = 1 then v.mdint_sync(0) := mdint; v.mdint_sync(1) := r.mdint_sync(0); v.mdint_sync(2) := r.mdint_sync(1); end if; txdone := r.txdone(nsync) xor r.txdone(nsync-1); txread := r.txreadack xor r.txread(nsync-1); txrestart := r.txrestart(nsync) xor r.txrestart(nsync-1); rxstart := r.rxstart(nsync) xor r.rxstart(nsync-1); rxdone := r.rxdoneack xor r.rxdone(nsync-1); vrxwrite := r.rxwriteack xor r.rxwrite(nsync-1); if txdone = '1' then v.txstatus := txo.status; end if; ------------------------------------------------------------------------------- -- HOST INTERFACE ------------------------------------------------------------- ------------------------------------------------------------------------------- --SLAVE INTERFACE if cmdi.set_speed = '1' then v.status.speed := '1'; elsif cmdi.clr_speed = '1' then v.status.speed := '0'; end if; if cmdi.set_reset = '1' then v.status.reset := '1'; elsif cmdi.clr_reset = '1' then v.status.reset := '0'; end if; if cmdi.set_full_duplex = '1' then v.status.full_duplex := '1'; elsif cmdi.clr_full_duplex = '1' then v.status.full_duplex := '0'; end if; if cmdi.set_rxena = '1' then v.status.rxen := '1'; elsif cmdi.clr_rxena = '1' then v.status.rxen := '0'; end if; if cmdi.set_txena = '1' then v.status.txen := '1'; elsif cmdi.clr_txena = '1' then v.status.txen := '0'; end if; if cmdi.clr_status_phystat = '1' then v.status.phystat := '0'; end if; if cmdi.clr_status_invaddr = '1' then v.status.invaddr := '0'; end if; if cmdi.clr_status_toosmall = '1' then v.status.toosmall := '0'; end if; if cmdi.clr_status_txahberr = '1' then v.status.txahberr := '0'; end if; if cmdi.clr_status_rxahberr = '1' then v.status.rxahberr := '0'; end if; if cmdi.clr_status_tx_int = '1' then v.status.tx_int := '0'; end if; if cmdi.clr_status_rx_int = '1' then v.status.rx_int := '0'; end if; if cmdi.clr_status_tx_err = '1' then v.status.tx_err := '0'; end if; if cmdi.clr_status_rx_err = '1' then v.status.rx_err := '0'; end if; if cmdi.mdio_cmd.valid = '1' then v.status.mdio.cmd.data := cmdi.mdio_cmd.data; v.status.mdio.cmd.regadr := cmdi.mdio_cmd.regadr; v.status.mdio.cmd.read := cmdi.mdio_cmd.read; v.status.mdio.cmd.write := cmdi.mdio_cmd.write; v.status.mdio.busy := cmdi.mdio_cmd.read or cmdi.mdio_cmd.write; end if; if cmdi.dbg_access_id = DBG_ACCESS_TX_BUFFER then vtxfi.write := cmdi.dbg_wr_ena; vtxfi.waddress := cmdi.dbg_addr(txfabits+1 downto 2); vtxfi.datain := cmdi.dbg_wdata; vtxfi.raddress := cmdi.dbg_addr(txfabits+1 downto 2); vtxfi.renable := cmdi.dbg_rd_ena; vrdbgdata := txrdata; end if; if cmdi.dbg_access_id = DBG_ACCESS_RX_BUFFER then vrxfi.write := cmdi.dbg_wr_ena; vrxfi.waddress := cmdi.dbg_addr(fabits+1 downto 2); vrxfi.datain := cmdi.dbg_wdata; vrxfi.raddress := cmdi.dbg_addr(fabits+1 downto 2); vrxfi.renable := cmdi.dbg_rd_ena; vrdbgdata := rxrdata; end if; if cmdi.dbg_access_id = DBG_ACCESS_EDCL_BUFFER then veri.writem := cmdi.dbg_wr_ena; veri.writel := cmdi.dbg_wr_ena; veri.waddressm := cmdi.dbg_addr(eabits+1 downto 2); veri.waddressl := cmdi.dbg_addr(eabits+1 downto 2); veri.datain := cmdi.dbg_wdata; veri.raddress := cmdi.dbg_addr(eabits+1 downto 2); veri.renable := cmdi.dbg_rd_ena; vrdbgdata := erdata; end if; --PHY STATUS DETECTION if enable_mdint = 1 then if mdint_pol = 0 then if (r.mdint_sync(2) and not r.mdint_sync(1)) = '1' then v.status.phystat := '1'; if ctrli.pstatirqen = '1' then vpirq := '1'; end if; end if; else if (r.mdint_sync(1) and not r.mdint_sync(2)) = '1' then v.status.phystat := '1'; if ctrli.pstatirqen = '1' then vpirq := '1'; end if; end if; end if; end if; --MASTER INTERFACE v.txburstav := '0'; if (txfifosizev - r.tfcnt) >= txburstlen then v.txburstav := '1'; end if; if (conv_integer(r.abufs) /= 0) then v.status.edcltx_idle := '0'; else v.status.edcltx_idle := '1'; end if; --tx dma fsm case r.txdstate is when idle => v.txcnt := (others => '0'); v.txburstcnt := (others => '0'); if (edcl /= 0) then v.tedcl := '0'; v.erenable := '0'; end if; if (edcl /= 0) and (conv_integer(r.abufs) /= 0) and (ctrli.edcldis = '0') then v.erenable := '1'; v.status.edcltx_idle := '0'; if r.erenable = '1' then v.txdstate := getlen; end if; v.tcnt := conv_std_logic_vector(10, bpbits); elsif r.status.txen = '1' then v.txdstate := read_desc; v.tmsto.write := '0'; v.tmsto.addr := ctrli.txdesc & r.status.txdsel & "000"; v.tmsto.req := '1'; --! AXI_ENABLE: burst transaction size in bytes v.tmsto.burst_bytes := conv_std_logic_vector(8, 11); end if; if r.txirqgen = '1' then vpirq := '1'; v.txirqgen := '0'; end if; if txrestart = '1' then v.txrestart(nsync) := r.txrestart(nsync-1); v.tfcnt := (others => '0'); v.tfrpnt := (others => '0'); v.tfwpnt := (others => '0'); end if; when read_desc => v.tmsto.write := '0'; v.txstatus := (others => '0'); v.tfwpnt := (others => '0'); v.tfrpnt := (others => '0'); v.tfcnt := (others => '0'); if tmsti.grant = '1' then v.txburstcnt := r.txburstcnt + 1; v.tmsto.addr := r.tmsto.addr + 4; if r.txburstcnt(0) = '1' then v.tmsto.req := '0'; end if; end if; if tmsti.ready = '1' then v.txcnt := r.txcnt + 1; case r.txcnt(1 downto 0) is when "00" => v.txlength := tmsti.data(10 downto 0); v.txden := tmsti.data(11); v.txwrap := tmsti.data(12); v.txirq := tmsti.data(13); v.status.txen := tmsti.data(11); when "01" => v.txaddr := tmsti.data(31 downto 2); v.txdstate := check_desc; when others => null; end case; end if; when check_desc => v.txstart := '0'; v.txburstcnt := (others => '0'); if r.txden = '1' then if (unsigned(r.txlength) > unsigned(maxsizetx)) or (conv_integer(r.txlength) = 0) then v.txdstate := write_result; v.tmsto.req := '1'; v.tmsto.write := '1'; v.tmsto.addr := ctrli.txdesc & r.status.txdsel & "000"; v.tmsto.data := (others => '0'); --! AXI_ENABLE: length of transaction not defined so use simple DMA access v.tmsto.burst_bytes := conv_std_logic_vector(4,11); else v.txdstate := req; v.tmsto.addr := r.txaddr & "00"; v.txcnt(10 downto 0) := r.txlength; --! AXI_ENABLE: length of transaction defined v.tmsto.burst_bytes := r.txlength; end if; else v.txdstate := idle; end if; when req => if txrestart = '1' then v.txdstate := idle; v.txstart := '0'; if (edcl /= 0) and (r.tedcl = '1') then v.txdstate := idle; end if; elsif txdone = '1' then v.txdstate := check_result; v.tfcnt := (others => '0'); v.tfrpnt := (others => '0'); v.tfwpnt := (others => '0'); if (edcl /= 0) and (r.tedcl = '1') then v.txdstate := etdone; end if; elsif conv_integer(r.txcnt) = 0 then v.txdstate := check_result; if (edcl /= 0) and (r.tedcl = '1') then v.txdstate := etdone; v.txstart_sync := not r.txstart_sync; end if; elsif (r.txburstav = '1') or (r.tedcl = '1') then if (edclsepahbg = 0) or (edcl = 0) or (r.edclsepahb = '0') or (r.tedcl = '0') then v.tmsto.req := '1'; v.txdstate := fill_fifo; else v.tmsto2.req := '1'; v.txdstate := fill_fifo2; end if; end if; v.txburstcnt := (others => '0'); when fill_fifo => v.txburstav := '0'; if tmsti.grant = '1' then v.tmsto.addr := r.tmsto.addr + 4; if ((conv_integer(r.txcnt) <= 8) and (tmsti.ready = '1')) or ((conv_integer(r.txcnt) <= 4) and (tmsti.ready = '0')) then v.tmsto.req := '0'; end if; v.txburstcnt := r.txburstcnt + 1; if (conv_integer(r.txburstcnt) = burstlength-1) then v.tmsto.req := '0'; end if; end if; if (tmsti.ready = '1') or ((edcl /= 0) and (r.tedcl and tmsti.error) = '1') then v.tfwpnt := r.tfwpnt + 1; v.tfcnt := r.tfcnt + 1; vtxfi.write := '1'; if r.tmsto.req = '0' then v.txdstate := req; if (r.txstart = '0') and not ((edcl /= 0) and (r.tedcl = '1')) then v.txstart := '1'; v.txstart_sync := not r.txstart_sync; end if; end if; if conv_integer(r.txcnt) > 3 then v.txcnt := r.txcnt - 4; else v.txcnt := (others => '0'); end if; end if; when fill_fifo2 => if edclsepahbg = 1 then v.txburstav := '0'; vtxfi.datain := tmsti2.data; if tmsti2.grant = '1' then v.tmsto2.addr := r.tmsto2.addr + 4; if ((conv_integer(r.txcnt) <= 8) and (tmsti2.ready = '1')) or ((conv_integer(r.txcnt) <= 4) and (tmsti2.ready = '0')) then v.tmsto2.req := '0'; end if; v.txburstcnt := r.txburstcnt + 1; if (conv_integer(r.txburstcnt) = burstlength-1) then v.tmsto2.req := '0'; end if; end if; if (tmsti2.ready = '1') or ((edcl /= 0) and (r.tedcl and tmsti2.error) = '1') then v.tfwpnt := r.tfwpnt + 1; v.tfcnt := r.tfcnt + 1; vtxfi.write := '1'; if r.tmsto2.req = '0' then v.txdstate := req; if (r.txstart = '0') and not ((edcl /= 0) and (r.tedcl = '1')) then v.txstart := '1'; v.txstart_sync := not r.txstart_sync; end if; end if; if conv_integer(r.txcnt) > 3 then v.txcnt := r.txcnt - 4; else v.txcnt := (others => '0'); end if; end if; end if; when check_result => if txdone = '1' then v.txdstate := write_result; v.tmsto.req := '1'; v.txstart := '0'; v.tmsto.write := '1'; v.tmsto.addr := ctrli.txdesc & r.status.txdsel & "000"; v.tmsto.data(31 downto 16) := (others => '0'); v.tmsto.data(15 downto 14) := v.txstatus; v.tmsto.data(13 downto 0) := (others => '0'); v.txdone(nsync) := r.txdone(nsync-1); elsif txrestart = '1' then v.txdstate := idle; v.txstart := '0'; end if; when write_result => if tmsti.grant = '1' then v.tmsto.req := '0'; v.tmsto.addr := r.tmsto.addr + 4; end if; if tmsti.ready = '1' then v.txdstate := idle; v.txirqgen := ctrli.tx_irqen and r.txirq; if r.txwrap = '0' then v.status.txdsel := r.status.txdsel + 1; else v.status.txdsel := (others => '0'); end if; if conv_integer(r.txstatus) = 0 then v.status.tx_int := '1'; else v.status.tx_err := '1'; end if; end if; when ahberror => v.tfcnt := (others => '0'); v.tfwpnt := (others => '0'); v.tfrpnt := (others => '0'); v.status.txahberr := '1'; v.status.txen := '0'; if not ((edcl /= 0) and (r.tedcl = '1')) then if r.txstart = '1' then if txdone = '1' then v.txdstate := idle; v.txdone(nsync) := r.txdone(nsync-1); end if; else v.txdstate := idle; end if; else v.txdstate := idle; v.abufs := r.abufs - 1; v.tpnt := r.tpnt + 1; end if; when others => null; end case; --tx fifo read v.txdataav := '0'; if conv_integer(r.tfcnt) /= 0 then v.txdataav := '1'; end if; if txread = '1' then v.txreadack := not r.txreadack; if r.txdataav = '1' then if conv_integer(r.tfcnt) < 2 then v.txdataav := '0'; end if; v.txvalid := '1'; v.tfcnt := v.tfcnt - 1; v.tfrpnt := r.tfrpnt + 1; else v.txvalid := '0'; end if; v.txdata := txrdata; end if; v.rxburstav := '0'; if r.rfcnt >= rxburstlen then v.rxburstav := '1'; end if; if ramdebug = 0 then vtxfi.renable := v.txdataav; else vtxfi.renable := vtxfi.renable or v.txdataav; end if; --rx dma fsm case r.rxdstate is when idle => v.rmsto.req := '0'; v.rmsto.write := '0'; v.addrok := '0'; v.rxburstcnt := (others => '0'); v.addrdone := '0'; v.rxcnt := (others => '0'); v.rxdoneold := '0'; v.ctrlpkt := '0'; v.bcast := '0'; v.edclactive := '0'; v.msbgood := '0'; v.rxrenable := '0'; if multicast = 1 then v.mcast := '0'; v.mcastacc := '0'; end if; if r.status.rxen = '1' then v.rxdstate := read_desc; v.rmsto.req := '1'; v.rmsto.addr := ctrli.rxdesc & r.status.rxdsel & "000"; --! AXI_ENABLE: burst transaction descriptor header size in bytes v.rmsto.burst_bytes := conv_std_logic_vector(8, 11); elsif rxstart = '1' then v.rxstart(nsync) := r.rxstart(nsync-1); v.rxdstate := discard; end if; when read_desc => v.rxstatus := (others => '0'); if rmsti.grant = '1' then v.rxburstcnt := r.rxburstcnt + 1; v.rmsto.addr := r.rmsto.addr + 4; if r.rxburstcnt(0) = '1' then v.rmsto.req := '0'; --! AXI_ENABLE: don't use burst operation: v.rmsto.burst_bytes := conv_std_logic_vector(4,11); end if; end if; if rmsti.ready = '1' then v.rxcnt := r.rxcnt + 1; case r.rxcnt(1 downto 0) is when "00" => v.status.rxen := rmsti.data(11); v.rxden := rmsti.data(11); v.rxwrap := rmsti.data(12); v.rxirq := rmsti.data(13); when "01" => v.rxaddr := rmsti.data(31 downto 2); v.rxdstate := check_desc; v.rxrenable := '1'; when others => null; end case; end if; if rmsti.error = '1' then v.rmsto.req := '0'; v.rxdstate := idle; v.status.rxahberr := '1'; v.status.rxen := '0'; end if; when check_desc => v.rxcnt := (others => '0'); v.usesizefield := '0'; v.rmsto.write := '1'; if r.rxden = '1' then if rxstart = '1' then v.rxdstate := read_req; v.rxstart(nsync) := r.rxstart(nsync-1); end if; else v.rxdstate := idle; end if; v.rmsto.addr := r.rxaddr & "00"; when read_req => if r.edclactive = '1' then v.rxdstate := discard; elsif (r.rxdoneold and r.rxstatus(3)) = '1' then v.rxdstate := write_status; v.rfcnt := (others => '0'); v.rfwpnt := (others => '0'); v.rfrpnt := (others => '0'); v.writeok := '1'; v.rxbytecount := (others => '0'); v.rxlength := (others => '0'); elsif ((r.addrdone and not r.addrok) or r.ctrlpkt) = '1' then v.rxdstate := discard; v.status.invaddr := '1'; elsif ((r.rxdoneold = '1') and r.rxcnt >= r.rxlength) then if r.gotframe = '1' then v.rxdstate := write_status; else v.rxdstate := discard; v.status.toosmall := '1'; end if; elsif (r.rxburstav or r.rxdoneold) = '1' then v.rmsto.req := '1'; v.rxdstate := read_fifo; v.rfrpnt := r.rfrpnt + 1; v.rfcnt := r.rfcnt - 1; end if; v.rxburstcnt := (others => '0'); v.rmsto.data := rxrdata; when read_fifo => v.rxburstav := '0'; if rmsti.grant = '1' then v.rmsto.addr := r.rmsto.addr + 4; if (lengthav = '1') then if ((conv_integer(r.rxcnt) >= (conv_integer(r.rxlength) - 8)) and (rmsti.ready = '1')) or ((conv_integer(r.rxcnt) >= (conv_integer(r.rxlength) - 4)) and (rmsti.ready = '0')) then v.rmsto.req := '0'; end if; end if; v.rxburstcnt := r.rxburstcnt + 1; if (conv_integer(r.rxburstcnt) = burstlength-1) then v.rmsto.req := '0'; end if; end if; if rmsti.ready = '1' then v.rmsto.data := rxrdata; v.rxcnt := r.rxcnt + 4; if r.rmsto.req = '0' then v.rxdstate := read_req; else v.rfcnt := r.rfcnt - 1; v.rfrpnt := r.rfrpnt + 1; end if; v.check := '1'; v.checkdata := r.rmsto.data; end if; if rmsti.error = '1' then v.rmsto.req := '0'; v.rxdstate := discard; v.rxcnt := r.rxcnt + 4; v.status.rxahberr := '1'; v.status.rxen := '0'; end if; when write_status => v.rmsto.req := '1'; v.rmsto.addr := ctrli.rxdesc & r.status.rxdsel & "000"; v.rxdstate := write_status2; if multicast = 1 then v.rmsto.data := "00000" & r.mcastacc & "0000000" & r.rxstatus & "000" & r.rxlength; else v.rmsto.data := "0000000000000" & r.rxstatus & "000" & r.rxlength; end if; when write_status2 => if rmsti.grant = '1' then v.rmsto.req := '0'; v.rmsto.addr := r.rmsto.addr + 4; end if; if rmsti.ready = '1' then if (r.rxstatus(4) or not r.rxstatus(3)) = '1' then v.rxdstate := discard; else v.rxdstate := idle; end if; if (ctrli.rx_irqen and r.rxirq) = '1' then vpirq := '1'; end if; if conv_integer(r.rxstatus) = 0 then v.status.rx_int := '1'; else v.status.rx_err := '1'; end if; if r.rxwrap = '1' then v.status.rxdsel := (others => '0'); else v.status.rxdsel := r.status.rxdsel + 1; end if; end if; if rmsti.error = '1' then v.rmsto.req := '0'; v.rxdstate := idle; v.status.rxahberr := '1'; v.status.rxen := '0'; end if; when discard => if (r.rxdoneold = '0') then if conv_integer(r.rfcnt) /= 0 then v.rfrpnt := r.rfrpnt + 1; v.rfcnt := r.rfcnt - 1; v.rxcnt := r.rxcnt + 4; end if; else if r.rxstatus(3) = '1' then v.rfcnt := (others => '0'); v.rfwpnt := (others => '0'); v.rfrpnt := (others => '0'); v.writeok := '1'; v.rxbytecount := (others => '0'); v.rxlength := (others => '0'); v.rxdstate := idle; elsif (conv_integer(r.rxcnt) < conv_integer(r.rxbytecount)) then if conv_integer(r.rfcnt) /= 0 then v.rfrpnt := r.rfrpnt + 1; v.rfcnt := r.rfcnt - 1; v.rxcnt := r.rxcnt + 4; end if; else v.rxdstate := idle; v.ctrlpkt := '0'; end if; end if; when others => null; end case; --rx address/type check if r.check = '1' and r.rxcnt(10 downto 5) = "000000" then case r.rxcnt(4 downto 2) is when "001" => if ctrli.prom = '1' then v.addrok := '1'; end if; v.mcast := r.checkdata(24); if r.checkdata = broadcast(47 downto 16) then v.bcast := '1'; end if; if r.checkdata = ctrli.mac_addr(47 downto 16) then v.msbgood := '1'; end if; when "010" => if r.checkdata(31 downto 16) = broadcast(15 downto 0) then if r.bcast = '1' then v.addrok := '1'; end if; else v.bcast := '0'; end if; if r.checkdata(31 downto 16) = ctrli.mac_addr(15 downto 0) then if r.msbgood = '1' then v.addrok := '1'; end if; end if; if multicast = 1 then v.hashlookup := ctrli.hash(conv_integer(rxo.mcasthash)); end if; when "011" => if multicast = 1 then if (r.hashlookup and ctrli.mcasten and r.mcast) = '1' then v.addrok := '1'; if r.bcast = '0' then v.mcastacc := '1'; end if; end if; end if; when "100" => if r.checkdata(31 downto 16) = ctrlopcode then v.ctrlpkt := '1'; end if; v.addrdone := '1'; when others => null; end case; end if; --rx packet done if (rxdone and not rxstart) = '1' then v.gotframe := rxo.gotframe; v.rxbytecount := rxo.byte_count; v.rxstatus(3 downto 0) := rxo.status; if (unsigned(rxo.lentype) > maxsizerx) or (rxo.status /= "0000") then v.rxlength := rxo.byte_count; else v.rxlength := rxo.lentype(10 downto 0); if (rxo.lentype(10 downto 0) > minpload) and (rxo.lentype(10 downto 0) /= rxo.byte_count) then if rxo.status(2 downto 0) = "000" then v.rxstatus(4) := '1'; v.rxlength := rxo.byte_count; v.usesizefield := '0'; end if; elsif (rxo.lentype(10 downto 0) <= minpload) and (rxo.byte_count /= minpload) then if rxo.status(2 downto 0) = "000" then v.rxstatus(4) := '1'; v.rxlength := rxo.byte_count; v.usesizefield := '0'; end if; end if; end if; v.rxdoneold := '1'; v.rxdoneack := not r.rxdoneack; end if; --rx fifo write if vrxwrite = '1' then v.rxwriteack := not r.rxwriteack; if (not r.rfcnt(fabits)) = '1' then v.rfwpnt := r.rfwpnt + 1; v.rfcnt := v.rfcnt + 1; v.writeok := '1'; vrxfi.write := '1'; else v.writeok := '0'; end if; end if; --must be placed here because it uses variable if (ramdebug = 0) or (ctrli.ramdebugen = '0') then vrxfi.raddress := v.rfrpnt; end if; ------------------------------------------------------------------------------- -- MDIO INTERFACE ------------------------------------------------------------- ------------------------------------------------------------------------------- --mdio commands if enable_mdio = 1 then mclkvec := r.mdioclkold & r.mdioclk; mclk := mclkvec(mdiohold-1) and not mclkvec(mdiohold); nmclk := mclkvec(1) and not mclkvec(0); v.mdioclkold := mclkvec(mdiohold-1 downto 0); if r.mdccnt = "00000000" then v.mdccnt := divisor; v.mdioclk := not r.mdioclk; else v.mdccnt := r.mdccnt - 1; end if; mdioindex := conv_integer(r.cnt); v.mdioi := mdio_i; case r.mdio_state is when idle => if (enable_mdio = 1) and (edcl = 0) and (r.status.reset = '1') then v.mdio_state := idle; v.status.mdio.cmd.read := '0'; v.status.mdio.cmd.write := '0'; v.status.mdio.busy := '0'; v.status.mdio.cmd.data := (others => '0'); v.status.mdio.cmd.regadr := (others => '0'); v.status.reset := '0'; if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if; end if; if mclk = '1' then v.cnt := (others => '0'); if r.status.mdio.busy = '1' then v.status.mdio.linkfail := '0'; if r.status.mdio.cmd.read = '1' then v.status.mdio.cmd.write := '0'; end if; v.mdio_state := preamble; v.mdioo := '1'; if OEPOL = 0 then v.mdioen := '0'; else v.mdioen := '1'; end if; end if; end if; when preamble => if mclk = '1' then v.cnt := r.cnt + 1; if r.cnt = "11111" then v.mdioo := '0'; v.mdio_state := startst; end if; end if; when startst => if mclk = '1' then v.mdioo := '1'; v.mdio_state := op; v.cnt := (others => '0'); end if; when op => if mclk = '1' then v.mdio_state := op2; if r.status.mdio.cmd.read = '1' then v.mdioo := '1'; else v.mdioo := '0'; end if; end if; when op2 => if mclk = '1' then v.mdioo := not r.mdioo; v.mdio_state := phyadr; v.cnt := (others => '0'); end if; when phyadr => if mclk = '1' then v.cnt := r.cnt + 1; case mdioindex is when 0 => v.mdioo := ctrli.mdio_phyadr(4); when 1 => v.mdioo := ctrli.mdio_phyadr(3); when 2 => v.mdioo := ctrli.mdio_phyadr(2); when 3 => v.mdioo := ctrli.mdio_phyadr(1); when 4 => v.mdioo := ctrli.mdio_phyadr(0); v.mdio_state := regadr; v.cnt := (others => '0'); when others => null; end case; end if; when regadr => if mclk = '1' then v.cnt := r.cnt + 1; case mdioindex is when 0 => v.mdioo := r.status.mdio.cmd.regadr(4); when 1 => v.mdioo := r.status.mdio.cmd.regadr(3); when 2 => v.mdioo := r.status.mdio.cmd.regadr(2); when 3 => v.mdioo := r.status.mdio.cmd.regadr(1); when 4 => v.mdioo := r.status.mdio.cmd.regadr(0); v.mdio_state := ta; v.cnt := (others => '0'); when others => null; end case; end if; when ta => if mclk = '1' then v.mdio_state := ta2; if r.status.mdio.cmd.read = '1' then if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if; else v.mdioo := '1'; end if; end if; when ta2 => if mclk = '1' then v.cnt := "01111"; v.mdio_state := ta3; if r.status.mdio.cmd.write = '1' then v.mdioo := '0'; v.mdio_state := data; end if; end if; when ta3 => if mclk = '1' then v.mdio_state := data; end if; if nmclk = '1' then if r.mdioi /= '0' then v.status.mdio.linkfail := '1'; end if; end if; when data => if mclk = '1' then v.cnt := r.cnt - 1; if r.cnt = "00000" then v.mdio_state := dataend; end if; if r.status.mdio.cmd.read = '0' then v.mdioo := r.status.mdio.cmd.data(mdioindex); end if; end if; if nmclk = '1' then if r.status.mdio.cmd.read = '1' then v.status.mdio.cmd.data(mdioindex) := r.mdioi; end if; end if; when dataend => if mclk = '1' then if (rmii = 1) or (edcl /= 0) then v.init_busy := '0'; if (r.duplexstate = done or ctrli.edcldis = '1' or ctrli.disableduplex = '1') then v.status.mdio.busy := '0'; end if; else v.status.mdio.busy := '0'; end if; v.status.mdio.cmd.read := '0'; v.status.mdio.cmd.write := '0'; v.mdio_state := idle; if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if; end if; when others => null; end case; end if; ------------------------------------------------------------------------------- -- EDCL ----------------------------------------------------------------------- ------------------------------------------------------------------------------- if (edcl /= 0) then if (ramdebug /= 2) or (ctrli.ramdebugen = '0') then veri.renable := r.erenable; veri.writem := '0'; veri.writel := '0'; veri.waddressm := r.rpnt & r.rcntm; veri.waddressl := r.rpnt & r.rcntl; vrxenable := '1'; end if; swap := '0'; vecnt := conv_integer(r.ecnt); setmz := '0'; if vrxwrite = '1' then if ctrli.edcldis = '0' then v.rxwriteack := not r.rxwriteack; end if; end if; --edcl receiver case r.edclrstate is when idle => v.edclbcast := '0'; v.status.edclrx_idle := '1'; if (ramdebug /= 2) or (ctrli.ramdebugen = '0') then if (rxstart and not ctrli.edcldis) = '1' then v.edclrstate := wrda; v.edclactive := '0'; v.status.edclrx_idle := '0'; v.rcntm := conv_std_logic_vector(2, bpbits); v.rcntl := conv_std_logic_vector(1, bpbits); end if; end if; when wrda => if vrxwrite = '1' then v.edclrstate := wrdsa; veri.writem := '1'; veri.writel := '1'; swap := '1'; v.rcntm := r.rcntm - 2; v.rcntl := r.rcntl + 1; if (ctrli.emacaddr(47 downto 16) /= rxo.dataout) and (X"FFFFFFFF" /= rxo.dataout) then v.edclrstate := spill; elsif (X"FFFFFFFF" = rxo.dataout) then v.edclbcast := '1'; end if; if conv_integer(r.abufs) = wsz then v.edclrstate := spill; end if; end if; if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; when wrdsa => if vrxwrite = '1' then v.edclrstate := wrsa; swap := '1'; veri.writem := '1'; veri.writel := '1'; v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl - 2; if (ctrli.emacaddr(15 downto 0) /= rxo.dataout(31 downto 16)) and (X"FFFF" /= rxo.dataout(31 downto 16)) then v.edclrstate := spill; elsif (X"FFFF" = rxo.dataout(31 downto 16)) then v.edclbcast := r.edclbcast; end if; end if; if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; when wrsa => if vrxwrite = '1' then veri.writem := '1'; veri.writel := '1'; v.edclrstate := wrtype; swap := '1'; v.rcntm := r.rcntm + 2; v.rcntl := r.rcntl + 3; end if; if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; when wrtype => if vrxwrite = '1' then veri.writem := '1'; veri.writel := '1'; v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; if X"0800" = rxo.dataout(31 downto 16) and (r.edclbcast = '0') then v.edclrstate := ip; elsif X"0806" = rxo.dataout(31 downto 16) and (r.edclbcast = '1') then v.edclrstate := arp; else v.edclrstate := spill; end if; end if; v.ecnt := (others => '0'); v.ipcrc := (others => '0'); if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; when ip => if vrxwrite = '1' then v.ecnt := r.ecnt + 1; veri.writem := '1'; veri.writel := '1'; case vecnt is when 0 => v.ipcrc := crcadder(not rxo.dataout(31 downto 16), r.ipcrc); v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; when 1 => v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 2; when 2 => v.ipcrc := crcadder(not rxo.dataout(31 downto 16), r.ipcrc); v.rcntm := r.rcntm + 2; v.rcntl := r.rcntl - 1; when 3 => v.rcntm := r.rcntm - 1; v.rcntl := r.rcntl + 2; when 4 => v.udpsrc := rxo.dataout(15 downto 0); v.rcntm := r.rcntm + 2; v.rcntl := r.rcntl + 1; when 5 => setmz := '1'; v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; when 6 => v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; when 7 => v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; if (rxo.dataout(31 downto 18) = r.seq) then v.nak := '0'; else v.nak := '1'; veri.datain(31 downto 18) := r.seq; end if; veri.datain(17) := v.nak; v.ewr := rxo.dataout(17); if (rxo.dataout(17) or v.nak) = '1' then veri.datain(16 downto 7) := (others => '0'); end if; v.oplen := rxo.dataout(16 downto 7); v.applength := "000000" & veri.datain(16 downto 7); v.ipcrc := crcadder(v.applength + 38, r.ipcrc); v.write(conv_integer(r.rpnt)) := rxo.dataout(17); when 8 => ipcrctmp := (others => '0'); ipcrctmp(1 downto 0) := r.ipcrc(17 downto 16); ipcrctmp2 := "00" & r.ipcrc(15 downto 0); v.ipcrc := crcadder(ipcrctmp, ipcrctmp2); v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; v.edclrstate := ipdata; when others => null; end case; end if; if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; when ipdata => if (vrxwrite and r.ewr and not r.nak) = '1' and (r.rcntm /= ebufmax) then veri.writem := '1'; veri.writel := '1'; v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; end if; if rxdone = '1' then v.edclrstate := ipcrc; v.rcntm := conv_std_logic_vector(6, bpbits); ipcrctmp := (others => '0'); ipcrctmp(1 downto 0) := r.ipcrc(17 downto 16); ipcrctmp2 := "00" & r.ipcrc(15 downto 0); v.ipcrc := crcadder(ipcrctmp, ipcrctmp2); if conv_integer(v.rxstatus(3 downto 0)) /= 0 then v.edclrstate := idle; end if; end if; when ipcrc => veri.writem := '1'; veri.datain(31 downto 16) := not r.ipcrc(15 downto 0); v.edclrstate := udp; v.rcntm := conv_std_logic_vector(9, bpbits); v.rcntl := conv_std_logic_vector(9, bpbits); when udp => veri.writem := '1'; veri.writel := '1'; v.edclrstate := iplength; veri.datain(31 downto 16) := r.udpsrc; veri.datain(15 downto 0) := r.applength + 18; v.rcntm := conv_std_logic_vector(4, bpbits); when iplength => veri.writem := '1'; veri.datain(31 downto 16) := r.applength + 38; v.edclrstate := oplength; v.rcntm := conv_std_logic_vector(10, bpbits); v.rcntl := conv_std_logic_vector(10, bpbits); when oplength => if rxstart = '0' then v.abufs := r.abufs + 1; v.rpnt := r.rpnt + 1; veri.writel := '1'; veri.writem := '1'; end if; if r.nak = '0' then v.seq := r.seq + 1; end if; v.edclrstate := idle; veri.datain(31 downto 0) := (others => '0'); veri.datain(15 downto 0) := "00000" & r.nak & r.oplen; when arp => if vrxwrite = '1' then v.ecnt := r.ecnt + 1; veri.writem := '1'; veri.writel := '1'; case vecnt is when 0 => v.rcntm := r.rcntm + 4; when 1 => swap := '1'; veri.writel := '0'; v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 4; when 2 => swap := '1'; v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; when 3 => swap := '1'; v.rcntm := r.rcntm - 4; v.rcntl := r.rcntl - 4; when 4 => veri.datain := ctrli.emacaddr(31 downto 16) & ctrli.emacaddr(47 downto 32); v.rcntm := r.rcntm + 1; v.rcntl := r.rcntl + 1; when 5 => v.rcntl := r.rcntl + 1; veri.datain(31 downto 16) := rxo.dataout(15 downto 0); veri.datain(15 downto 0) := ctrli.emacaddr(15 downto 0); if rxo.dataout(15 downto 0) /= ctrli.edclip(31 downto 16) then v.edclrstate := spill; end if; when 6 => swap := '1'; veri.writem := '0'; v.rcntm := conv_std_logic_vector(5, bpbits); v.rcntl := conv_std_logic_vector(1, bpbits); if rxo.dataout(31 downto 16) /= ctrli.edclip(15 downto 0) then v.edclrstate := spill; else v.edclactive := '1'; end if; when 7 => veri.writem := '0'; veri.datain(15 downto 0) := ctrli.emacaddr(47 downto 32); v.rcntl := r.rcntl + 1; v.rcntm := conv_std_logic_vector(2, bpbits); when 8 => v.edclrstate := arpop; veri.datain := ctrli.emacaddr(31 downto 0); v.rcntm := conv_std_logic_vector(5, bpbits); when others => null; end case; end if; if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; when arpop => veri.writem := '1'; veri.datain(31 downto 16) := X"0002"; if (rxdone and not rxstart) = '1' then v.edclrstate := idle; if conv_integer(v.rxstatus) = 0 and (rxo.gotframe = '1') then v.abufs := r.abufs + 1; v.rpnt := r.rpnt + 1; end if; end if; when spill => if (rxdone and not rxstart) = '1' then v.edclrstate := idle; end if; end case; --edcl transmitter case r.txdstate is when getlen => v.tcnt := r.tcnt + 1; if conv_integer(r.tcnt) = 10 then v.txlength := '0' & erdata(9 downto 0); v.tnak := erdata(10); v.txcnt := v.txlength; if (r.write(conv_integer(r.tpnt)) or v.tnak) = '1' then v.txlength := (others => '0'); end if; end if; if conv_integer(r.tcnt) = 11 then v.txdstate := readhdr; v.tcnt := (others => '0'); end if; when readhdr => v.tcnt := r.tcnt + 1; vtxfi.write := '1'; v.tfwpnt := r.tfwpnt + 1; v.tfcnt := v.tfcnt + 1; vtxfi.datain := erdata; if conv_integer(r.tcnt) = 12 then v.txaddr := erdata(31 downto 2); end if; if conv_integer(r.tcnt) = 3 then if erdata(31 downto 16) = X"0806" then v.tarp := '1'; v.txlength := conv_std_logic_vector(42, 11); else v.tarp := '0'; v.txlength := r.txlength + 52; end if; end if; if r.tarp = '0' then if conv_integer(r.tcnt) = 12 then v.txdstate := start; end if; else if conv_integer(r.tcnt) = 10 then v.txdstate := start; end if; end if; if (txrestart or txdone) = '1' then v.txdstate := etdone; end if; when start => v.tmsto.addr := r.txaddr & "00"; v.tmsto.write := r.write(conv_integer(r.tpnt)); -- AXI_ENABLE: EDCL burst length decoded from payload v.tmsto.burst_bytes := r.txcnt; if (edclsepahbg /= 0) and (edcl /= 0) then v.tmsto2.addr := r.txaddr & "00"; v.tmsto2.write := r.write(conv_integer(r.tpnt)); -- AXI_ENABLE: EDCL burst length decoded from payload v.tmsto2.burst_bytes := r.txcnt; end if; if (conv_integer(r.txcnt) = 0) or (r.tarp or r.tnak) = '1' then v.txdstate := etdone; v.txstart_sync := not r.txstart_sync; v.tmsto.req := '0'; if (edclsepahbg /= 0) and (edcl /= 0) then v.tmsto2.req := '0'; end if; elsif r.write(conv_integer(r.tpnt)) = '0' then v.txdstate := req; v.tedcl := '1'; else v.txstart_sync := not r.txstart_sync; v.tedcl := '1'; v.tcnt := r.tcnt + 1; if (edclsepahbg = 0) or (edcl = 0) or (r.edclsepahb = '0') then v.tmsto.req := '1'; v.tmsto.data := erdata; v.txdstate := wrbus1; else v.tmsto2.req := '1'; v.tmsto2.data := erdata; v.txdstate := wrbus2; end if; end if; if (txrestart or txdone) = '1' then v.txdstate := etdone; end if; when wrbus1 => if tmsti.grant = '1' then v.tmsto.addr := r.tmsto.addr + 4; if ((conv_integer(r.txcnt) <= 4) and (tmsti.ready = '0')) or ((conv_integer(r.txcnt) <= 8) and (tmsti.ready = '1')) then v.tmsto.req := '0'; end if; end if; if (tmsti.ready or tmsti.error) = '1' then v.tmsto.data := erdata; v.tcnt := r.tcnt + 1; v.txcnt := r.txcnt - 4; if r.tmsto.req = '0' then v.txdstate := etdone; end if; end if; if tmsti.retry = '1' then v.tmsto.addr := r.tmsto.addr - 4; v.tmsto.req := '1'; end if; when wrbus2 => if tmsti2.grant = '1' then v.tmsto2.addr := r.tmsto2.addr + 4; if ((conv_integer(r.txcnt) <= 4) and (tmsti2.ready = '0')) or ((conv_integer(r.txcnt) <= 8) and (tmsti2.ready = '1')) then v.tmsto2.req := '0'; end if; end if; if (tmsti2.ready or tmsti2.error) = '1' then v.tmsto2.data := erdata; v.tcnt := r.tcnt + 1; v.txcnt := r.txcnt - 4; if r.tmsto2.req = '0' then v.txdstate := etdone; end if; end if; if tmsti2.retry = '1' then v.tmsto2.addr := r.tmsto2.addr - 4; v.tmsto2.req := '1'; end if; when etdone => if txdone = '1' then v.txdstate := idle; v.txdone(nsync) := r.txdone(nsync-1); v.abufs := v.abufs - 1; v.tpnt := r.tpnt + 1; v.tfcnt := (others => '0'); v.tfrpnt := (others => '0'); v.tfwpnt := (others => '0'); elsif txrestart = '1' then v.txdstate := idle; end if; when others => null; end case; if swap = '1' then veri.datain(31 downto 16) := rxo.dataout(15 downto 0); veri.datain(15 downto 0) := rxo.dataout(31 downto 16); end if; if setmz = '1' then veri.datain(31 downto 16) := (others => '0'); end if; if (ramdebug /= 2) or (edcl = 0) or (cmdi.dbg_rd_ena = '0') then veri.raddress := r.tpnt & v.tcnt; end if; end if; --edcl duplex mode read if (rmii = 1) or (edcl /= 0) then --edcl, gbit link mode check case r.duplexstate is when start => if (ctrli.edcldis = '0' and ctrli.disableduplex = '0') then v.status.mdio.cmd.regadr := r.regaddr; v.init_busy := '1'; v.status.mdio.busy := '1'; v.duplexstate := waitop; if (r.phywr or r.rstphy) = '1' then v.status.mdio.cmd.write := '1'; else v.status.mdio.cmd.read := '1'; end if; if r.rstphy = '1' then v.status.mdio.cmd.data := X"9000"; end if; end if; when waitop => if r.init_busy = '0' then if r.status.mdio.linkfail = '1' then v.duplexstate := start; elsif r.rstphy = '1' then v.duplexstate := start; v.rstphy := '0'; else v.duplexstate := nextop; end if; end if; when nextop => case r.regaddr is when "00000" => if r.status.mdio.cmd.data(15) = '1' then --rst not finished v.duplexstate := start; elsif (r.phywr and not r.rstaneg) = '1' then --forced to 10 Mbit HD v.duplexstate := selmode; elsif r.status.mdio.cmd.data(12) = '0' then --no auto neg v.duplexstate := start; v.phywr := '1'; v.status.mdio.cmd.data := (others => '0'); else v.duplexstate := start; v.regaddr := "00001"; end if; if r.rstaneg = '1' then v.phywr := '0'; end if; if ctrli.disableduplex = '1' then v.duplexstate := done; v.status.mdio.busy := '0'; end if; when "00001" => v.ext := r.status.mdio.cmd.data(8); --extended status register v.extcap := r.status.mdio.cmd.data(1); --extended register capabilities v.duplexstate := start; if r.status.mdio.cmd.data(0) = '0' then --no extended register capabilites, unable to read aneg config --forcing 10 Mbit v.duplexstate := start; v.phywr := '1'; v.status.mdio.cmd.data := (others => '0'); v.regaddr := (others => '0'); elsif (r.status.mdio.cmd.data(8) and not r.rstaneg) = '1' then --phy gbit capable, disable gbit v.regaddr := "01001"; elsif r.status.mdio.cmd.data(5) = '1' then --auto neg completed v.regaddr := "00100"; end if; if ctrli.disableduplex = '1' then v.duplexstate := done; v.status.mdio.busy := '0'; end if; when "00100" => v.duplexstate := start; v.regaddr := "00101"; v.capbil(4 downto 0) := r.status.mdio.cmd.data(9 downto 5); when "00101" => v.duplexstate := selmode; v.capbil(4 downto 0) := r.capbil(4 downto 0) and r.status.mdio.cmd.data(9 downto 5); when "01001" => if r.phywr = '0' then v.duplexstate := start; v.phywr := '1'; v.status.mdio.cmd.data(9 downto 8) := (others => '0'); else v.regaddr := "00000"; v.duplexstate := start; v.phywr := '1'; v.status.mdio.cmd.data := X"3300"; v.rstaneg := '1'; end if; when others => null; end case; when selmode => v.duplexstate := done; v.status.mdio.busy := '0'; if r.phywr = '1' then v.status.full_duplex := '0'; v.status.speed := '0'; else sel_op_mode(r.capbil, v.status.speed, v.status.full_duplex); end if; when done => null; end case; -- MDIO Disable if ctrli.edcldis = '1' or ctrli.disableduplex = '1' then if v.duplexstate /= start then v.duplexstate := start; v.status.mdio.cmd.regadr := (others => '0'); v.status.mdio.busy := '0'; v.init_busy := '0'; v.status.mdio.cmd.write := '0'; v.status.mdio.cmd.read := '0'; v.status.mdio.cmd.data := X"0000"; end if; end if; end if; --transmitter retry if tmsti.retry = '1' then v.tmsto.req := '1'; v.tmsto.addr := r.tmsto.addr - 4; v.txburstcnt := r.txburstcnt - 1; end if; --transmitter AHB error if tmsti.error = '1' and (not ((edcl /= 0) and (r.tedcl = '1'))) then v.tmsto.req := '0'; v.txdstate := ahberror; end if; if (edclsepahbg /= 0) and (edcl /= 0) then --transmitter retry if tmsti2.retry = '1' then v.tmsto2.req := '1'; v.tmsto2.addr := r.tmsto2.addr - 4; v.txburstcnt := r.txburstcnt - 1; end if; --transmitter AHB error if tmsti2.error = '1' and (not ((edcl /= 0) and (r.tedcl = '1'))) then v.tmsto2.req := '0'; v.txdstate := ahberror; end if; end if; --receiver retry if rmsti.retry = '1' then v.rmsto.req := '1'; v.rmsto.addr := r.rmsto.addr - 4; v.rxburstcnt := r.rxburstcnt - 1; end if; ------------------------------------------------------------------------------ -- RESET ---------------------------------------------------------------------- ------------------------------------------------------------------------------- if irst = '0' then v.txdstate := idle; v.rxdstate := idle; v.rfrpnt := (others => '0'); v.rfwpnt := (others => '0'); v.rfcnt := (others => '0'); v.status.txen := '0'; v.status.tx_int := '0'; v.status.rx_int := '0'; v.status.tx_err := '0'; v.status.rx_err := '0'; v.status.txahberr := '0'; v.status.rxahberr := '0'; v.txirqgen := '0'; v.status.rxen := '0'; v.status.txdsel := (others => '0'); v.txstart_sync := '0'; v.txread := (others => '0'); v.txrestart := (others => '0'); v.txdone := (others => '0'); v.txreadack := '0'; v.status.rxdsel := (others => '0'); v.rxdone := (others => '0'); v.rxdoneold := '0'; v.rxdoneack := '0'; v.rxwriteack := '0'; v.rxstart := (others => '0'); v.rxwrite := (others => '0'); v.status.invaddr := '0'; v.status.toosmall := '0'; v.status.full_duplex := '0'; v.writeok := '1'; if (enable_mdio = 0) or (edcl /= 0) then v.status.reset := '0'; end if; if enable_mdint = 1 then v.status.phystat := '0'; end if; if (edcl /= 0) then v.tpnt := (others => '0'); v.rpnt := (others => '0'); v.tcnt := (others => '0'); v.edclactive := '0'; v.tarp := '0'; v.abufs := (others => '0'); v.edclrstate := idle; end if; if (rmii = 1) then v.status.speed := '1'; else v.status.speed := '1'; end if; end if; if edcl = 0 then v.edclrstate := idle; v.edclactive := '0'; v.nak := '0'; v.ewr := '0'; v.write := (others => '0'); v.seq := (others => '0'); v.abufs := (others => '0'); v.tpnt := (others => '0'); v.rpnt := (others => '0'); v.tcnt := (others => '0'); v.rcntm := (others => '0'); v.rcntl := (others => '0'); v.ipcrc := (others => '0'); v.applength := (others => '0'); v.oplen := (others => '0'); v.udpsrc := (others => '0'); v.ecnt := (others => '0'); v.tarp := '0'; v.tnak := '0'; v.tedcl := '0'; v.edclbcast := '0'; end if; --some parts of edcl are only affected by hw reset if rst = '0' then v.duplexstate := start; v.regaddr := (others => '0'); v.phywr := '0'; v.rstphy := '1'; v.rstaneg := '0'; v.seq := (others => '0'); v.mdioo := '0'; if (enable_mdio = 1) then v.mdccnt := divisor; v.mdioclk := '0'; end if; v.status.reset := '0'; if (enable_mdio = 1) then v.mdio_state := idle; v.status.mdio.cmd.read := '0'; v.status.mdio.cmd.valid := '0'; v.status.mdio.cmd.write := '0'; v.status.mdio.busy := '0'; v.status.mdio.cmd.data := (others => '0'); v.status.mdio.cmd.regadr := (others => '0'); v.status.reset := '0'; v.status.mdio.linkfail := '1'; if OEPOL = 0 then v.mdioen := '1'; else v.mdioen := '0'; end if; v.cnt := (others => '0'); end if; if edclsepahbg /= 0 then v.edclsepahb := edclsepahb; end if; v.txcnt := (others => '0'); v.txburstcnt := (others => '0'); v.tedcl := '0'; v.erenable := '0'; v.addrok := '0'; v.rxburstcnt := (others => '0'); v.addrdone := '0'; v.rxcnt := (others => '0'); v.rxdoneold := '0'; v.ctrlpkt := '0'; v.bcast := '0'; v.edclactive := '0'; v.msbgood := '0'; v.rxrenable := '0'; if multicast = 1 then v.mcast := '0'; v.mcastacc := '0'; end if; v.tnak := '0'; v.tedcl := '0'; v.edclbcast := '0'; v.gotframe := '0'; v.rxbytecount := (others => '0'); v.rxlength := (others => '0'); v.txburstav := '0'; v.txdataav := '0'; v.txstatus := (others => '0'); v.txstart := '0'; v.tfcnt := (others => '0'); v.tfrpnt := (others => '0'); v.tfwpnt := (others => '0'); v.txaddr := (others => '0'); v.txdata := (others => '0'); v.txvalid := '0'; v.txlength := (others => '0'); v.cnt := (others => '0'); v.rxaddr := (others => '0'); v.rxstatus := (others => '0'); v.rxwrap := '0'; v.rxden := '0'; v.rmsto.req := '0'; v.rmsto.write := '0'; v.rmsto.addr := (others => '0'); v.rmsto.data := (others => '0'); v.tmsto.req := '0'; v.tmsto.write := '0'; v.tmsto.addr := (others => '0'); v.tmsto.data := (others => '0'); v.tmsto2.req := '0'; v.tmsto2.write := '0'; v.tmsto2.addr := (others => '0'); v.tmsto2.data := (others => '0'); v.nak := '0'; v.ewr := '0'; v.write := (others => '0'); v.applength := (others => '0'); v.oplen := (others => '0'); v.udpsrc := (others => '0'); v.ecnt := (others => '0'); v.rcntm := (others => '0'); v.rcntl := (others => '0'); v.txwrap := '0'; v.txden := '0'; v.txirq := '0'; v.rxirq := '0'; end if; ------------------------------------------------------------------------------- -- SIGNAL ASSIGNMENTS --------------------------------------------------------- ------------------------------------------------------------------------------- rin <= v; rdbgdatao <= vrdbgdata; irq <= vpirq; --rx ahb fifo rxrenable <= vrxfi.renable; rxraddress(10 downto fabits) <= (others => '0'); rxraddress(fabits-1 downto 0) <= vrxfi.raddress; rxwrite <= vrxfi.write; rxwdata <= vrxfi.datain; rxwaddress(10 downto fabits) <= (others => '0'); rxwaddress(fabits-1 downto 0) <= vrxfi.waddress; --tx ahb fifo txrenable <= vtxfi.renable; txraddress(10 downto txfabits) <= (others => '0'); txraddress(txfabits-1 downto 0) <= vtxfi.raddress; txwrite <= vtxfi.write; txwdata <= vtxfi.datain; txwaddress(10 downto txfabits) <= (others => '0'); txwaddress(txfabits-1 downto 0) <= vtxfi.waddress; --edcl buf erenable <= veri.renable; eraddress(15 downto eabits) <= (others => '0'); eraddress(eabits-1 downto 0) <= veri.raddress; ewritem <= veri.writem; ewritel <= veri.writel; ewaddressm(15 downto eabits) <= (others => '0'); ewaddressm(eabits-1 downto 0) <= veri.waddressm(eabits-1 downto 0); ewaddressl(15 downto eabits) <= (others => '0'); ewaddressl(eabits-1 downto 0) <= veri.waddressl(eabits-1 downto 0); ewdata <= veri.datain; rxi.enable <= vrxenable; end process; statuso <= r.status; rxi.writeack <= r.rxwriteack; rxi.doneack <= r.rxdoneack; rxi.speed <= r.status.speed; rxi.writeok <= r.writeok; rxi.rxd <= rxd; rxi.rx_dv <= rx_dv; rxi.rx_crs <= rx_crs; rxi.rx_er <= rx_er; rxi.rx_en <= rx_en; txi.rx_col <= rx_col; txi.rx_crs <= rx_crs; txi.full_duplex <= r.status.full_duplex; txi.start <= r.txstart_sync; txi.readack <= r.txreadack; txi.speed <= r.status.speed; txi.data <= r.txdata; txi.valid <= r.txvalid; txi.len <= r.txlength; txi.datavalid <= tx_dv; mdc <= r.mdioclk; mdio_o <= r.mdioo; mdio_oe <= testoen when (scanen/=0 and testen/='0') else r.mdioen; tmsto <= r.tmsto; rmsto <= r.rmsto; tmsto2 <= r.tmsto2; txd <= txo.txd; tx_en <= txo.tx_en; tx_er <= txo.tx_er; speed <= r.status.speed; reset <= irst; regs : process(clk) is begin if rising_edge(clk) then r <= rin; end if; end process; ------------------------------------------------------------------------------- -- TRANSMITTER----------------------------------------------------------------- ------------------------------------------------------------------------------- tx_rmii0 : if rmii = 0 generate tx0: greth_tx generic map( ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, nsync => nsync, rmii => rmii, gmiimode => gmiimode ) port map( rst => arst, clk => tx_clk, txi => txi, txo => txo); end generate; tx_rmii1 : if rmii = 1 generate tx0: greth_tx generic map( ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, nsync => nsync, rmii => rmii, gmiimode => gmiimode ) port map( rst => arst, clk => rmii_clk, txi => txi, txo => txo); end generate; ------------------------------------------------------------------------------- -- RECEIVER ------------------------------------------------------------------- ------------------------------------------------------------------------------- rx_rmii0 : if rmii = 0 generate rx0 : greth_rx generic map( nsync => nsync, rmii => rmii, multicast => multicast, maxsize => maxsize, gmiimode => gmiimode ) port map( rst => arst, clk => rx_clk, rxi => rxi, rxo => rxo); end generate; rx_rmii1 : if rmii = 1 generate rx0 : greth_rx generic map( nsync => nsync, rmii => rmii, multicast => multicast, maxsize => maxsize, gmiimode => gmiimode) port map( rst => arst, clk => rmii_clk, rxi => rxi, rxo => rxo); end generate; --! Tx FIFO tx_fifo0 : syncram_2p_tech generic map ( tech => memtech, abits => txfabits, dbits => 32, sepclk => 0 ) port map ( clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk, txwrite, txwaddress(txfabits-1 downto 0), txwdata ); --! Rx FIFO rx_fifo0 : syncram_2p_tech generic map ( tech => memtech, abits => fabits, dbits => 32, sepclk => 0 ) port map ( clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits-1 downto 0), rxwdata ); --! EDCL buffer ram edclramnft : if (edcl /= 0) generate r0 : syncram_2p_tech generic map ( memtech, eabits, 16 ) port map ( clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk, ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16) ); r1 : syncram_2p_tech generic map ( memtech, eabits, 16 ) port map( clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk, ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0) ); end generate; end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_136 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_136; architecture augh of cmp_136 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_136 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_136; architecture augh of cmp_136 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
------------------ Dataflow_HA ------------------------ -------------- Library statements ------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; -- Entity declaration half_adder_dataflow -- entity half_adder_dataflow is port (a, b : in std_logic; sum, carry : out std_logic ); end half_adder_dataflow; -- Architecture dataflow -- architecture dataflow of half_adder_dataflow is begin sum <= a xor b; carry <= a and b; end dataflow;
------------------ Dataflow_HA ------------------------ -------------- Library statements ------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; -- Entity declaration half_adder_dataflow -- entity half_adder_dataflow is port (a, b : in std_logic; sum, carry : out std_logic ); end half_adder_dataflow; -- Architecture dataflow -- architecture dataflow of half_adder_dataflow is begin sum <= a xor b; carry <= a and b; end dataflow;
library verilog; use verilog.vl_types.all; entity altera_reset_controller is generic( NUM_RESET_INPUTS: integer := 6; USE_RESET_REQUEST_IN0: integer := 0; USE_RESET_REQUEST_IN1: integer := 0; USE_RESET_REQUEST_IN2: integer := 0; USE_RESET_REQUEST_IN3: integer := 0; USE_RESET_REQUEST_IN4: integer := 0; USE_RESET_REQUEST_IN5: integer := 0; USE_RESET_REQUEST_IN6: integer := 0; USE_RESET_REQUEST_IN7: integer := 0; USE_RESET_REQUEST_IN8: integer := 0; USE_RESET_REQUEST_IN9: integer := 0; USE_RESET_REQUEST_IN10: integer := 0; USE_RESET_REQUEST_IN11: integer := 0; USE_RESET_REQUEST_IN12: integer := 0; USE_RESET_REQUEST_IN13: integer := 0; USE_RESET_REQUEST_IN14: integer := 0; USE_RESET_REQUEST_IN15: integer := 0; OUTPUT_RESET_SYNC_EDGES: string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT: integer := 0; RESET_REQ_WAIT_TIME: integer := 3; MIN_RST_ASSERTION_TIME: integer := 11; RESET_REQ_EARLY_DSRT_TIME: integer := 4; ADAPT_RESET_REQUEST: integer := 0 ); port( reset_in0 : in vl_logic; reset_in1 : in vl_logic; reset_in2 : in vl_logic; reset_in3 : in vl_logic; reset_in4 : in vl_logic; reset_in5 : in vl_logic; reset_in6 : in vl_logic; reset_in7 : in vl_logic; reset_in8 : in vl_logic; reset_in9 : in vl_logic; reset_in10 : in vl_logic; reset_in11 : in vl_logic; reset_in12 : in vl_logic; reset_in13 : in vl_logic; reset_in14 : in vl_logic; reset_in15 : in vl_logic; reset_req_in0 : in vl_logic; reset_req_in1 : in vl_logic; reset_req_in2 : in vl_logic; reset_req_in3 : in vl_logic; reset_req_in4 : in vl_logic; reset_req_in5 : in vl_logic; reset_req_in6 : in vl_logic; reset_req_in7 : in vl_logic; reset_req_in8 : in vl_logic; reset_req_in9 : in vl_logic; reset_req_in10 : in vl_logic; reset_req_in11 : in vl_logic; reset_req_in12 : in vl_logic; reset_req_in13 : in vl_logic; reset_req_in14 : in vl_logic; reset_req_in15 : in vl_logic; clk : in vl_logic; reset_out : out vl_logic; reset_req : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of NUM_RESET_INPUTS : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN0 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN1 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN2 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN3 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN4 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN5 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN6 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN7 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN8 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN9 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN10 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN11 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN12 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN13 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN14 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN15 : constant is 1; attribute mti_svvh_generic_type of OUTPUT_RESET_SYNC_EDGES : constant is 1; attribute mti_svvh_generic_type of SYNC_DEPTH : constant is 1; attribute mti_svvh_generic_type of RESET_REQUEST_PRESENT : constant is 1; attribute mti_svvh_generic_type of RESET_REQ_WAIT_TIME : constant is 1; attribute mti_svvh_generic_type of MIN_RST_ASSERTION_TIME : constant is 1; attribute mti_svvh_generic_type of RESET_REQ_EARLY_DSRT_TIME : constant is 1; attribute mti_svvh_generic_type of ADAPT_RESET_REQUEST : constant is 1; end altera_reset_controller;
library verilog; use verilog.vl_types.all; entity altera_reset_controller is generic( NUM_RESET_INPUTS: integer := 6; USE_RESET_REQUEST_IN0: integer := 0; USE_RESET_REQUEST_IN1: integer := 0; USE_RESET_REQUEST_IN2: integer := 0; USE_RESET_REQUEST_IN3: integer := 0; USE_RESET_REQUEST_IN4: integer := 0; USE_RESET_REQUEST_IN5: integer := 0; USE_RESET_REQUEST_IN6: integer := 0; USE_RESET_REQUEST_IN7: integer := 0; USE_RESET_REQUEST_IN8: integer := 0; USE_RESET_REQUEST_IN9: integer := 0; USE_RESET_REQUEST_IN10: integer := 0; USE_RESET_REQUEST_IN11: integer := 0; USE_RESET_REQUEST_IN12: integer := 0; USE_RESET_REQUEST_IN13: integer := 0; USE_RESET_REQUEST_IN14: integer := 0; USE_RESET_REQUEST_IN15: integer := 0; OUTPUT_RESET_SYNC_EDGES: string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT: integer := 0; RESET_REQ_WAIT_TIME: integer := 3; MIN_RST_ASSERTION_TIME: integer := 11; RESET_REQ_EARLY_DSRT_TIME: integer := 4; ADAPT_RESET_REQUEST: integer := 0 ); port( reset_in0 : in vl_logic; reset_in1 : in vl_logic; reset_in2 : in vl_logic; reset_in3 : in vl_logic; reset_in4 : in vl_logic; reset_in5 : in vl_logic; reset_in6 : in vl_logic; reset_in7 : in vl_logic; reset_in8 : in vl_logic; reset_in9 : in vl_logic; reset_in10 : in vl_logic; reset_in11 : in vl_logic; reset_in12 : in vl_logic; reset_in13 : in vl_logic; reset_in14 : in vl_logic; reset_in15 : in vl_logic; reset_req_in0 : in vl_logic; reset_req_in1 : in vl_logic; reset_req_in2 : in vl_logic; reset_req_in3 : in vl_logic; reset_req_in4 : in vl_logic; reset_req_in5 : in vl_logic; reset_req_in6 : in vl_logic; reset_req_in7 : in vl_logic; reset_req_in8 : in vl_logic; reset_req_in9 : in vl_logic; reset_req_in10 : in vl_logic; reset_req_in11 : in vl_logic; reset_req_in12 : in vl_logic; reset_req_in13 : in vl_logic; reset_req_in14 : in vl_logic; reset_req_in15 : in vl_logic; clk : in vl_logic; reset_out : out vl_logic; reset_req : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of NUM_RESET_INPUTS : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN0 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN1 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN2 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN3 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN4 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN5 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN6 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN7 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN8 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN9 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN10 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN11 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN12 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN13 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN14 : constant is 1; attribute mti_svvh_generic_type of USE_RESET_REQUEST_IN15 : constant is 1; attribute mti_svvh_generic_type of OUTPUT_RESET_SYNC_EDGES : constant is 1; attribute mti_svvh_generic_type of SYNC_DEPTH : constant is 1; attribute mti_svvh_generic_type of RESET_REQUEST_PRESENT : constant is 1; attribute mti_svvh_generic_type of RESET_REQ_WAIT_TIME : constant is 1; attribute mti_svvh_generic_type of MIN_RST_ASSERTION_TIME : constant is 1; attribute mti_svvh_generic_type of RESET_REQ_EARLY_DSRT_TIME : constant is 1; attribute mti_svvh_generic_type of ADAPT_RESET_REQUEST : constant is 1; end altera_reset_controller;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- ----------------------------------------------------------------------------- -- Entity: ssrctrl_unisim -- file: ssrctrl_unisim.vhd -- Description: 32-bit SSRAM memory controller with PROM 16-bit bus support ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity ssrctrl_unisim is port( rst : in std_logic; clk : in std_logic; n_ahbsi_hsel : in std_logic_vector (0 to 15); n_ahbsi_haddr : in std_logic_vector (31 downto 0); n_ahbsi_hwrite : in std_logic; n_ahbsi_htrans : in std_logic_vector (1 downto 0); n_ahbsi_hsize : in std_logic_vector (2 downto 0); n_ahbsi_hburst : in std_logic_vector (2 downto 0); n_ahbsi_hwdata : in std_logic_vector (31 downto 0); n_ahbsi_hprot : in std_logic_vector (3 downto 0); n_ahbsi_hready : in std_logic; n_ahbsi_hmaster : in std_logic_vector (3 downto 0); n_ahbsi_hmastlock : in std_logic; n_ahbsi_hmbsel : in std_logic_vector (0 to 3); n_ahbsi_hcache : in std_logic; n_ahbsi_hirq : in std_logic_vector (31 downto 0); n_ahbso_hready : out std_logic; n_ahbso_hresp : out std_logic_vector (1 downto 0); n_ahbso_hrdata : out std_logic_vector (31 downto 0); n_ahbso_hsplit : out std_logic_vector (15 downto 0); n_ahbso_hcache : out std_logic; n_ahbso_hirq : out std_logic_vector (31 downto 0); n_apbi_psel : in std_logic_vector (0 to 15); n_apbi_penable : in std_logic; n_apbi_paddr : in std_logic_vector (31 downto 0); n_apbi_pwrite : in std_logic; n_apbi_pwdata : in std_logic_vector (31 downto 0); n_apbi_pirq : in std_logic_vector (31 downto 0); n_apbo_prdata : out std_logic_vector (31 downto 0); n_apbo_pirq : out std_logic_vector (31 downto 0); n_sri_data : in std_logic_vector (31 downto 0); n_sri_brdyn : in std_logic; n_sri_bexcn : in std_logic; n_sri_writen : in std_logic; n_sri_wrn : in std_logic_vector (3 downto 0); n_sri_bwidth : in std_logic_vector (1 downto 0); n_sri_sd : in std_logic_vector (63 downto 0); n_sri_cb : in std_logic_vector (7 downto 0); n_sri_scb : in std_logic_vector (7 downto 0); n_sri_edac : in std_logic; n_sro_address : out std_logic_vector (31 downto 0); n_sro_data : out std_logic_vector (31 downto 0); n_sro_sddata : out std_logic_vector (63 downto 0); n_sro_ramsn : out std_logic_vector (7 downto 0); n_sro_ramoen : out std_logic_vector (7 downto 0); n_sro_ramn : out std_logic; n_sro_romn : out std_logic; n_sro_mben : out std_logic_vector (3 downto 0); n_sro_iosn : out std_logic; n_sro_romsn : out std_logic_vector (7 downto 0); n_sro_oen : out std_logic; n_sro_writen : out std_logic; n_sro_wrn : out std_logic_vector (3 downto 0); n_sro_bdrive : out std_logic_vector (3 downto 0); n_sro_vbdrive : out std_logic_vector (31 downto 0); n_sro_svbdrive : out std_logic_vector (63 downto 0); n_sro_read : out std_logic; n_sro_sa : out std_logic_vector (14 downto 0); n_sro_cb : out std_logic_vector (7 downto 0); n_sro_scb : out std_logic_vector (7 downto 0); n_sro_vcdrive : out std_logic_vector (7 downto 0); n_sro_svcdrive : out std_logic_vector (7 downto 0); n_sro_ce : out std_logic); end ssrctrl_unisim; -- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity ssrctrl_unisim_netlist is port( n_sro_vbdrive : out std_logic_vector (31 downto 0); n_ahbso_hrdata : out std_logic_vector (31 downto 0); iows_0 : out std_logic; iows_3 : out std_logic; romwws_0 : out std_logic; romwws_3 : out std_logic; romrws_0 : out std_logic; romrws_3 : out std_logic; NoName_cnst : in std_logic_vector (0 downto 0); n_sri_bwidth : in std_logic_vector (1 downto 0); n_apbi_pwdata_19 : in std_logic; n_apbi_pwdata_11 : in std_logic; n_apbi_pwdata_9 : in std_logic; n_apbi_pwdata_8 : in std_logic; n_apbi_pwdata_23 : in std_logic; n_apbi_pwdata_22 : in std_logic; n_apbi_pwdata_21 : in std_logic; n_apbi_pwdata_20 : in std_logic; n_apbi_pwdata_3 : in std_logic; n_apbi_pwdata_2 : in std_logic; n_apbi_pwdata_1 : in std_logic; n_apbi_pwdata_0 : in std_logic; n_apbi_pwdata_7 : in std_logic; n_apbi_pwdata_6 : in std_logic; n_apbi_pwdata_5 : in std_logic; n_apbi_pwdata_4 : in std_logic; n_apbi_psel : in std_logic_vector (0 downto 0); n_apbi_paddr : in std_logic_vector (5 downto 2); n_apbo_prdata_0 : out std_logic; n_apbo_prdata_4 : out std_logic; n_apbo_prdata_20 : out std_logic; n_apbo_prdata_23 : out std_logic; n_apbo_prdata_22 : out std_logic; n_apbo_prdata_21 : out std_logic; n_apbo_prdata_19 : out std_logic; n_apbo_prdata_7 : out std_logic; n_apbo_prdata_6 : out std_logic; n_apbo_prdata_5 : out std_logic; n_apbo_prdata_3 : out std_logic; n_apbo_prdata_2 : out std_logic; n_apbo_prdata_1 : out std_logic; n_apbo_prdata_11 : out std_logic; n_apbo_prdata_9 : out std_logic; n_apbo_prdata_8 : out std_logic; n_apbo_prdata_28 : out std_logic; n_sro_romsn : out std_logic_vector (0 downto 0); n_ahbsi_hsel : in std_logic_vector (0 downto 0); prstate_fast : out std_logic_vector (2 downto 2); n_ahbsi_htrans : in std_logic_vector (1 downto 0); ssrstate_1_m1 : inout std_logic_vector (4 downto 3) := (others => 'Z'); hsel_1 : in std_logic_vector (0 downto 0); hmbsel_4 : out std_logic_vector (1 downto 1); n_sro_bdrive : out std_logic_vector (3 downto 3); ws_1_0 : in std_logic; ws_1_3 : in std_logic; ws : out std_logic_vector (3 downto 0); ssrstate_1_2 : in std_logic; n_ahbsi_haddr : in std_logic_vector (31 downto 0); n_ahbsi_hmbsel : in std_logic_vector (2 downto 0); n_sri_data : in std_logic_vector (31 downto 0); ssrstate : out std_logic_vector (4 downto 0); n_ahbsi_hwdata : in std_logic_vector (31 downto 0); n_ahbsi_hsize : in std_logic_vector (1 downto 0); size : out std_logic_vector (1 downto 0); n_sro_data : out std_logic_vector (31 downto 0); n_sro_ramsn : out std_logic_vector (0 downto 0); n_sro_wrn : out std_logic_vector (3 downto 0); haddr_0 : in std_logic; bwn_1_0_o3_0 : in std_logic; hsize_1 : in std_logic_vector (1 downto 1); prstate_1_i_o4_s : in std_logic_vector (2 downto 2); prstate : out std_logic_vector (5 downto 0); hmbsel : out std_logic_vector (2 downto 0); n_sro_address : out std_logic_vector (31 downto 0); hready_2 : in std_logic; n_ahbso_hready : out std_logic; ssrhready_8 : in std_logic; loadcount : out std_logic; n_sro_writen : out std_logic; ssrstatec : in std_logic; prhready : out std_logic; d_m2_0_a2_0 : in std_logic; ssrstate17_2_0_m6_i_a3_a2 : out std_logic; N_319_1 : out std_logic; ws_0_sqmuxa_c : out std_logic; N_365 : in std_logic; ws_0_sqmuxa_0_c : out std_logic; ws_2_sqmuxa_3_0_4 : out std_logic; change_1_sqmuxa_0 : in std_logic; d16mux_0_sqmuxa : in std_logic; ssrstate_2_sqmuxa_1 : in std_logic; un7_bus16en : in std_logic; N_646 : in std_logic; loadcount_1_sqmuxa : in std_logic; ssrstate_1_sqmuxa_1_0_m3_0_1 : out std_logic; n_apbi_penable : in std_logic; n_apbi_pwrite : in std_logic; d_m1_e_0_0 : in std_logic; hsel_1_0_L3 : out std_logic; ssrhready_8_f0_L8 : out std_logic; ssrstate_1_sqmuxa_1 : in std_logic; ssrhready : out std_logic; ssrhready_8_f0_L5 : out std_logic; ssrstate17_1_xx_mm_N_4 : in std_logic; ws_1_sqmuxa : out std_logic; ws_4_sqmuxa_0 : in std_logic; ws_2_sqmuxa_0 : in std_logic; ssrstate17_2_0_m6_i_1 : out std_logic; ws_2_sqmuxa_3_0_x : out std_logic; ws_3_sqmuxa_1 : out std_logic; ws_2_sqmuxa_3_0_2 : out std_logic; ssrstate_2_i : out std_logic; ws_2_sqmuxa_3_d : out std_logic; ws_0_sqmuxa_1 : out std_logic; g0_30 : in std_logic; hsel_4 : in std_logic; n_ahbsi_hready : in std_logic; hsel : out std_logic; g0_25 : in std_logic; bwn_0_sqmuxa_1 : in std_logic; prstate_2_rep1 : out std_logic; N_662 : out std_logic; ssrstate_6_sqmuxa : out std_logic; g0_52_x1 : in std_logic; g0_52_x0 : in std_logic; ssrhready_2_sqmuxa_0_0 : out std_logic; change_1_sqmuxa_N_3 : out std_logic; ssrstate6_xx_mm_m3 : out std_logic; ssrstate6_1_d_0_L1 : out std_logic; N_656 : out std_logic; hsel_5 : out std_logic; change_3_f0 : in std_logic; un1_ahbsi : out std_logic; change : out std_logic; n_ahbsi_hwrite : in std_logic; N_574_i : in std_logic; n_sro_iosn : out std_logic; N_618_i : in std_logic; clk : in std_logic; n_sro_oen : out std_logic; rst : in std_logic; bwn_1_sqmuxa_2_d : in std_logic; bwn_1_sqmuxa_2_d_0_2 : in std_logic; ssrstate_2_sqmuxa_i : in std_logic; g0_23 : in std_logic; N_371 : out std_logic; loadcount_7 : in std_logic; bus16en : out std_logic; d16muxc_0_4 : out std_logic; change_3_f1_d_0_0 : in std_logic; g0_1_0 : in std_logic; g0_44 : in std_logic); end ssrctrl_unisim_netlist; architecture beh of ssrctrl_unisim_netlist is signal ACOUNT_QXU : std_logic_vector (9 downto 1); signal ACOUNT_LM_0_1 : std_logic_vector (0 to 0); signal ACOUNT_LM : std_logic_vector (9 downto 0); signal WS_1_0_BM : std_logic_vector (1 to 1); signal WS_1_0_RN_1 : std_logic_vector (1 to 1); signal WS_1 : std_logic_vector (2 downto 1); signal SSRSTATE_1_0_D_BM : std_logic_vector (3 to 3); signal SSRSTATE_1_0_1 : std_logic_vector (3 to 3); signal SSRSTATE_1 : std_logic_vector (3 downto 2); signal BWN_1_0_O3 : std_logic_vector (1 to 1); signal PRSTATE_I : std_logic_vector (1 to 1); signal HWDATAOUT_1 : std_logic_vector (31 downto 0); signal ROMWIDTH : std_logic_vector (1 downto 0); signal ROMWIDTH_1 : std_logic_vector (1 downto 0); signal DATA16 : std_logic_vector (15 downto 0); signal HRDATA : std_logic_vector (31 downto 0); signal HWDATA : std_logic_vector (31 downto 0); signal HADDR : std_logic_vector (11 downto 2); signal SSRSTATE_1_0_D_AM : std_logic_vector (3 to 3); signal HMBSEL_4_X1 : std_logic_vector (1 to 1); signal WS_1_2_0_D : std_logic_vector (2 downto 1); signal WS_1_0_AM_1 : std_logic_vector (1 to 1); signal BWN_1_0_0 : std_logic_vector (3 to 3); signal IOWS_1 : std_logic_vector (3 downto 0); signal ACOUNT_S : std_logic_vector (9 downto 1); signal SSRSTATE_11 : std_logic_vector (0 to 0); signal SSRSTATE23_U_0_AM : std_logic_vector (4 to 4); signal SSRSTATE23_U_0_BM : std_logic_vector (4 to 4); signal ROMRWS : std_logic_vector (2 downto 1); signal IOWS : std_logic_vector (2 downto 1); signal ROMWWS : std_logic_vector (2 downto 1); signal D16MUX : std_logic_vector (1 downto 0); signal ACOUNT_CRY : std_logic_vector (8 downto 1); signal ROMSN_1_IV_L1 : std_logic ; signal CHANGE_3 : std_logic ; signal ROMSN_1 : std_logic ; signal PRHREADY_6 : std_logic ; signal N_635_I_1 : std_logic ; signal N_635_I : std_logic ; signal D16MUXC_0_1 : std_logic ; signal D16MUXC_0_1_0 : std_logic ; signal D16MUXC_0_4_INT_73 : std_logic ; signal D16MUXC_0 : std_logic ; signal N_371_INT_71 : std_logic ; signal WS_1_L1 : std_logic ; signal WS_1_L1_0 : std_logic ; signal SSRSTATE_1_M2S2_0 : std_logic ; signal IOSN_9_IV_L1 : std_logic ; signal PRSTATE_0_INT_27 : std_logic ; signal IOSN_9 : std_logic ; signal N_317 : std_logic ; signal N_619_I_L1 : std_logic ; signal N_619_I : std_logic ; signal N_620_I : std_logic ; signal PRSTATE_1_INT_28 : std_logic ; signal RST_I : std_logic ; signal OEN_1 : std_logic ; signal OEN_1_SQMUXA_2_I : std_logic ; signal BWN_1_SQMUXA_3_I : std_logic ; signal N_617_I : std_logic ; signal N_599_I : std_logic ; signal SSRSTATE_9 : std_logic ; signal BEXCEN_1_SQMUXA_I : std_logic ; signal DATA16_0_SQMUXA : std_logic ; signal HMBSEL_0_SQMUXA : std_logic ; signal HWRITE : std_logic ; signal SSRSTATE_1_INT_21 : std_logic ; signal HMBSEL_0_INT_33 : std_logic ; signal HMBSEL_2_INT_35 : std_logic ; signal BDRIVE_1 : std_logic ; signal N_SRO_ADDRESS_2_INT_38 : std_logic ; signal N_SRO_ADDRESS_3_INT_39 : std_logic ; signal N_SRO_ADDRESS_4_INT_40 : std_logic ; signal N_SRO_ADDRESS_5_INT_41 : std_logic ; signal N_SRO_ADDRESS_6_INT_42 : std_logic ; signal N_SRO_ADDRESS_7_INT_43 : std_logic ; signal N_SRO_ADDRESS_8_INT_44 : std_logic ; signal N_SRO_ADDRESS_9_INT_45 : std_logic ; signal N_SRO_ADDRESS_10_INT_46 : std_logic ; signal OEN_1_SQMUXA_2_I_L4 : std_logic ; signal PRSTATE_1 : std_logic ; signal OEN_1_SQMUXA_2_I_L6 : std_logic ; signal N_654 : std_logic ; signal SSRSTATE_2_INT_22 : std_logic ; signal SSRSTATE_12_1 : std_logic ; signal WS_1_0_BM_L1 : std_logic ; signal WS_1_0_BM_L3 : std_logic ; signal UN1_AHBSI_INT_68 : std_logic ; signal HSEL_5_INT_67 : std_logic ; signal WS_1_0_BM_L5 : std_logic ; signal HMBSEL_4_1_INT_14 : std_logic ; signal N_619_I_L1_L1 : std_logic ; signal SSRSTATE6_XX_MM_M3_INT_64 : std_logic ; signal HMBSEL_1_INT_34 : std_logic ; signal SSRSTATE_6_SQMUXA_1 : std_logic ; signal N_SRO_ADDRESS_0_INT_36 : std_logic ; signal SIZE_0_INT_25 : std_logic ; signal BWN_1_0_O3_0_L1 : std_logic ; signal BWN_1_0_O3_0_L3 : std_logic ; signal BWN_1_0_O3_0_L5 : std_logic ; signal PRSTATE_2_REP1_INT_59 : std_logic ; signal PRSTATEC_0_REP1 : std_logic ; signal N_336 : std_logic ; signal PRSTATEC_0_FAST : std_logic ; signal WS_1_INT_17 : std_logic ; signal BDRIVE_1_IV_M9_I_A4_0_2_1 : std_logic ; signal BDRIVE_1_IV_M9_I_A4_0_2_2_1 : std_logic ; signal BDRIVE_0_SQMUXA_2_C : std_logic ; signal BDRIVE_1_IV_M9_I_A4_0_2_2_L1 : std_logic ; signal BDRIVE_1_TZ : std_logic ; signal BDRIVE_1_IV_M9_I_A4_0_2_2_1_L1 : std_logic ; signal N_SRO_BDRIVE_3_INT_15 : std_logic ; signal BDRIVE_1_IV_M9_I_A4_0_2_2_1_L3 : std_logic ; signal N_668 : std_logic ; signal BDRIVE_1_SQMUXA : std_logic ; signal N_662_INT_60 : std_logic ; signal SSRSTATE6_XX_MM_M3_L1 : std_logic ; signal PRSTATE_5_INT_32 : std_logic ; signal SSRSTATE_4_INT_24 : std_logic ; signal SSRSTATE6_XX_MM_M3_L3 : std_logic ; signal WS_2_SQMUXA_3_0_SX : std_logic ; signal WS_0_SQMUXA_1_INT_57 : std_logic ; signal WS_2_SQMUXA_3_D_INT_56 : std_logic ; signal SSRSTATE17_2_0_M6_I_A3_A0_1 : std_logic ; signal CHANGE_3_F1_D_0_L1 : std_logic ; signal CHANGE_INT_69 : std_logic ; signal PRSTATE_2_INT_29 : std_logic ; signal WRITEN_2_SQMUXA_L1 : std_logic ; signal WRITEN_2_SQMUXA_L3 : std_logic ; signal WRITEN_2_SQMUXA_L5 : std_logic ; signal WRITEN_2_SQMUXA_TZ_0 : std_logic ; signal BWN_1_SQMUXA_2_D_0 : std_logic ; signal WRITEN_2_SQMUXA : std_logic ; signal WS_3_SQMUXA_1_INT_53 : std_logic ; signal WS_1_L1_L1 : std_logic ; signal WS_2_INT_18 : std_logic ; signal WS_2_SQMUXA_3_0_2_L1 : std_logic ; signal WS_2_SQMUXA_3_0_2_INT_54 : std_logic ; signal WS_0_INT_16 : std_logic ; signal SSRSTATE_3_INT_23 : std_logic ; signal SSRSTATE6_1_D_0_L1_INT_65 : std_logic ; signal WS_3_SQMUXA_0_1 : std_logic ; signal WS_3_SQMUXA_1_A0_2 : std_logic ; signal N_SRO_ROMSN_0_INT_12 : std_logic ; signal PRSTATE_8_1 : std_logic ; signal N_656_INT_66 : std_logic ; signal N_SRO_IOSN_INT_70 : std_logic ; signal PRSTATE_FAST_2_INT_13 : std_logic ; signal BEXCEN_1_SQMUXA_I_1 : std_logic ; signal HSEL_INT_58 : std_logic ; signal PRSTATE_12_M7_I_A6_0 : std_logic ; signal PRSTATE_12_I : std_logic ; signal HWRITE_1 : std_logic ; signal PRSTATE_12_0 : std_logic ; signal PRSTATE_12_M7_I_A6 : std_logic ; signal PRSTATE_4_INT_31 : std_logic ; signal SIZE_1_INT_26 : std_logic ; signal PRSTATE_1_SQMUXA : std_logic ; signal BUS16EN_INT_72 : std_logic ; signal N_382 : std_logic ; signal N_383 : std_logic ; signal N_384 : std_logic ; signal N_385 : std_logic ; signal N_386 : std_logic ; signal N_387 : std_logic ; signal N_388 : std_logic ; signal N_389 : std_logic ; signal N_390 : std_logic ; signal N_391 : std_logic ; signal N_392 : std_logic ; signal N_393 : std_logic ; signal N_394 : std_logic ; signal N_395 : std_logic ; signal N_396 : std_logic ; signal N_397 : std_logic ; signal N_626_I : std_logic ; signal N_625_I : std_logic ; signal N_624_I : std_logic ; signal N_623_I : std_logic ; signal N_630_I : std_logic ; signal N_629_I : std_logic ; signal N_628_I : std_logic ; signal N_627_I : std_logic ; signal ROMWRITE_1 : std_logic ; signal IOEN_1 : std_logic ; signal SSRSTATE_6_SQMUXA_INT_61 : std_logic ; signal BDRIVE_1_IV_0_A0 : std_logic ; signal BDRIVE_1_IV_0_A1 : std_logic ; signal BDRIVE_1_IV_M9_I_0_0 : std_logic ; signal NN_1 : std_logic ; signal NN_2 : std_logic ; signal NN_3 : std_logic ; signal NN_4 : std_logic ; signal NN_5 : std_logic ; signal NN_6 : std_logic ; signal NN_7 : std_logic ; signal NN_8 : std_logic ; signal NN_9 : std_logic ; signal D16MUXC_1 : std_logic ; signal D16MUXC_2 : std_logic ; signal D16MUXC : std_logic ; signal BDRIVE_1_IV_0_1 : std_logic ; signal BDRIVE_1_IV_M9_I_0 : std_logic ; signal RBDRIVEC_18 : std_logic ; signal SSRSTATE_5_I : std_logic ; signal SSRSTATEC_0 : std_logic ; signal SSRSTATE23_1 : std_logic ; signal WS_3_INT_19 : std_logic ; signal PRSTATEC_1 : std_logic ; signal N_337_I : std_logic ; signal PRSTATEC_0 : std_logic ; signal PRSTATE_3_INT_30 : std_logic ; signal PRSTATEC : std_logic ; signal N_342 : std_logic ; signal PRSTATESR_0 : std_logic ; signal PRSTATES_I : std_logic ; signal N_SRO_ADDRESS_11_INT_47 : std_logic ; signal WRITEN_0_SQMUXA_0_2 : std_logic ; signal WRITEN_0_SQMUXA_D : std_logic ; signal RBDRIVEC : std_logic ; signal SSRSTATE_2_I_INT_55 : std_logic ; signal HADDR_0_SQMUXA_A0_0 : std_logic ; signal WRITEN_0_SQMUXA_0_0 : std_logic ; signal BDRIVE_1_SQMUXA_2 : std_logic ; signal WS_0_SQMUXA_0_0_0 : std_logic ; signal CHANGE_1_SQMUXA_N_3_INT_63 : std_logic ; signal SSRHREADY_2_SQMUXA_0_0_INT_62 : std_logic ; signal N_362 : std_logic ; signal N_363 : std_logic ; signal SETBDRIVE : std_logic ; signal N_341 : std_logic ; signal BDRIVE_0_SQMUXA_2_0_0 : std_logic ; signal BDRIVE_1_IV_0_A4_0 : std_logic ; signal SSRSTATE_0_INT_20 : std_logic ; signal PRHREADY_0_SQMUXA : std_logic ; signal SSRSTATE10 : std_logic ; signal WS_0_SQMUXA_0_C_INT_50 : std_logic ; signal N_SRO_ADDRESS_1_INT_37 : std_logic ; signal UN17_BUS16EN : std_logic ; signal WS_1_SQMUXA_INT_52 : std_logic ; signal SSRSTATE_3 : std_logic ; signal WS_0_SQMUXA_C_INT_49 : std_logic ; signal ROMWRITE : std_logic ; signal IOEN : std_logic ; signal N_APBO_PRDATA_28_INT_11 : std_logic ; signal NN_10 : std_logic ; signal N_481 : std_logic ; signal N_480 : std_logic ; signal IOWS_3_INT_6 : std_logic ; signal IOWS_0_INT_5 : std_logic ; signal ROMRWS_3_INT_10 : std_logic ; signal ROMRWS_0_INT_9 : std_logic ; signal ROMWWS_3_INT_8 : std_logic ; signal ROMWWS_0_INT_7 : std_logic ; signal SSRHREADY_INT_51 : std_logic ; signal PRHREADY_INT_48 : std_logic ; signal NN_11 : std_logic ; begin II_r_acount_qxuHAKL1HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_3_INT_39, O => ACOUNT_QXU(1)); II_r_acount_qxuHAKL2HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_4_INT_40, O => ACOUNT_QXU(2)); II_r_acount_qxuHAKL3HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_5_INT_41, O => ACOUNT_QXU(3)); II_r_acount_qxuHAKL4HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_6_INT_42, O => ACOUNT_QXU(4)); II_r_acount_qxuHAKL5HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_7_INT_43, O => ACOUNT_QXU(5)); II_r_acount_qxuHAKL6HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_8_INT_44, O => ACOUNT_QXU(6)); II_r_acount_qxuHAKL7HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_9_INT_45, O => ACOUNT_QXU(7)); II_r_acount_qxuHAKL8HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_10_INT_46, O => ACOUNT_QXU(8)); II_ctrl_v_romsn_1_iv: LUT4_L generic map( INIT => X"0EEE" ) port map ( I0 => ROMSN_1_IV_L1, I1 => CHANGE_3, I2 => HMBSEL_0_INT_33, I3 => PRSTATE_0_INT_27, LO => ROMSN_1); II_v_prstate_1_i_o4_0HAKL2HAKR: LUT4_L generic map( INIT => X"80AA" ) port map ( I0 => g0_44, I1 => g0_1_0, I2 => change_3_f1_d_0_0, I3 => prstate_1_i_o4_s(2), LO => PRHREADY_6); II_v_N_635_i: LUT4_L generic map( INIT => X"888B" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => PRSTATE_1_INT_28, I2 => PRSTATE_2_INT_29, I3 => N_635_I_1, LO => N_635_I); II_r_d16muxc_0: LUT4_L generic map( INIT => X"8000" ) port map ( I0 => BUS16EN_INT_72, I1 => D16MUXC_0_1, I2 => D16MUXC_0_1_0, I3 => D16MUXC_0_4_INT_73, LO => D16MUXC_0); II_r_acount_lm_0HAKL0HAKR: LUT3_L generic map( INIT => X"1D" ) port map ( I0 => N_SRO_ADDRESS_2_INT_38, I1 => loadcount_7, I2 => ACOUNT_LM_0_1(0), LO => ACOUNT_LM(0)); II_ctrl_v_ws_1_0HAKL1HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => N_371_INT_71, I1 => WS_1_0_BM(1), I2 => WS_1_0_RN_1(1), LO => WS_1(1)); II_ctrl_v_ws_1HAKL2HAKR: LUT4_L generic map( INIT => X"1141" ) port map ( I0 => N_371_INT_71, I1 => WS_1_L1, I2 => g0_23, I3 => WS_1_L1_0, LO => WS_1(2)); II_ctrl_v_ssrstate_1_0HAKL3HAKR: LUT4_L generic map( INIT => X"B333" ) port map ( I0 => SSRSTATE_1_0_D_BM(3), I1 => SSRSTATE_1_0_1(3), I2 => SSRSTATE_1_M2S2_0, I3 => ssrstate_2_sqmuxa_i, LO => SSRSTATE_1(3)); II_ctrl_v_iosn_9_iv: LUT4_L generic map( INIT => X"0EEE" ) port map ( I0 => IOSN_9_IV_L1, I1 => CHANGE_3, I2 => HMBSEL_2_INT_35, I3 => PRSTATE_0_INT_27, LO => IOSN_9); II_ctrl_v_N_619_i: LUT4_L generic map( INIT => X"AFBF" ) port map ( I0 => N_317, I1 => N_619_I_L1, I2 => BWN_1_0_O3(1), I3 => bwn_1_sqmuxa_2_d_0_2, LO => N_619_I); II_ctrl_v_N_620_i: LUT4_L generic map( INIT => X"73FF" ) port map ( I0 => hsize_1(1), I1 => bwn_1_0_o3_0, I2 => haddr_0, I3 => bwn_1_sqmuxa_2_d, LO => N_620_I); II_r_prstate_iHAKL1HAKR: INV port map ( I => PRSTATE_1_INT_28, O => PRSTATE_I(1)); II_ctrl_v_rst_i: INV port map ( I => rst, O => RST_I); II_r_oen: FDPE port map ( Q => n_sro_oen, D => OEN_1, C => clk, PRE => RST_I, CE => OEN_1_SQMUXA_2_I); II_r_bwnHAKL0HAKR: FDE port map ( Q => n_sro_wrn(0), D => N_620_I, C => clk, CE => BWN_1_SQMUXA_3_I); II_r_bwnHAKL1HAKR: FDE port map ( Q => n_sro_wrn(1), D => N_619_I, C => clk, CE => BWN_1_SQMUXA_3_I); II_r_bwnHAKL2HAKR: FDE port map ( Q => n_sro_wrn(2), D => N_618_i, C => clk, CE => BWN_1_SQMUXA_3_I); II_r_bwnHAKL3HAKR: FDE port map ( Q => n_sro_wrn(3), D => N_617_I, C => clk, CE => BWN_1_SQMUXA_3_I); II_r_iosn: FDPE port map ( Q => N_SRO_IOSN_INT_70, D => IOSN_9, C => clk, PRE => RST_I, CE => N_599_I); II_r_ramsn: FDPE port map ( Q => n_sro_ramsn(0), D => N_574_i, C => clk, PRE => RST_I, CE => SSRSTATE_9); II_r_hwdataoutHAKL0HAKR: FDE port map ( Q => n_sro_data(0), D => HWDATAOUT_1(0), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL1HAKR: FDE port map ( Q => n_sro_data(1), D => HWDATAOUT_1(1), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL2HAKR: FDE port map ( Q => n_sro_data(2), D => HWDATAOUT_1(2), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL3HAKR: FDE port map ( Q => n_sro_data(3), D => HWDATAOUT_1(3), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL4HAKR: FDE port map ( Q => n_sro_data(4), D => HWDATAOUT_1(4), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL5HAKR: FDE port map ( Q => n_sro_data(5), D => HWDATAOUT_1(5), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL6HAKR: FDE port map ( Q => n_sro_data(6), D => HWDATAOUT_1(6), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL7HAKR: FDE port map ( Q => n_sro_data(7), D => HWDATAOUT_1(7), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL8HAKR: FDE port map ( Q => n_sro_data(8), D => HWDATAOUT_1(8), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL9HAKR: FDE port map ( Q => n_sro_data(9), D => HWDATAOUT_1(9), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL10HAKR: FDE port map ( Q => n_sro_data(10), D => HWDATAOUT_1(10), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL11HAKR: FDE port map ( Q => n_sro_data(11), D => HWDATAOUT_1(11), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL12HAKR: FDE port map ( Q => n_sro_data(12), D => HWDATAOUT_1(12), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL13HAKR: FDE port map ( Q => n_sro_data(13), D => HWDATAOUT_1(13), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL14HAKR: FDE port map ( Q => n_sro_data(14), D => HWDATAOUT_1(14), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL15HAKR: FDE port map ( Q => n_sro_data(15), D => HWDATAOUT_1(15), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL16HAKR: FDE port map ( Q => n_sro_data(16), D => HWDATAOUT_1(16), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL17HAKR: FDE port map ( Q => n_sro_data(17), D => HWDATAOUT_1(17), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL18HAKR: FDE port map ( Q => n_sro_data(18), D => HWDATAOUT_1(18), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL19HAKR: FDE port map ( Q => n_sro_data(19), D => HWDATAOUT_1(19), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL20HAKR: FDE port map ( Q => n_sro_data(20), D => HWDATAOUT_1(20), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL21HAKR: FDE port map ( Q => n_sro_data(21), D => HWDATAOUT_1(21), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL22HAKR: FDE port map ( Q => n_sro_data(22), D => HWDATAOUT_1(22), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL23HAKR: FDE port map ( Q => n_sro_data(23), D => HWDATAOUT_1(23), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL24HAKR: FDE port map ( Q => n_sro_data(24), D => HWDATAOUT_1(24), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL25HAKR: FDE port map ( Q => n_sro_data(25), D => HWDATAOUT_1(25), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL26HAKR: FDE port map ( Q => n_sro_data(26), D => HWDATAOUT_1(26), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL27HAKR: FDE port map ( Q => n_sro_data(27), D => HWDATAOUT_1(27), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL28HAKR: FDE port map ( Q => n_sro_data(28), D => HWDATAOUT_1(28), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL29HAKR: FDE port map ( Q => n_sro_data(29), D => HWDATAOUT_1(29), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL30HAKR: FDE port map ( Q => n_sro_data(30), D => HWDATAOUT_1(30), C => clk, CE => PRSTATE_I(1)); II_r_hwdataoutHAKL31HAKR: FDE port map ( Q => n_sro_data(31), D => HWDATAOUT_1(31), C => clk, CE => PRSTATE_I(1)); II_r_mcfg1_romwidthHAKL0HAKR: FDE port map ( Q => ROMWIDTH(0), D => ROMWIDTH_1(0), C => clk, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romwidthHAKL1HAKR: FDE port map ( Q => ROMWIDTH(1), D => ROMWIDTH_1(1), C => clk, CE => BEXCEN_1_SQMUXA_I); II_r_data16HAKL0HAKR: FDE port map ( Q => DATA16(0), D => HRDATA(16), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL1HAKR: FDE port map ( Q => DATA16(1), D => HRDATA(17), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL2HAKR: FDE port map ( Q => DATA16(2), D => HRDATA(18), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL3HAKR: FDE port map ( Q => DATA16(3), D => HRDATA(19), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL4HAKR: FDE port map ( Q => DATA16(4), D => HRDATA(20), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL5HAKR: FDE port map ( Q => DATA16(5), D => HRDATA(21), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL6HAKR: FDE port map ( Q => DATA16(6), D => HRDATA(22), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL7HAKR: FDE port map ( Q => DATA16(7), D => HRDATA(23), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL8HAKR: FDE port map ( Q => DATA16(8), D => HRDATA(24), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL9HAKR: FDE port map ( Q => DATA16(9), D => HRDATA(25), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL10HAKR: FDE port map ( Q => DATA16(10), D => HRDATA(26), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL11HAKR: FDE port map ( Q => DATA16(11), D => HRDATA(27), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL12HAKR: FDE port map ( Q => DATA16(12), D => HRDATA(28), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL13HAKR: FDE port map ( Q => DATA16(13), D => HRDATA(29), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL14HAKR: FDE port map ( Q => DATA16(14), D => HRDATA(30), C => clk, CE => DATA16_0_SQMUXA); II_r_data16HAKL15HAKR: FDE port map ( Q => DATA16(15), D => HRDATA(31), C => clk, CE => DATA16_0_SQMUXA); II_r_sizeHAKL0HAKR: FDE port map ( Q => SIZE_0_INT_25, D => n_ahbsi_hsize(0), C => clk, CE => HMBSEL_0_SQMUXA); II_r_sizeHAKL1HAKR: FDE port map ( Q => SIZE_1_INT_26, D => n_ahbsi_hsize(1), C => clk, CE => HMBSEL_0_SQMUXA); II_r_hwrite: FDE port map ( Q => HWRITE, D => n_ahbsi_hwrite, C => clk, CE => HMBSEL_0_SQMUXA); II_r_hwdataHAKL0HAKR: FDE port map ( Q => HWDATA(0), D => n_ahbsi_hwdata(0), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL1HAKR: FDE port map ( Q => HWDATA(1), D => n_ahbsi_hwdata(1), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL2HAKR: FDE port map ( Q => HWDATA(2), D => n_ahbsi_hwdata(2), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL3HAKR: FDE port map ( Q => HWDATA(3), D => n_ahbsi_hwdata(3), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL4HAKR: FDE port map ( Q => HWDATA(4), D => n_ahbsi_hwdata(4), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL5HAKR: FDE port map ( Q => HWDATA(5), D => n_ahbsi_hwdata(5), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL6HAKR: FDE port map ( Q => HWDATA(6), D => n_ahbsi_hwdata(6), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL7HAKR: FDE port map ( Q => HWDATA(7), D => n_ahbsi_hwdata(7), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL8HAKR: FDE port map ( Q => HWDATA(8), D => n_ahbsi_hwdata(8), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL9HAKR: FDE port map ( Q => HWDATA(9), D => n_ahbsi_hwdata(9), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL10HAKR: FDE port map ( Q => HWDATA(10), D => n_ahbsi_hwdata(10), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL11HAKR: FDE port map ( Q => HWDATA(11), D => n_ahbsi_hwdata(11), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL12HAKR: FDE port map ( Q => HWDATA(12), D => n_ahbsi_hwdata(12), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL13HAKR: FDE port map ( Q => HWDATA(13), D => n_ahbsi_hwdata(13), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL14HAKR: FDE port map ( Q => HWDATA(14), D => n_ahbsi_hwdata(14), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL15HAKR: FDE port map ( Q => HWDATA(15), D => n_ahbsi_hwdata(15), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL16HAKR: FDE port map ( Q => HWDATA(16), D => n_ahbsi_hwdata(16), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL17HAKR: FDE port map ( Q => HWDATA(17), D => n_ahbsi_hwdata(17), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL18HAKR: FDE port map ( Q => HWDATA(18), D => n_ahbsi_hwdata(18), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL19HAKR: FDE port map ( Q => HWDATA(19), D => n_ahbsi_hwdata(19), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL20HAKR: FDE port map ( Q => HWDATA(20), D => n_ahbsi_hwdata(20), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL21HAKR: FDE port map ( Q => HWDATA(21), D => n_ahbsi_hwdata(21), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL22HAKR: FDE port map ( Q => HWDATA(22), D => n_ahbsi_hwdata(22), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL23HAKR: FDE port map ( Q => HWDATA(23), D => n_ahbsi_hwdata(23), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL24HAKR: FDE port map ( Q => HWDATA(24), D => n_ahbsi_hwdata(24), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL25HAKR: FDE port map ( Q => HWDATA(25), D => n_ahbsi_hwdata(25), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL26HAKR: FDE port map ( Q => HWDATA(26), D => n_ahbsi_hwdata(26), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL27HAKR: FDE port map ( Q => HWDATA(27), D => n_ahbsi_hwdata(27), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL28HAKR: FDE port map ( Q => HWDATA(28), D => n_ahbsi_hwdata(28), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL29HAKR: FDE port map ( Q => HWDATA(29), D => n_ahbsi_hwdata(29), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL30HAKR: FDE port map ( Q => HWDATA(30), D => n_ahbsi_hwdata(30), C => clk, CE => SSRSTATE_1_INT_21); II_r_hwdataHAKL31HAKR: FDE port map ( Q => HWDATA(31), D => n_ahbsi_hwdata(31), C => clk, CE => SSRSTATE_1_INT_21); II_r_hrdataHAKL22HAKR: FD port map ( Q => HRDATA(22), D => n_sri_data(22), C => clk); II_r_hrdataHAKL23HAKR: FD port map ( Q => HRDATA(23), D => n_sri_data(23), C => clk); II_r_hrdataHAKL24HAKR: FD port map ( Q => HRDATA(24), D => n_sri_data(24), C => clk); II_r_hrdataHAKL25HAKR: FD port map ( Q => HRDATA(25), D => n_sri_data(25), C => clk); II_r_hrdataHAKL26HAKR: FD port map ( Q => HRDATA(26), D => n_sri_data(26), C => clk); II_r_hrdataHAKL27HAKR: FD port map ( Q => HRDATA(27), D => n_sri_data(27), C => clk); II_r_hrdataHAKL28HAKR: FD port map ( Q => HRDATA(28), D => n_sri_data(28), C => clk); II_r_hrdataHAKL29HAKR: FD port map ( Q => HRDATA(29), D => n_sri_data(29), C => clk); II_r_hrdataHAKL30HAKR: FD port map ( Q => HRDATA(30), D => n_sri_data(30), C => clk); II_r_hrdataHAKL31HAKR: FD port map ( Q => HRDATA(31), D => n_sri_data(31), C => clk); II_r_hrdataHAKL7HAKR: FD port map ( Q => HRDATA(7), D => n_sri_data(7), C => clk); II_r_hrdataHAKL8HAKR: FD port map ( Q => HRDATA(8), D => n_sri_data(8), C => clk); II_r_hrdataHAKL9HAKR: FD port map ( Q => HRDATA(9), D => n_sri_data(9), C => clk); II_r_hrdataHAKL10HAKR: FD port map ( Q => HRDATA(10), D => n_sri_data(10), C => clk); II_r_hrdataHAKL11HAKR: FD port map ( Q => HRDATA(11), D => n_sri_data(11), C => clk); II_r_hrdataHAKL12HAKR: FD port map ( Q => HRDATA(12), D => n_sri_data(12), C => clk); II_r_hrdataHAKL13HAKR: FD port map ( Q => HRDATA(13), D => n_sri_data(13), C => clk); II_r_hrdataHAKL14HAKR: FD port map ( Q => HRDATA(14), D => n_sri_data(14), C => clk); II_r_hrdataHAKL15HAKR: FD port map ( Q => HRDATA(15), D => n_sri_data(15), C => clk); II_r_hrdataHAKL16HAKR: FD port map ( Q => HRDATA(16), D => n_sri_data(16), C => clk); II_r_hrdataHAKL17HAKR: FD port map ( Q => HRDATA(17), D => n_sri_data(17), C => clk); II_r_hrdataHAKL18HAKR: FD port map ( Q => HRDATA(18), D => n_sri_data(18), C => clk); II_r_hrdataHAKL19HAKR: FD port map ( Q => HRDATA(19), D => n_sri_data(19), C => clk); II_r_hrdataHAKL20HAKR: FD port map ( Q => HRDATA(20), D => n_sri_data(20), C => clk); II_r_hrdataHAKL21HAKR: FD port map ( Q => HRDATA(21), D => n_sri_data(21), C => clk); II_r_hrdataHAKL0HAKR: FD port map ( Q => HRDATA(0), D => n_sri_data(0), C => clk); II_r_hrdataHAKL1HAKR: FD port map ( Q => HRDATA(1), D => n_sri_data(1), C => clk); II_r_hrdataHAKL2HAKR: FD port map ( Q => HRDATA(2), D => n_sri_data(2), C => clk); II_r_hrdataHAKL3HAKR: FD port map ( Q => HRDATA(3), D => n_sri_data(3), C => clk); II_r_hrdataHAKL4HAKR: FD port map ( Q => HRDATA(4), D => n_sri_data(4), C => clk); II_r_hrdataHAKL5HAKR: FD port map ( Q => HRDATA(5), D => n_sri_data(5), C => clk); II_r_hrdataHAKL6HAKR: FD port map ( Q => HRDATA(6), D => n_sri_data(6), C => clk); II_r_hmbselHAKL0HAKR: FDCE port map ( Q => HMBSEL_0_INT_33, D => n_ahbsi_hmbsel(0), C => clk, CLR => RST_I, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL21HAKR: FDE port map ( Q => n_sro_address(21), D => n_ahbsi_haddr(21), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL22HAKR: FDE port map ( Q => n_sro_address(22), D => n_ahbsi_haddr(22), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL23HAKR: FDE port map ( Q => n_sro_address(23), D => n_ahbsi_haddr(23), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL24HAKR: FDE port map ( Q => n_sro_address(24), D => n_ahbsi_haddr(24), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL25HAKR: FDE port map ( Q => n_sro_address(25), D => n_ahbsi_haddr(25), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL26HAKR: FDE port map ( Q => n_sro_address(26), D => n_ahbsi_haddr(26), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL27HAKR: FDE port map ( Q => n_sro_address(27), D => n_ahbsi_haddr(27), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL28HAKR: FDE port map ( Q => n_sro_address(28), D => n_ahbsi_haddr(28), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL29HAKR: FDE port map ( Q => n_sro_address(29), D => n_ahbsi_haddr(29), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL30HAKR: FDE port map ( Q => n_sro_address(30), D => n_ahbsi_haddr(30), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL31HAKR: FDE port map ( Q => n_sro_address(31), D => n_ahbsi_haddr(31), C => clk, CE => HMBSEL_0_SQMUXA); II_r_hmbselHAKL2HAKR: FDCE port map ( Q => HMBSEL_2_INT_35, D => n_ahbsi_hmbsel(2), C => clk, CLR => RST_I, CE => HMBSEL_0_SQMUXA); II_r_hmbselHAKL1HAKR: FDCE port map ( Q => HMBSEL_1_INT_34, D => n_ahbsi_hmbsel(1), C => clk, CLR => RST_I, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL6HAKR: FDE port map ( Q => HADDR(6), D => n_ahbsi_haddr(6), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL7HAKR: FDE port map ( Q => HADDR(7), D => n_ahbsi_haddr(7), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL8HAKR: FDE port map ( Q => HADDR(8), D => n_ahbsi_haddr(8), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL9HAKR: FDE port map ( Q => HADDR(9), D => n_ahbsi_haddr(9), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL10HAKR: FDE port map ( Q => HADDR(10), D => n_ahbsi_haddr(10), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL11HAKR: FDE port map ( Q => HADDR(11), D => n_ahbsi_haddr(11), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL12HAKR: FDE port map ( Q => n_sro_address(12), D => n_ahbsi_haddr(12), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL13HAKR: FDE port map ( Q => n_sro_address(13), D => n_ahbsi_haddr(13), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL14HAKR: FDE port map ( Q => n_sro_address(14), D => n_ahbsi_haddr(14), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL15HAKR: FDE port map ( Q => n_sro_address(15), D => n_ahbsi_haddr(15), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL16HAKR: FDE port map ( Q => n_sro_address(16), D => n_ahbsi_haddr(16), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL17HAKR: FDE port map ( Q => n_sro_address(17), D => n_ahbsi_haddr(17), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL18HAKR: FDE port map ( Q => n_sro_address(18), D => n_ahbsi_haddr(18), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL19HAKR: FDE port map ( Q => n_sro_address(19), D => n_ahbsi_haddr(19), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL20HAKR: FDE port map ( Q => n_sro_address(20), D => n_ahbsi_haddr(20), C => clk, CE => HMBSEL_0_SQMUXA); II_r_ssrstateHAKL4HAKR: FD port map ( Q => SSRSTATE_4_INT_24, D => ssrstate_1_2, C => clk); II_r_ssrstateHAKL3HAKR: FD port map ( Q => SSRSTATE_3_INT_23, D => SSRSTATE_1(3), C => clk); II_r_ssrstateHAKL2HAKR: FD port map ( Q => SSRSTATE_2_INT_22, D => SSRSTATE_1(2), C => clk); II_r_haddrHAKL0HAKR: FDE port map ( Q => N_SRO_ADDRESS_0_INT_36, D => n_ahbsi_haddr(0), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL2HAKR: FDE port map ( Q => HADDR(2), D => n_ahbsi_haddr(2), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL3HAKR: FDE port map ( Q => HADDR(3), D => n_ahbsi_haddr(3), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL4HAKR: FDE port map ( Q => HADDR(4), D => n_ahbsi_haddr(4), C => clk, CE => HMBSEL_0_SQMUXA); II_r_haddrHAKL5HAKR: FDE port map ( Q => HADDR(5), D => n_ahbsi_haddr(5), C => clk, CE => HMBSEL_0_SQMUXA); II_r_wsHAKL2HAKR: FD port map ( Q => WS_2_INT_18, D => WS_1(2), C => clk); II_r_wsHAKL3HAKR: FD port map ( Q => WS_3_INT_19, D => ws_1_3, C => clk); II_r_wsHAKL0HAKR: FD port map ( Q => WS_0_INT_16, D => ws_1_0, C => clk); II_r_wsHAKL1HAKR: FD port map ( Q => WS_1_INT_17, D => WS_1(1), C => clk); II_r_bdrive: FDP port map ( Q => N_SRO_BDRIVE_3_INT_15, D => BDRIVE_1, C => clk, PRE => RST_I); II_r_change: FDC port map ( Q => CHANGE_INT_69, D => CHANGE_3, C => clk, CLR => RST_I); II_r_acountHAKL0HAKR: FD port map ( Q => N_SRO_ADDRESS_2_INT_38, D => ACOUNT_LM(0), C => clk); II_r_acountHAKL1HAKR: FD port map ( Q => N_SRO_ADDRESS_3_INT_39, D => ACOUNT_LM(1), C => clk); II_r_acountHAKL2HAKR: FD port map ( Q => N_SRO_ADDRESS_4_INT_40, D => ACOUNT_LM(2), C => clk); II_r_acountHAKL3HAKR: FD port map ( Q => N_SRO_ADDRESS_5_INT_41, D => ACOUNT_LM(3), C => clk); II_r_acountHAKL4HAKR: FD port map ( Q => N_SRO_ADDRESS_6_INT_42, D => ACOUNT_LM(4), C => clk); II_r_acountHAKL5HAKR: FD port map ( Q => N_SRO_ADDRESS_7_INT_43, D => ACOUNT_LM(5), C => clk); II_r_acountHAKL6HAKR: FD port map ( Q => N_SRO_ADDRESS_8_INT_44, D => ACOUNT_LM(6), C => clk); II_r_acountHAKL7HAKR: FD port map ( Q => N_SRO_ADDRESS_9_INT_45, D => ACOUNT_LM(7), C => clk); II_r_acountHAKL8HAKR: FD port map ( Q => N_SRO_ADDRESS_10_INT_46, D => ACOUNT_LM(8), C => clk); II_r_acountHAKL9HAKR: FD port map ( Q => N_SRO_ADDRESS_11_INT_47, D => ACOUNT_LM(9), C => clk); II_v_oen_1_sqmuxa_2_i_L4: LUT4_L generic map( INIT => X"0400" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => change_3_f0, I2 => HMBSEL_4_1_INT_14, I3 => HSEL_5_INT_67, LO => OEN_1_SQMUXA_2_I_L4); II_v_oen_1_sqmuxa_2_i_L6: LUT4_L generic map( INIT => X"4303" ) port map ( I0 => OEN_1_SQMUXA_2_I_L4, I1 => PRSTATE_5_INT_32, I2 => PRSTATE_1, I3 => hsel_1(0), LO => OEN_1_SQMUXA_2_I_L6); II_v_oen_1_sqmuxa_2_i: LUT4 generic map( INIT => X"DFCC" ) port map ( I0 => N_654, I1 => OEN_1_SQMUXA_2_I_L6, I2 => SSRSTATE_2_INT_22, I3 => SSRSTATE_12_1, O => OEN_1_SQMUXA_2_I); II_ctrl_v_ssrstate_1_0_1HAKL3HAKR: LUT3 generic map( INIT => X"35" ) port map ( I0 => SSRSTATE_1_0_D_AM(3), I1 => ssrstate_1_m1(3), I2 => ssrstate_2_sqmuxa_i, O => SSRSTATE_1_0_1(3)); II_ctrl_v_ws_1_0_bm_L1: LUT3 generic map( INIT => X"40" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => SSRSTATE_1_INT_21, O => WS_1_0_BM_L1); II_ctrl_v_ws_1_0_bm_L3: LUT2 generic map( INIT => X"4" ) port map ( I0 => N_656_INT_66, I1 => rst, O => WS_1_0_BM_L3); II_ctrl_v_ws_1_0_bm_L5: LUT4 generic map( INIT => X"0E00" ) port map ( I0 => SSRSTATE6_1_D_0_L1_INT_65, I1 => WS_1_0_BM_L1, I2 => UN1_AHBSI_INT_68, I3 => HSEL_5_INT_67, O => WS_1_0_BM_L5); II_ctrl_v_ws_1_0_bmHAKL1HAKR: LUT4 generic map( INIT => X"80AA" ) port map ( I0 => WS_1_0_BM_L3, I1 => WS_1_0_BM_L5, I2 => HMBSEL_4_1_INT_14, I3 => SSRSTATE6_XX_MM_M3_INT_64, O => WS_1_0_BM(1)); II_ctrl_v_N_619_i_L1_L1: LUT2 generic map( INIT => X"4" ) port map ( I0 => CHANGE_1_SQMUXA_N_3_INT_63, I1 => SSRHREADY_2_SQMUXA_0_0_INT_62, O => N_619_I_L1_L1); II_ctrl_v_N_619_i_L1: LUT4 generic map( INIT => X"80CC" ) port map ( I0 => N_619_I_L1_L1, I1 => n_ahbsi_hwrite, I2 => HMBSEL_4_1_INT_14, I3 => SSRSTATE6_XX_MM_M3_INT_64, O => N_619_I_L1); II_ctrl_v_hmbsel_4HAKL1HAKR: LUT3 generic map( INIT => X"B8" ) port map ( I0 => HMBSEL_4_X1(1), I1 => n_ahbsi_htrans(1), I2 => HMBSEL_1_INT_34, O => HMBSEL_4_1_INT_14); II_v_ssrstate_6_sqmuxa: LUT4 generic map( INIT => X"0035" ) port map ( I0 => g0_52_x0, I1 => g0_52_x1, I2 => n_ahbsi_htrans(1), I3 => SSRSTATE_6_SQMUXA_1, O => SSRSTATE_6_SQMUXA_INT_61); II_v_ssrstate_6_sqmuxa_1: LUT2 generic map( INIT => X"7" ) port map ( I0 => n_ahbsi_htrans(0), I1 => SSRSTATE_2_INT_22, O => SSRSTATE_6_SQMUXA_1); II_ctrl_v_bwn_1_0_o3_0_L1: LUT2 generic map( INIT => X"1" ) port map ( I0 => N_SRO_ADDRESS_0_INT_36, I1 => SIZE_0_INT_25, O => BWN_1_0_O3_0_L1); II_ctrl_v_bwn_1_0_o3_0_L3: LUT2 generic map( INIT => X"1" ) port map ( I0 => n_ahbsi_haddr(0), I1 => n_ahbsi_hsize(0), O => BWN_1_0_O3_0_L3); II_ctrl_v_bwn_1_0_o3_0_L5: LUT4_L generic map( INIT => X"00E4" ) port map ( I0 => N_662_INT_60, I1 => BWN_1_0_O3_0_L1, I2 => BWN_1_0_O3_0_L3, I3 => hsize_1(1), LO => BWN_1_0_O3_0_L5); II_ctrl_v_bwn_1_0_o3_0HAKL1HAKR: LUT4 generic map( INIT => X"5540" ) port map ( I0 => BWN_1_0_O3_0_L5, I1 => rst, I2 => PRSTATE_2_REP1_INT_59, I3 => bwn_0_sqmuxa_1, O => BWN_1_0_O3(1)); II_r_prstate_2_rep1: FDR port map ( Q => PRSTATE_2_REP1_INT_59, D => PRSTATEC_0_REP1, C => clk, R => RST_I); II_r_prstatec_0_rep1: LUT4_L generic map( INIT => X"A2A0" ) port map ( I0 => N_336, I1 => CHANGE_3, I2 => PRSTATE_0_INT_27, I3 => prstate_1_i_o4_s(2), LO => PRSTATEC_0_REP1); II_r_prstate_fastHAKL2HAKR: FDR port map ( Q => PRSTATE_FAST_2_INT_13, D => PRSTATEC_0_FAST, C => clk, R => RST_I); II_r_prstatec_0_fast: LUT4_L generic map( INIT => X"A2A0" ) port map ( I0 => N_336, I1 => CHANGE_3, I2 => PRSTATE_0_INT_27, I3 => prstate_1_i_o4_s(2), LO => PRSTATEC_0_FAST); II_ctrl_v_ws_1_0_rnHAKL1HAKR: LUT4_L generic map( INIT => X"4EE4" ) port map ( I0 => g0_25, I1 => WS_1_2_0_D(1), I2 => WS_1_0_AM_1(1), I3 => WS_1_INT_17, LO => WS_1_0_RN_1(1)); II_ctrl_v_bdrive_1_iv_m9_i_a4_0_2_2_L1: LUT4_L generic map( INIT => X"3100" ) port map ( I0 => BDRIVE_1_IV_M9_I_A4_0_2_1, I1 => BDRIVE_1_IV_M9_I_A4_0_2_2_1, I2 => SSRSTATE_1_INT_21, I3 => BDRIVE_0_SQMUXA_2_C, LO => BDRIVE_1_IV_M9_I_A4_0_2_2_L1); II_ctrl_v_bdrive_1_iv_m9_i_a4_0_2_2: LUT4 generic map( INIT => X"D5F5" ) port map ( I0 => BDRIVE_1_IV_M9_I_A4_0_2_2_L1, I1 => UN1_AHBSI_INT_68, I2 => BDRIVE_1_IV_M9_I_A4_0_2_1, I3 => HSEL_5_INT_67, O => BDRIVE_1_TZ); II_ctrl_v_bdrive_1_iv_m9_i_a4_0_2_2_1_L1: LUT2 generic map( INIT => X"1" ) port map ( I0 => SSRSTATE_0_INT_20, I1 => SSRSTATE_1_INT_21, O => BDRIVE_1_IV_M9_I_A4_0_2_2_1_L1); II_ctrl_v_bdrive_1_iv_m9_i_a4_0_2_2_1_L3: LUT4_L generic map( INIT => X"1015" ) port map ( I0 => N_SRO_BDRIVE_3_INT_15, I1 => D16MUXC_0_4_INT_73, I2 => PRSTATE_1_INT_28, I3 => PRSTATE_2_REP1_INT_59, LO => BDRIVE_1_IV_M9_I_A4_0_2_2_1_L3); II_ctrl_v_bdrive_1_iv_m9_i_a4_0_2_2_1: LUT4_L generic map( INIT => X"0F8F" ) port map ( I0 => N_668, I1 => BDRIVE_1_IV_M9_I_A4_0_2_2_1_L1, I2 => BDRIVE_1_IV_M9_I_A4_0_2_2_1_L3, I3 => BDRIVE_1_SQMUXA, LO => BDRIVE_1_IV_M9_I_A4_0_2_2_1); II_ctrl_v_romsn_1_iv_L1: LUT4_L generic map( INIT => X"27FF" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_hmbsel(0), I2 => HMBSEL_0_INT_33, I3 => prstate_1_i_o4_s(2), LO => ROMSN_1_IV_L1); II_ctrl_v_iosn_9_iv_L1: LUT4_L generic map( INIT => X"27FF" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_hmbsel(2), I2 => HMBSEL_2_INT_35, I3 => prstate_1_i_o4_s(2), LO => IOSN_9_IV_L1); II_ctrl_v_ssrstate6_xx_mm_m3_L1: LUT2 generic map( INIT => X"7" ) port map ( I0 => HMBSEL_1_INT_34, I1 => HSEL_INT_58, O => SSRSTATE6_XX_MM_M3_L1); II_ctrl_v_ssrstate6_xx_mm_m3_L3: LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => n_ahbsi_hmbsel(1), I1 => n_ahbsi_hready, I2 => PRSTATE_5_INT_32, I3 => SSRSTATE_4_INT_24, O => SSRSTATE6_XX_MM_M3_L3); II_ctrl_v_ssrstate6_xx_mm_m3: LUT4 generic map( INIT => X"CEFE" ) port map ( I0 => SSRSTATE6_XX_MM_M3_L1, I1 => SSRSTATE6_XX_MM_M3_L3, I2 => n_ahbsi_hready, I3 => hsel_4, O => SSRSTATE6_XX_MM_M3_INT_64); II_v_ws_2_sqmuxa_3_0: LUT4 generic map( INIT => X"0700" ) port map ( I0 => g0_30, I1 => WS_0_SQMUXA_1_INT_57, I2 => WS_2_SQMUXA_3_0_SX, I3 => WS_2_SQMUXA_3_D_INT_56, O => N_371_INT_71); II_v_ws_2_sqmuxa_3_0_sx: LUT4_L generic map( INIT => X"CF4F" ) port map ( I0 => SSRSTATE_2_I_INT_55, I1 => WS_0_SQMUXA_1_INT_57, I2 => WS_2_SQMUXA_3_0_2_INT_54, I3 => WS_3_SQMUXA_1_INT_53, LO => WS_2_SQMUXA_3_0_SX); II_v_ws_2_sqmuxa_3_0_x: LUT3_L generic map( INIT => X"70" ) port map ( I0 => g0_30, I1 => WS_0_SQMUXA_1_INT_57, I2 => WS_2_SQMUXA_3_D_INT_56, LO => ws_2_sqmuxa_3_0_x); II_ctrl_v_hmbsel_4_x1HAKL1HAKR: LUT4_L generic map( INIT => X"BF80" ) port map ( I0 => n_ahbsi_hmbsel(1), I1 => n_ahbsi_hready, I2 => n_ahbsi_hsel(0), I3 => HMBSEL_1_INT_34, LO => HMBSEL_4_X1(1)); II_un1_v_ssrstate17_2_0_m6_i_1: LUT4 generic map( INIT => X"4F0F" ) port map ( I0 => n_ahbsi_hready, I1 => n_ahbsi_htrans(0), I2 => SSRSTATE_2_INT_22, I3 => SSRSTATE17_2_0_M6_I_A3_A0_1, O => ssrstate17_2_0_m6_i_1); II_ctrl_v_change_3_f1_d_0_L1: LUT4_L generic map( INIT => X"4440" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => HSEL_5_INT_67, I2 => SSRSTATE_1_INT_21, I3 => SSRSTATE_2_INT_22, LO => CHANGE_3_F1_D_0_L1); II_ctrl_v_change_3_f1_d_0: LUT4 generic map( INIT => X"00F2" ) port map ( I0 => CHANGE_3_F1_D_0_L1, I1 => HMBSEL_4_1_INT_14, I2 => CHANGE_INT_69, I3 => SSRSTATE_4_INT_24, O => CHANGE_3); II_ctrl_un1_v_ssrstate17: LUT4 generic map( INIT => X"E000" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => HMBSEL_4_1_INT_14, I3 => HSEL_5_INT_67, O => N_654); II_un1_v_writen_2_sqmuxa_L1: LUT2 generic map( INIT => X"1" ) port map ( I0 => PRSTATE_1_INT_28, I1 => PRSTATE_2_INT_29, O => WRITEN_2_SQMUXA_L1); II_un1_v_writen_2_sqmuxa_L3: LUT2 generic map( INIT => X"4" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), O => WRITEN_2_SQMUXA_L3); II_un1_v_writen_2_sqmuxa_L5: LUT4 generic map( INIT => X"7F00" ) port map ( I0 => WRITEN_2_SQMUXA_L3, I1 => HMBSEL_4_1_INT_14, I2 => HSEL_5_INT_67, I3 => SSRSTATE_2_INT_22, O => WRITEN_2_SQMUXA_L5); II_un1_v_writen_2_sqmuxa: LUT4 generic map( INIT => X"7555" ) port map ( I0 => WRITEN_2_SQMUXA_L1, I1 => WRITEN_2_SQMUXA_L5, I2 => WRITEN_2_SQMUXA_TZ_0, I3 => BWN_1_SQMUXA_2_D_0, O => WRITEN_2_SQMUXA); II_ctrl_v_ws_1_L1_L1: LUT3_L generic map( INIT => X"37" ) port map ( I0 => g0_30, I1 => WS_0_SQMUXA_1_INT_57, I2 => WS_3_SQMUXA_1_INT_53, LO => WS_1_L1_L1); II_ctrl_v_ws_1_L1: LUT4_L generic map( INIT => X"B11B" ) port map ( I0 => g0_25, I1 => WS_1_2_0_D(2), I2 => WS_1_L1_L1, I3 => WS_2_INT_18, LO => WS_1_L1); II_v_ws_2_sqmuxa_3_0_2_L1: LUT4 generic map( INIT => X"0013" ) port map ( I0 => N_SRO_ROMSN_0_INT_12, I1 => PRSTATE_1_INT_28, I2 => ws_2_sqmuxa_0, I3 => ws_4_sqmuxa_0, O => WS_2_SQMUXA_3_0_2_L1); II_v_ws_2_sqmuxa_3_0_2: LUT4 generic map( INIT => X"003B" ) port map ( I0 => WS_2_SQMUXA_3_0_2_L1, I1 => rst, I2 => PRSTATE_3_INT_30, I3 => WS_1_SQMUXA_INT_52, O => WS_2_SQMUXA_3_0_2_INT_54); II_ctrl_v_ws_1_L1_0: LUT3 generic map( INIT => X"57" ) port map ( I0 => g0_25, I1 => WS_0_INT_16, I2 => WS_1_INT_17, O => WS_1_L1_0); II_ctrl_v_ssrhready_8_f0_L5: LUT3_L generic map( INIT => X"2F" ) port map ( I0 => n_ahbsi_htrans(0), I1 => ssrstate17_1_xx_mm_N_4, I2 => SSRSTATE_1_INT_21, LO => ssrhready_8_f0_L5); II_ctrl_v_ssrhready_8_f0_L8: LUT4 generic map( INIT => X"0013" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => SSRHREADY_INT_51, I2 => SSRSTATE_3_INT_23, I3 => ssrstate_1_sqmuxa_1, O => ssrhready_8_f0_L8); II_un1_v_hsel_1_0_L3: LUT2_L generic map( INIT => X"1" ) port map ( I0 => n_ahbsi_hmbsel(0), I1 => n_ahbsi_hmbsel(2), LO => hsel_1_0_L3); II_un1_v_ssrstate6_1_d_0_L1: LUT3 generic map( INIT => X"40" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => SSRSTATE_2_INT_22, O => SSRSTATE6_1_D_0_L1_INT_65); II_v_ws_3_sqmuxa_0: LUT4 generic map( INIT => X"8B03" ) port map ( I0 => n_ahbsi_hmbsel(1), I1 => n_ahbsi_hready, I2 => WS_3_SQMUXA_0_1, I3 => WS_3_SQMUXA_1_A0_2, O => WS_3_SQMUXA_1_INT_53); II_v_ws_3_sqmuxa_0_1: LUT3 generic map( INIT => X"7F" ) port map ( I0 => d_m1_e_0_0, I1 => n_ahbsi_htrans(0), I2 => SSRSTATE_1_INT_21, O => WS_3_SQMUXA_0_1); II_un1_r_prstate_8: LUT4 generic map( INIT => X"0301" ) port map ( I0 => N_SRO_ROMSN_0_INT_12, I1 => PRSTATE_0_INT_27, I2 => PRSTATE_5_INT_32, I3 => PRSTATE_8_1, O => N_656_INT_66); II_un1_r_prstate_8_1: LUT3_L generic map( INIT => X"57" ) port map ( I0 => N_SRO_IOSN_INT_70, I1 => PRSTATE_4_INT_31, I2 => PRSTATE_FAST_2_INT_13, LO => PRSTATE_8_1); II_v_mcfg1_bexcen_1_sqmuxa_i: LUT4 generic map( INIT => X"B333" ) port map ( I0 => n_apbi_pwrite, I1 => rst, I2 => N_APBO_PRDATA_28_INT_11, I3 => BEXCEN_1_SQMUXA_I_1, O => BEXCEN_1_SQMUXA_I); II_v_mcfg1_bexcen_1_sqmuxa_i_1: LUT4 generic map( INIT => X"1000" ) port map ( I0 => n_apbi_paddr(4), I1 => n_apbi_paddr(5), I2 => n_apbi_penable, I3 => n_apbi_psel(0), O => BEXCEN_1_SQMUXA_I_1); II_un1_v_ssrstate_1_sqmuxa_1_0_m3_0_1: LUT4 generic map( INIT => X"0D08" ) port map ( I0 => n_ahbsi_hready, I1 => n_ahbsi_hsel(0), I2 => n_ahbsi_htrans(0), I3 => HSEL_INT_58, O => ssrstate_1_sqmuxa_1_0_m3_0_1); II_un1_r_prstate: LUT4 generic map( INIT => X"1911" ) port map ( I0 => PRSTATE_5_INT_32, I1 => PRSTATE_1, I2 => PRSTATE_12_M7_I_A6_0, I3 => hsel_1(0), O => PRSTATE_12_I); II_un1_r_prstate_1_0: LUT4 generic map( INIT => X"0343" ) port map ( I0 => HWRITE_1, I1 => PRSTATE_5_INT_32, I2 => PRSTATE_12_0, I3 => PRSTATE_12_M7_I_A6, O => PRSTATE_1); II_ctrl_v_ws_1_0_am_1HAKL1HAKR: LUT4_L generic map( INIT => X"0515" ) port map ( I0 => WS_0_INT_16, I1 => g0_30, I2 => WS_0_SQMUXA_1_INT_57, I3 => WS_3_SQMUXA_1_INT_53, LO => WS_1_0_AM_1(1)); II_r_d16muxc_0_1_0: LUT4 generic map( INIT => X"0110" ) port map ( I0 => PRSTATE_1_INT_28, I1 => PRSTATE_4_INT_31, I2 => SIZE_0_INT_25, I3 => SIZE_1_INT_26, O => D16MUXC_0_1_0); II_r_acount_lm_0_1HAKL0HAKR: LUT3 generic map( INIT => X"27" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(2), I2 => HADDR(2), O => ACOUNT_LM_0_1(0)); II_v_N_635_i_1: LUT3_L generic map( INIT => X"0D" ) port map ( I0 => SSRSTATE_1_INT_21, I1 => loadcount_1_sqmuxa, I2 => ssrstate_1_sqmuxa_1, LO => N_635_I_1); II_ctrl_v_oen_1_iv: LUT3_L generic map( INIT => X"E2" ) port map ( I0 => SSRSTATE6_XX_MM_M3_INT_64, I1 => PRSTATE_12_I, I2 => PRSTATE_1_SQMUXA, LO => OEN_1); II_ctrl_v_N_617_i: LUT4_L generic map( INIT => X"0FBF" ) port map ( I0 => N_646, I1 => n_ahbsi_hwrite, I2 => BWN_1_0_0(3), I3 => bwn_1_sqmuxa_2_d_0_2, LO => N_617_I); II_ctrl_v_hwdataout_1_0HAKL0HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(0), I1 => BUS16EN_INT_72, I2 => HWDATA(0), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(0)); II_ctrl_v_hwdataout_1_0HAKL1HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(1), I1 => BUS16EN_INT_72, I2 => HWDATA(1), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(1)); II_ctrl_v_hwdataout_1_0HAKL2HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(2), I1 => BUS16EN_INT_72, I2 => HWDATA(2), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(2)); II_ctrl_v_hwdataout_1_0HAKL3HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(3), I1 => BUS16EN_INT_72, I2 => HWDATA(3), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(3)); II_ctrl_v_hwdataout_1_0HAKL4HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(4), I1 => BUS16EN_INT_72, I2 => HWDATA(4), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(4)); II_ctrl_v_hwdataout_1_0HAKL5HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(5), I1 => BUS16EN_INT_72, I2 => HWDATA(5), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(5)); II_ctrl_v_hwdataout_1_0HAKL6HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(6), I1 => BUS16EN_INT_72, I2 => HWDATA(6), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(6)); II_ctrl_v_hwdataout_1_0HAKL7HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(7), I1 => BUS16EN_INT_72, I2 => HWDATA(7), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(7)); II_ctrl_v_hwdataout_1_0HAKL8HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(8), I1 => BUS16EN_INT_72, I2 => HWDATA(8), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(8)); II_ctrl_v_hwdataout_1_0HAKL9HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(9), I1 => BUS16EN_INT_72, I2 => HWDATA(9), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(9)); II_ctrl_v_hwdataout_1_0HAKL10HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(10), I1 => BUS16EN_INT_72, I2 => HWDATA(10), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(10)); II_ctrl_v_hwdataout_1_0HAKL11HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(11), I1 => BUS16EN_INT_72, I2 => HWDATA(11), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(11)); II_ctrl_v_hwdataout_1_0HAKL12HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(12), I1 => BUS16EN_INT_72, I2 => HWDATA(12), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(12)); II_ctrl_v_hwdataout_1_0HAKL13HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(13), I1 => BUS16EN_INT_72, I2 => HWDATA(13), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(13)); II_ctrl_v_hwdataout_1_0HAKL14HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(14), I1 => BUS16EN_INT_72, I2 => HWDATA(14), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(14)); II_ctrl_v_hwdataout_1_0HAKL15HAKR: LUT4_L generic map( INIT => X"E2F0" ) port map ( I0 => n_ahbsi_hwdata(15), I1 => BUS16EN_INT_72, I2 => HWDATA(15), I3 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(15)); II_ctrl_v_hwdataout_1_0HAKL16HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_382, I1 => HWDATA(16), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(16)); II_ctrl_v_hwdataout_1_0HAKL17HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_383, I1 => HWDATA(17), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(17)); II_ctrl_v_hwdataout_1_0HAKL18HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_384, I1 => HWDATA(18), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(18)); II_ctrl_v_hwdataout_1_0HAKL19HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_385, I1 => HWDATA(19), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(19)); II_ctrl_v_hwdataout_1_0HAKL20HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_386, I1 => HWDATA(20), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(20)); II_ctrl_v_hwdataout_1_0HAKL21HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_387, I1 => HWDATA(21), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(21)); II_ctrl_v_hwdataout_1_0HAKL22HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_388, I1 => HWDATA(22), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(22)); II_ctrl_v_hwdataout_1_0HAKL23HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_389, I1 => HWDATA(23), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(23)); II_ctrl_v_hwdataout_1_0HAKL24HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_390, I1 => HWDATA(24), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(24)); II_ctrl_v_hwdataout_1_0HAKL25HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_391, I1 => HWDATA(25), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(25)); II_ctrl_v_hwdataout_1_0HAKL26HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_392, I1 => HWDATA(26), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(26)); II_ctrl_v_hwdataout_1_0HAKL27HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_393, I1 => HWDATA(27), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(27)); II_ctrl_v_hwdataout_1_0HAKL28HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_394, I1 => HWDATA(28), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(28)); II_ctrl_v_hwdataout_1_0HAKL29HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_395, I1 => HWDATA(29), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(29)); II_ctrl_v_hwdataout_1_0HAKL30HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_396, I1 => HWDATA(30), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(30)); II_ctrl_v_hwdataout_1_0HAKL31HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => N_397, I1 => HWDATA(31), I2 => PRSTATE_2_INT_29, LO => HWDATAOUT_1(31)); II_ctrl_v_mcfg1_N_626_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_4, I1 => rst, LO => N_626_I); II_ctrl_v_mcfg1_N_625_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_5, I1 => rst, LO => N_625_I); II_ctrl_v_mcfg1_N_624_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_6, I1 => rst, LO => N_624_I); II_ctrl_v_mcfg1_N_623_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_7, I1 => rst, LO => N_623_I); II_ctrl_v_mcfg1_N_630_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_0, I1 => rst, LO => N_630_I); II_ctrl_v_mcfg1_N_629_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_1, I1 => rst, LO => N_629_I); II_ctrl_v_mcfg1_N_628_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_2, I1 => rst, LO => N_628_I); II_ctrl_v_mcfg1_N_627_i: LUT2_L generic map( INIT => X"B" ) port map ( I0 => n_apbi_pwdata_3, I1 => rst, LO => N_627_I); II_ctrl_v_mcfg1_iows_1HAKL0HAKR: LUT2_L generic map( INIT => X"8" ) port map ( I0 => n_apbi_pwdata_20, I1 => rst, LO => IOWS_1(0)); II_ctrl_v_mcfg1_iows_1HAKL1HAKR: LUT2_L generic map( INIT => X"8" ) port map ( I0 => n_apbi_pwdata_21, I1 => rst, LO => IOWS_1(1)); II_ctrl_v_mcfg1_iows_1HAKL2HAKR: LUT2_L generic map( INIT => X"8" ) port map ( I0 => n_apbi_pwdata_22, I1 => rst, LO => IOWS_1(2)); II_ctrl_v_mcfg1_iows_1HAKL3HAKR: LUT2_L generic map( INIT => X"8" ) port map ( I0 => n_apbi_pwdata_23, I1 => rst, LO => IOWS_1(3)); II_ctrl_v_mcfg1_romwidth_1_0HAKL0HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => n_apbi_pwdata_8, I1 => n_sri_bwidth(0), I2 => rst, LO => ROMWIDTH_1(0)); II_ctrl_v_mcfg1_romwidth_1_0HAKL1HAKR: LUT3_L generic map( INIT => X"AC" ) port map ( I0 => n_apbi_pwdata_9, I1 => n_sri_bwidth(1), I2 => rst, LO => ROMWIDTH_1(1)); II_ctrl_v_mcfg1_romwrite_1: LUT2_L generic map( INIT => X"8" ) port map ( I0 => n_apbi_pwdata_11, I1 => rst, LO => ROMWRITE_1); II_ctrl_v_mcfg1_ioen_1: LUT2_L generic map( INIT => X"8" ) port map ( I0 => n_apbi_pwdata_19, I1 => rst, LO => IOEN_1); II_ctrl_v_ssrstate_1_0HAKL2HAKR: LUT4_L generic map( INIT => X"8A80" ) port map ( I0 => rst, I1 => D16MUXC_0_4_INT_73, I2 => SSRSTATE_3_INT_23, I3 => SSRSTATE_6_SQMUXA_INT_61, LO => SSRSTATE_1(2)); II_ctrl_v_bdrive_1_iv_m9_i: LUT4_L generic map( INIT => X"1000" ) port map ( I0 => BDRIVE_1_IV_0_A0, I1 => BDRIVE_1_IV_0_A1, I2 => BDRIVE_1_IV_M9_I_0_0, I3 => BDRIVE_1_TZ, LO => BDRIVE_1); II_r_acount_lm_0HAKL1HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_1, I2 => ACOUNT_S(1), LO => ACOUNT_LM(1)); II_r_acount_lm_0HAKL2HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_2, I2 => ACOUNT_S(2), LO => ACOUNT_LM(2)); II_r_acount_lm_0HAKL3HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_3, I2 => ACOUNT_S(3), LO => ACOUNT_LM(3)); II_r_acount_lm_0HAKL4HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_4, I2 => ACOUNT_S(4), LO => ACOUNT_LM(4)); II_r_acount_lm_0HAKL5HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_5, I2 => ACOUNT_S(5), LO => ACOUNT_LM(5)); II_r_acount_lm_0HAKL6HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_6, I2 => ACOUNT_S(6), LO => ACOUNT_LM(6)); II_r_acount_lm_0HAKL7HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_7, I2 => ACOUNT_S(7), LO => ACOUNT_LM(7)); II_r_acount_lm_0HAKL8HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_8, I2 => ACOUNT_S(8), LO => ACOUNT_LM(8)); II_r_acount_lm_0HAKL9HAKR: LUT3_L generic map( INIT => X"D8" ) port map ( I0 => loadcount_7, I1 => NN_9, I2 => ACOUNT_S(9), LO => ACOUNT_LM(9)); II_r_d16muxc: LUT3_L generic map( INIT => X"40" ) port map ( I0 => un7_bus16en, I1 => D16MUXC_1, I2 => D16MUXC_2, LO => D16MUXC); II_rbdrivec_18: LUT4_L generic map( INIT => X"4000" ) port map ( I0 => BDRIVE_1_IV_0_A0, I1 => BDRIVE_1_IV_0_1, I2 => BDRIVE_1_IV_M9_I_0, I3 => BDRIVE_1_TZ, LO => RBDRIVEC_18); II_r_ssrstatec_0: LUT4_L generic map( INIT => X"0B08" ) port map ( I0 => NoName_cnst(0), I1 => SSRSTATE_5_I, I2 => ssrstate_2_sqmuxa_1, I3 => SSRSTATE_11(0), LO => SSRSTATEC_0); II_r_prstatec_1: LUT4_L generic map( INIT => X"0008" ) port map ( I0 => SSRSTATE23_1, I1 => PRSTATE_1_INT_28, I2 => WS_0_INT_16, I3 => WS_3_INT_19, LO => PRSTATEC_1); II_v_N_337_i: LUT3_L generic map( INIT => X"74" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => PRSTATE_1_INT_28, I2 => PRSTATE_2_INT_29, LO => N_337_I); II_r_prstatec_0: LUT4_L generic map( INIT => X"A2A0" ) port map ( I0 => N_336, I1 => CHANGE_3, I2 => PRSTATE_0_INT_27, I3 => prstate_1_i_o4_s(2), LO => PRSTATEC_0); II_r_prstatec: LUT3_L generic map( INIT => X"0E" ) port map ( I0 => PRSTATE_3_INT_30, I1 => PRSTATE_4_INT_31, I2 => d16mux_0_sqmuxa, LO => PRSTATEC); II_v_prstate_1_0_a3_0HAKL4HAKR: LUT4_L generic map( INIT => X"0200" ) port map ( I0 => rst, I1 => HWRITE_1, I2 => CHANGE_3, I3 => prstate_1_i_o4_s(2), LO => N_342); II_r_prstates_i: LUT4_L generic map( INIT => X"8FCF" ) port map ( I0 => CHANGE_3, I1 => PRSTATE_5_INT_32, I2 => PRSTATESR_0, I3 => hsel_1(0), LO => PRSTATES_I); II_r_acount_qxuHAKL9HAKR: LUT1 generic map( INIT => X"2" ) port map ( I0 => N_SRO_ADDRESS_11_INT_47, O => ACOUNT_QXU(9)); II_un1_v_ssrstate23_u_0HAKL4HAKR: MUXF5 port map ( I0 => SSRSTATE23_U_0_AM(4), I1 => SSRSTATE23_U_0_BM(4), S => SSRSTATE_5_I, O => ssrstate_1_m1(4)); II_v_bwn_1_sqmuxa_3_i: LUT4 generic map( INIT => X"D555" ) port map ( I0 => bwn_0_sqmuxa_1, I1 => BWN_1_SQMUXA_2_D_0, I2 => WRITEN_0_SQMUXA_0_2, I3 => WRITEN_0_SQMUXA_D, O => BWN_1_SQMUXA_3_I); II_rbdrivec_19: LUT4 generic map( INIT => X"4000" ) port map ( I0 => BDRIVE_1_IV_0_A0, I1 => BDRIVE_1_IV_0_1, I2 => BDRIVE_1_IV_M9_I_0, I3 => BDRIVE_1_TZ, O => RBDRIVEC); II_ctrl_v_ssrstate_1_m2s2_0: LUT4_L generic map( INIT => X"0010" ) port map ( I0 => NoName_cnst(0), I1 => SSRSTATE_1_INT_21, I2 => change_1_sqmuxa_0, I3 => SSRSTATE_6_SQMUXA_INT_61, LO => SSRSTATE_1_M2S2_0); II_ctrl_v_bwn_1_0_0HAKL3HAKR: LUT3 generic map( INIT => X"C8" ) port map ( I0 => hsize_1(1), I1 => BWN_1_0_O3(1), I2 => haddr_0, O => BWN_1_0_0(3)); II_v_ws_2_sqmuxa_3_0_4: LUT4 generic map( INIT => X"30B0" ) port map ( I0 => SSRSTATE_2_I_INT_55, I1 => WS_0_SQMUXA_1_INT_57, I2 => WS_2_SQMUXA_3_0_2_INT_54, I3 => WS_3_SQMUXA_1_INT_53, O => ws_2_sqmuxa_3_0_4); II_un1_v_N_599_i: LUT4 generic map( INIT => X"FF8F" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => PRSTATE_1_INT_28, I2 => HADDR_0_SQMUXA_A0_0, I3 => PRSTATE_1_SQMUXA, O => N_599_I); II_ctrl_v_ssrstate_1_0_d_bmHAKL3HAKR: LUT2 generic map( INIT => X"1" ) port map ( I0 => n_ahbsi_hwrite, I1 => ssrstate_1_sqmuxa_1, O => SSRSTATE_1_0_D_BM(3)); II_ctrl_v_ssrstate_1_0_d_amHAKL3HAKR: LUT3 generic map( INIT => X"20" ) port map ( I0 => rst, I1 => D16MUXC_0_4_INT_73, I2 => SSRSTATE_3_INT_23, O => SSRSTATE_1_0_D_AM(3)); II_v_writen_0_sqmuxa_0_2: LUT4 generic map( INIT => X"0E00" ) port map ( I0 => n_ahbsi_hwrite, I1 => SSRSTATE6_XX_MM_M3_INT_64, I2 => ssrstate_1_sqmuxa_1, I3 => WRITEN_0_SQMUXA_0_0, O => WRITEN_0_SQMUXA_0_2); II_un1_r_ssrstate_12_1: LUT4 generic map( INIT => X"0111" ) port map ( I0 => SSRSTATE_3_INT_23, I1 => BDRIVE_1_SQMUXA_2, I2 => WS_0_SQMUXA_0_0_0, I3 => WS_0_SQMUXA_0_C_INT_50, O => SSRSTATE_12_1); II_v_ws_2_sqmuxa_3_d: LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => HSEL_5_INT_67, I2 => SSRSTATE_1_INT_21, I3 => WS_0_SQMUXA_1_INT_57, O => WS_2_SQMUXA_3_D_INT_56); II_un1_v_writen_2_sqmuxa_tz_0: LUT4 generic map( INIT => X"00E0" ) port map ( I0 => n_ahbsi_hwrite, I1 => SSRSTATE6_XX_MM_M3_INT_64, I2 => SSRSTATE_2_I_INT_55, I3 => WS_3_SQMUXA_1_INT_53, O => WRITEN_2_SQMUXA_TZ_0); II_un1_r_ssrstate_9: LUT4 generic map( INIT => X"0070" ) port map ( I0 => N_654, I1 => SSRSTATE_2_INT_22, I2 => SSRSTATE_2_I_INT_55, I3 => WS_3_SQMUXA_1_INT_53, O => SSRSTATE_9); II_ctrl_v_bdrive_1_iv_m9_i_0_0: LUT2 generic map( INIT => X"8" ) port map ( I0 => BDRIVE_1_IV_0_1, I1 => BDRIVE_1_IV_M9_I_0, O => BDRIVE_1_IV_M9_I_0_0); II_un1_r_prstate_12_m7_i_a6_0: LUT4_L generic map( INIT => X"0400" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => change_3_f0, I2 => HMBSEL_4_1_INT_14, I3 => HSEL_5_INT_67, LO => PRSTATE_12_M7_I_A6_0); II_v_bwn_1_sqmuxa_2_d_0: LUT4 generic map( INIT => X"FBFF" ) port map ( I0 => n_ahbsi_hwrite, I1 => HMBSEL_4_1_INT_14, I2 => CHANGE_1_SQMUXA_N_3_INT_63, I3 => SSRHREADY_2_SQMUXA_0_0_INT_62, O => BWN_1_SQMUXA_2_D_0); II_un1_v_ssrstate23_u_0_bmHAKL4HAKR: LUT3 generic map( INIT => X"B0" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => HSEL_5_INT_67, I2 => SSRSTATE_2_INT_22, O => SSRSTATE23_U_0_BM(4)); II_un1_v_ssrstate23_u_0_amHAKL4HAKR: LUT3 generic map( INIT => X"02" ) port map ( I0 => SSRSTATE23_1, I1 => WS_0_INT_16, I2 => WS_3_INT_19, O => SSRSTATE23_U_0_AM(4)); II_v_writen_0_sqmuxa_d: LUT4 generic map( INIT => X"40FF" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => HMBSEL_4_1_INT_14, I2 => HSEL_5_INT_67, I3 => SSRSTATE_2_INT_22, O => WRITEN_0_SQMUXA_D); II_ctrl_v_ws_1_2_0_dHAKL1HAKR: LUT3 generic map( INIT => X"E2" ) port map ( I0 => N_362, I1 => N_365, I2 => ROMRWS(1), O => WS_1_2_0_D(1)); II_ctrl_v_ws_1_2_0_dHAKL2HAKR: LUT3 generic map( INIT => X"E2" ) port map ( I0 => N_363, I1 => N_365, I2 => ROMRWS(2), O => WS_1_2_0_D(2)); II_r_prstatesr_0: LUT3 generic map( INIT => X"0B" ) port map ( I0 => un7_bus16en, I1 => PRSTATE_0_INT_27, I2 => PRSTATE_1_SQMUXA, O => PRSTATESR_0); II_un1_v_haddr_0_sqmuxa_a0_0: LUT3 generic map( INIT => X"07" ) port map ( I0 => un7_bus16en, I1 => PRSTATE_0_INT_27, I2 => PRSTATE_5_INT_32, O => HADDR_0_SQMUXA_A0_0); II_ctrl_v_bdrive_1_iv_0_a1: LUT3 generic map( INIT => X"40" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => SETBDRIVE, I2 => BDRIVE_1_SQMUXA, O => BDRIVE_1_IV_0_A1); II_v_prstate_1_0_a3HAKL4HAKR: LUT3 generic map( INIT => X"80" ) port map ( I0 => rst, I1 => un7_bus16en, I2 => d16mux_0_sqmuxa, O => N_341); II_v_bdrive_0_sqmuxa_2_0: LUT4 generic map( INIT => X"FF10" ) port map ( I0 => N_668, I1 => D16MUXC_0_4_INT_73, I2 => PRSTATE_1_INT_28, I3 => BDRIVE_0_SQMUXA_2_0_0, O => BDRIVE_0_SQMUXA_2_C); II_ctrl_v_bdrive_1_iv_m9_i_0: LUT3 generic map( INIT => X"13" ) port map ( I0 => BDRIVE_1_IV_0_A4_0, I1 => PRSTATE_2_REP1_INT_59, I2 => BDRIVE_1_SQMUXA, O => BDRIVE_1_IV_M9_I_0); II_v_ws_0_sqmuxa_0_0_0: LUT4 generic map( INIT => X"3133" ) port map ( I0 => HSEL_5_INT_67, I1 => D16MUXC_0_4_INT_73, I2 => SSRSTATE_0_INT_20, I3 => WS_0_SQMUXA_C_INT_49, O => WS_0_SQMUXA_0_0_0); II_v_prhready_0_sqmuxa: LUT3 generic map( INIT => X"A8" ) port map ( I0 => un7_bus16en, I1 => PRSTATE_0_INT_27, I2 => d16mux_0_sqmuxa, O => PRHREADY_0_SQMUXA); II_v_ws_0_sqmuxa_1: LUT2 generic map( INIT => X"4" ) port map ( I0 => N_656_INT_66, I1 => rst, O => WS_0_SQMUXA_1_INT_57); II_v_ws_0_sqmuxa_0: LUT4 generic map( INIT => X"040F" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => HSEL_5_INT_67, I2 => SSRSTATE_0_INT_20, I3 => SSRSTATE_1_INT_21, O => SSRSTATE_5_I); II_v_prstate_1_i_m4_0HAKL2HAKR: LUT3 generic map( INIT => X"CA" ) port map ( I0 => HWRITE_1, I1 => un7_bus16en, I2 => PRSTATE_0_INT_27, O => N_336); II_v_bdrive_1_sqmuxa_2: LUT3 generic map( INIT => X"40" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => HSEL_5_INT_67, I2 => SSRSTATE_1_INT_21, O => BDRIVE_1_SQMUXA_2); II_ctrl_v_bdrive_1_iv_0_a0: LUT4 generic map( INIT => X"4000" ) port map ( I0 => UN1_AHBSI_INT_68, I1 => BDRIVE_1_IV_0_A4_0, I2 => HSEL_5_INT_67, I3 => SSRSTATE_1_INT_21, O => BDRIVE_1_IV_0_A0); II_ctrl_v_bdrive_1_iv_m9_i_a4_0_2_1: LUT4 generic map( INIT => X"80A0" ) port map ( I0 => N_668, I1 => SSRSTATE10, I2 => D16MUXC_0_4_INT_73, I3 => SSRSTATE_3_INT_23, O => BDRIVE_1_IV_M9_I_A4_0_2_1); II_v_ws_0_sqmuxa_0_c: LUT2 generic map( INIT => X"E" ) port map ( I0 => SSRSTATE_0_INT_20, I1 => SSRSTATE_1_INT_21, O => WS_0_SQMUXA_0_C_INT_50); II_un1_r_prstate_12_m7_i_a6: LUT2 generic map( INIT => X"8" ) port map ( I0 => change_3_f0, I1 => CHANGE_INT_69, O => PRSTATE_12_M7_I_A6); II_v_prstate_1_sqmuxa: LUT2 generic map( INIT => X"4" ) port map ( I0 => un7_bus16en, I1 => d16mux_0_sqmuxa, O => PRSTATE_1_SQMUXA); II_ctrl_v_hwdataout_1_0_0HAKL16HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(0), I1 => n_ahbsi_hwdata(16), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_382); II_ctrl_v_hwdataout_1_0_0HAKL17HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(1), I1 => n_ahbsi_hwdata(17), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_383); II_ctrl_v_hwdataout_1_0_0HAKL18HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(2), I1 => n_ahbsi_hwdata(18), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_384); II_ctrl_v_hwdataout_1_0_0HAKL19HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(3), I1 => n_ahbsi_hwdata(19), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_385); II_ctrl_v_hwdataout_1_0_0HAKL20HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(4), I1 => n_ahbsi_hwdata(20), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_386); II_ctrl_v_hwdataout_1_0_0HAKL21HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(5), I1 => n_ahbsi_hwdata(21), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_387); II_ctrl_v_hwdataout_1_0_0HAKL22HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(6), I1 => n_ahbsi_hwdata(22), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_388); II_ctrl_v_hwdataout_1_0_0HAKL23HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(7), I1 => n_ahbsi_hwdata(23), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_389); II_ctrl_v_hwdataout_1_0_0HAKL24HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(8), I1 => n_ahbsi_hwdata(24), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_390); II_ctrl_v_hwdataout_1_0_0HAKL25HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(9), I1 => n_ahbsi_hwdata(25), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_391); II_ctrl_v_hwdataout_1_0_0HAKL26HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(10), I1 => n_ahbsi_hwdata(26), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_392); II_ctrl_v_hwdataout_1_0_0HAKL27HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(11), I1 => n_ahbsi_hwdata(27), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_393); II_ctrl_v_hwdataout_1_0_0HAKL28HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(12), I1 => n_ahbsi_hwdata(28), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_394); II_ctrl_v_hwdataout_1_0_0HAKL29HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(13), I1 => n_ahbsi_hwdata(29), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_395); II_ctrl_v_hwdataout_1_0_0HAKL30HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(14), I1 => n_ahbsi_hwdata(30), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_396); II_ctrl_v_hwdataout_1_0_0HAKL31HAKR: LUT4_L generic map( INIT => X"ACCC" ) port map ( I0 => n_ahbsi_hwdata(15), I1 => n_ahbsi_hwdata(31), I2 => N_SRO_ADDRESS_1_INT_37, I3 => BUS16EN_INT_72, LO => N_397); II_ctrl_v_bwn_1_0_a3_0_1HAKL0HAKR: LUT4_L generic map( INIT => X"0207" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_hsize(0), I2 => hsize_1(1), I3 => SIZE_0_INT_25, LO => N_319_1); II_ctrl_v_bwn_1_0_a3HAKL0HAKR: LUT2 generic map( INIT => X"4" ) port map ( I0 => hsize_1(1), I1 => haddr_0, O => N_317); II_v_writen_0_sqmuxa_0_0: LUT4 generic map( INIT => X"4F00" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => SSRSTATE_2_INT_22, I3 => SSRSTATE_2_I_INT_55, O => WRITEN_0_SQMUXA_0_0); II_v_ssrhready_2_sqmuxa_0_0: LUT3 generic map( INIT => X"40" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => SSRSTATE_2_INT_22, O => SSRHREADY_2_SQMUXA_0_0_INT_62); II_v_bdrive_0_sqmuxa_2_0_0: LUT4_L generic map( INIT => X"01FF" ) port map ( I0 => N_668, I1 => PRSTATE_1_INT_28, I2 => PRSTATE_2_REP1_INT_59, I3 => SETBDRIVE, LO => BDRIVE_0_SQMUXA_2_0_0); II_r_d16muxc_0_1: LUT4 generic map( INIT => X"000E" ) port map ( I0 => N_SRO_ADDRESS_1_INT_37, I1 => UN17_BUS16EN, I2 => PRSTATE_0_INT_27, I3 => PRSTATE_5_INT_32, O => D16MUXC_0_1); II_ctrl_v_bdrive_1_iv_0_1: LUT4 generic map( INIT => X"BBBF" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => SETBDRIVE, I2 => SSRSTATE_0_INT_20, I3 => SSRSTATE_1_INT_21, O => BDRIVE_1_IV_0_1); II_v_ssrstate_11HAKL0HAKR: LUT2 generic map( INIT => X"4" ) port map ( I0 => D16MUXC_0_4_INT_73, I1 => SSRSTATE_0_INT_20, O => SSRSTATE_11(0)); II_v_bdrive_1_sqmuxa: LUT4 generic map( INIT => X"CC4C" ) port map ( I0 => SSRSTATE23_1, I1 => SSRSTATE_3_INT_23, I2 => WS_0_INT_16, I3 => WS_3_INT_19, O => BDRIVE_1_SQMUXA); II_v_ssrhready_2_sqmuxa_m2: LUT4 generic map( INIT => X"2A7F" ) port map ( I0 => n_ahbsi_hready, I1 => n_ahbsi_hsel(0), I2 => n_ahbsi_htrans(1), I3 => HSEL_INT_58, O => CHANGE_1_SQMUXA_N_3_INT_63); II_ctrl_hwrite_1_0: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_hwrite, I2 => HWRITE, O => HWRITE_1); II_haddr_0HAKL3HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(3), I2 => HADDR(3), O => NN_1); II_haddr_0HAKL4HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(4), I2 => HADDR(4), O => NN_2); II_haddr_0HAKL5HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(5), I2 => HADDR(5), O => NN_3); II_haddr_0HAKL6HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(6), I2 => HADDR(6), O => NN_4); II_haddr_0HAKL7HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(7), I2 => HADDR(7), O => NN_5); II_haddr_0HAKL8HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(8), I2 => HADDR(8), O => NN_6); II_haddr_0HAKL9HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(9), I2 => HADDR(9), O => NN_7); II_haddr_0HAKL10HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(10), I2 => HADDR(10), O => NN_8); II_haddr_0HAKL11HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662_INT_60, I1 => n_ahbsi_haddr(11), I2 => HADDR(11), O => NN_9); II_ctrl_v_hsel_5_0: LUT4 generic map( INIT => X"D580" ) port map ( I0 => n_ahbsi_hready, I1 => n_ahbsi_hsel(0), I2 => n_ahbsi_htrans(1), I3 => HSEL_INT_58, O => HSEL_5_INT_67); II_ctrl_v_ws_1_1_0HAKL2HAKR: LUT4 generic map( INIT => X"F780" ) port map ( I0 => N_SRO_ROMSN_0_INT_12, I1 => rst, I2 => IOWS(2), I3 => ROMWWS(2), O => N_363); II_ctrl_v_ws_1_1_0HAKL1HAKR: LUT4 generic map( INIT => X"F780" ) port map ( I0 => N_SRO_ROMSN_0_INT_12, I1 => rst, I2 => IOWS(1), I3 => ROMWWS(1), O => N_362); II_v_ws_3_sqmuxa_1_a0_2: LUT4 generic map( INIT => X"8000" ) port map ( I0 => n_ahbsi_hsel(0), I1 => n_ahbsi_htrans(0), I2 => n_ahbsi_htrans(1), I3 => SSRSTATE_1_INT_21, O => WS_3_SQMUXA_1_A0_2); II_r_d16muxc_2: LUT3 generic map( INIT => X"02" ) port map ( I0 => BUS16EN_INT_72, I1 => WS_0_INT_16, I2 => WS_3_INT_19, O => D16MUXC_2); II_r_d16muxc_1: LUT4 generic map( INIT => X"0020" ) port map ( I0 => PRSTATE_3_INT_30, I1 => SIZE_0_INT_25, I2 => SIZE_1_INT_26, I3 => WS_1_INT_17, O => D16MUXC_1); II_un1_v_ssrstate17_2_0_m6_i_a3_a0_1: LUT2 generic map( INIT => X"4" ) port map ( I0 => HMBSEL_1_INT_34, I1 => HSEL_INT_58, O => SSRSTATE17_2_0_M6_I_A3_A0_1); II_un1_v_ssrstate17_2_0_m6_i_a3_a2: LUT4 generic map( INIT => X"4000" ) port map ( I0 => n_ahbsi_hmbsel(1), I1 => n_ahbsi_hready, I2 => n_ahbsi_hsel(0), I3 => n_ahbsi_htrans(1), O => ssrstate17_2_0_m6_i_a3_a2); II_v_ws_1_sqmuxa: LUT3 generic map( INIT => X"40" ) port map ( I0 => N_SRO_ROMSN_0_INT_12, I1 => rst, I2 => PRSTATE_4_INT_31, O => WS_1_SQMUXA_INT_52); II_ctrl_v_ssrstate10: LUT4 generic map( INIT => X"0002" ) port map ( I0 => WS_0_INT_16, I1 => WS_1_INT_17, I2 => WS_2_INT_18, I3 => WS_3_INT_19, O => SSRSTATE10); II_r_d16muxc_0_4: LUT4 generic map( INIT => X"0001" ) port map ( I0 => WS_0_INT_16, I1 => WS_1_INT_17, I2 => WS_2_INT_18, I3 => WS_3_INT_19, O => D16MUXC_0_4_INT_73); II_un1_r_ssrstate_3: LUT3 generic map( INIT => X"8A" ) port map ( I0 => d_m2_0_a2_0, I1 => SETBDRIVE, I2 => SSRSTATE_3_INT_23, O => SSRSTATE_3); II_v_ws_0_sqmuxa_c: LUT3 generic map( INIT => X"EF" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => SSRSTATE_1_INT_21, O => WS_0_SQMUXA_C_INT_49); II_un1_r_prstate_12_0: LUT3 generic map( INIT => X"01" ) port map ( I0 => PRSTATE_0_INT_27, I1 => PRSTATE_1_INT_28, I2 => PRSTATE_2_REP1_INT_59, O => PRSTATE_12_0); II_regsdHAKL8HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWIDTH(0), O => n_apbo_prdata_8); II_regsdHAKL9HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWIDTH(1), O => n_apbo_prdata_9); II_regsdHAKL11HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWRITE, O => n_apbo_prdata_11); II_regsdHAKL1HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMRWS(1), O => n_apbo_prdata_1); II_regsdHAKL2HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMRWS(2), O => n_apbo_prdata_2); II_regsdHAKL3HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMRWS_3_INT_10, O => n_apbo_prdata_3); II_regsdHAKL5HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWWS(1), O => n_apbo_prdata_5); II_regsdHAKL6HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWWS(2), O => n_apbo_prdata_6); II_regsdHAKL7HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWWS_3_INT_8, O => n_apbo_prdata_7); II_regsdHAKL19HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => IOEN, O => n_apbo_prdata_19); II_regsdHAKL21HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => IOWS(1), O => n_apbo_prdata_21); II_regsdHAKL22HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => IOWS(2), O => n_apbo_prdata_22); II_regsdHAKL23HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => IOWS_3_INT_6, O => n_apbo_prdata_23); II_regsdHAKL20HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => IOWS_0_INT_5, O => n_apbo_prdata_20); II_regsdHAKL4HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMWWS_0_INT_7, O => n_apbo_prdata_4); II_regsdHAKL0HAKR: LUT3 generic map( INIT => X"10" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), I2 => ROMRWS_0_INT_9, O => n_apbo_prdata_0); II_v_hmbsel_0_sqmuxa: LUT2 generic map( INIT => X"8" ) port map ( I0 => n_ahbsi_hready, I1 => hsel_4, O => HMBSEL_0_SQMUXA); II_v_data16_0_sqmuxa: LUT2 generic map( INIT => X"8" ) port map ( I0 => BUS16EN_INT_72, I1 => PRSTATE_4_INT_31, O => DATA16_0_SQMUXA); II_hrdata_0HAKL31HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(15), I2 => HRDATA(31), O => n_ahbso_hrdata(31)); II_hrdata_0HAKL30HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(14), I2 => HRDATA(30), O => n_ahbso_hrdata(30)); II_hrdata_0HAKL29HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(13), I2 => HRDATA(29), O => n_ahbso_hrdata(29)); II_hrdata_0HAKL28HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(12), I2 => HRDATA(28), O => n_ahbso_hrdata(28)); II_hrdata_0HAKL27HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(11), I2 => HRDATA(27), O => n_ahbso_hrdata(27)); II_hrdata_0HAKL26HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(10), I2 => HRDATA(26), O => n_ahbso_hrdata(26)); II_hrdata_0HAKL25HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(9), I2 => HRDATA(25), O => n_ahbso_hrdata(25)); II_hrdata_0HAKL24HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(8), I2 => HRDATA(24), O => n_ahbso_hrdata(24)); II_hrdata_0HAKL23HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(7), I2 => HRDATA(23), O => n_ahbso_hrdata(23)); II_hrdata_0HAKL22HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(6), I2 => HRDATA(22), O => n_ahbso_hrdata(22)); II_hrdata_0HAKL21HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(5), I2 => HRDATA(21), O => n_ahbso_hrdata(21)); II_hrdata_0HAKL20HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(4), I2 => HRDATA(20), O => n_ahbso_hrdata(20)); II_hrdata_0HAKL19HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(3), I2 => HRDATA(19), O => n_ahbso_hrdata(19)); II_hrdata_0HAKL18HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(2), I2 => HRDATA(18), O => n_ahbso_hrdata(18)); II_hrdata_0HAKL17HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(1), I2 => HRDATA(17), O => n_ahbso_hrdata(17)); II_hrdata_0HAKL16HAKR: LUT3 generic map( INIT => X"D8" ) port map ( I0 => D16MUX(0), I1 => DATA16(0), I2 => HRDATA(16), O => n_ahbso_hrdata(16)); II_hrdata_0HAKL15HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(15), I2 => HRDATA(31), O => n_ahbso_hrdata(15)); II_hrdata_0HAKL14HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(14), I2 => HRDATA(30), O => n_ahbso_hrdata(14)); II_hrdata_0HAKL13HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(13), I2 => HRDATA(29), O => n_ahbso_hrdata(13)); II_hrdata_0HAKL12HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(12), I2 => HRDATA(28), O => n_ahbso_hrdata(12)); II_hrdata_0HAKL11HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(11), I2 => HRDATA(27), O => n_ahbso_hrdata(11)); II_hrdata_0HAKL10HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(10), I2 => HRDATA(26), O => n_ahbso_hrdata(10)); II_hrdata_0HAKL9HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(9), I2 => HRDATA(25), O => n_ahbso_hrdata(9)); II_hrdata_0HAKL8HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(8), I2 => HRDATA(24), O => n_ahbso_hrdata(8)); II_hrdata_0HAKL7HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(7), I2 => HRDATA(23), O => n_ahbso_hrdata(7)); II_hrdata_0HAKL6HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(6), I2 => HRDATA(22), O => n_ahbso_hrdata(6)); II_hrdata_0HAKL5HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(5), I2 => HRDATA(21), O => n_ahbso_hrdata(5)); II_hrdata_0HAKL4HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(4), I2 => HRDATA(20), O => n_ahbso_hrdata(4)); II_hrdata_0HAKL3HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(3), I2 => HRDATA(19), O => n_ahbso_hrdata(3)); II_hrdata_0HAKL2HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(2), I2 => HRDATA(18), O => n_ahbso_hrdata(2)); II_hrdata_0HAKL1HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(1), I2 => HRDATA(17), O => n_ahbso_hrdata(1)); II_hrdata_0HAKL0HAKR: LUT3 generic map( INIT => X"E4" ) port map ( I0 => D16MUX(1), I1 => HRDATA(0), I2 => HRDATA(16), O => n_ahbso_hrdata(0)); II_ctrl_v_bdrive_1_iv_0_a0_0: LUT2 generic map( INIT => X"4" ) port map ( I0 => PRSTATE_1_INT_28, I1 => SETBDRIVE, O => BDRIVE_1_IV_0_A4_0); II_ctrl_hwrite6: LUT2 generic map( INIT => X"4" ) port map ( I0 => CHANGE_INT_69, I1 => PRHREADY_INT_48, O => N_662_INT_60); II_ctrl_regsd24: LUT2 generic map( INIT => X"1" ) port map ( I0 => n_apbi_paddr(2), I1 => n_apbi_paddr(3), O => N_APBO_PRDATA_28_INT_11); II_ctrl_bus16en: LUT2 generic map( INIT => X"2" ) port map ( I0 => ROMWIDTH(0), I1 => ROMWIDTH(1), O => BUS16EN_INT_72); II_ctrl_v_ssrstate23_1: LUT2 generic map( INIT => X"1" ) port map ( I0 => WS_1_INT_17, I1 => WS_2_INT_18, O => SSRSTATE23_1); II_ctrl_un1_ahbsi: LUT2 generic map( INIT => X"1" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), O => UN1_AHBSI_INT_68); II_un1_r_ssrstate_2: LUT2 generic map( INIT => X"1" ) port map ( I0 => SSRSTATE_0_INT_20, I1 => SSRSTATE_3_INT_23, O => SSRSTATE_2_I_INT_55); II_ctrl_un17_bus16en: LUT2 generic map( INIT => X"2" ) port map ( I0 => SIZE_0_INT_25, I1 => SIZE_1_INT_26, O => UN17_BUS16EN); II_un1_r_ssrstate_1: LUT2 generic map( INIT => X"1" ) port map ( I0 => SSRSTATE_2_INT_22, I1 => SSRSTATE_4_INT_24, O => N_668); II_r_haddrHAKL1HAKR: FDSE port map ( Q => N_SRO_ADDRESS_1_INT_37, D => n_ahbsi_haddr(1), C => clk, S => PRHREADY_0_SQMUXA, CE => HMBSEL_0_SQMUXA); II_r_prstateHAKL5HAKR: FDS port map ( Q => PRSTATE_5_INT_32, D => PRSTATES_I, C => clk, S => RST_I); II_r_prstateHAKL4HAKR: FDS port map ( Q => PRSTATE_4_INT_31, D => N_342, C => clk, S => N_341); II_r_prstateHAKL3HAKR: FDR port map ( Q => PRSTATE_3_INT_30, D => PRSTATEC, C => clk, R => RST_I); II_r_prstateHAKL2HAKR: FDR port map ( Q => PRSTATE_2_INT_29, D => PRSTATEC_0, C => clk, R => RST_I); II_r_prstateHAKL1HAKR: FDR port map ( Q => PRSTATE_1_INT_28, D => N_337_I, C => clk, R => RST_I); II_r_prstateHAKL0HAKR: FDR port map ( Q => PRSTATE_0_INT_27, D => PRSTATEC_1, C => clk, R => RST_I); II_r_ssrstateHAKL1HAKR: FDR port map ( Q => SSRSTATE_1_INT_21, D => ssrstatec, C => clk, R => RST_I); II_r_ssrstateHAKL0HAKR: FDR port map ( Q => SSRSTATE_0_INT_20, D => SSRSTATEC_0, C => clk, R => RST_I); II_rbdriveHAKL28HAKR: FDR port map ( Q => n_sro_vbdrive(28), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL29HAKR: FDR port map ( Q => n_sro_vbdrive(29), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL30HAKR: FDR port map ( Q => n_sro_vbdrive(30), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL31HAKR: FDR port map ( Q => n_sro_vbdrive(31), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL13HAKR: FDR port map ( Q => n_sro_vbdrive(13), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL14HAKR: FDR port map ( Q => n_sro_vbdrive(14), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL15HAKR: FDR port map ( Q => n_sro_vbdrive(15), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL16HAKR: FDR port map ( Q => n_sro_vbdrive(16), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL17HAKR: FDR port map ( Q => n_sro_vbdrive(17), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL18HAKR: FDR port map ( Q => n_sro_vbdrive(18), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL19HAKR: FDR port map ( Q => n_sro_vbdrive(19), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL20HAKR: FDR port map ( Q => n_sro_vbdrive(20), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL21HAKR: FDR port map ( Q => n_sro_vbdrive(21), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL22HAKR: FDR port map ( Q => n_sro_vbdrive(22), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL23HAKR: FDR port map ( Q => n_sro_vbdrive(23), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL24HAKR: FDR port map ( Q => n_sro_vbdrive(24), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL25HAKR: FDR port map ( Q => n_sro_vbdrive(25), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL26HAKR: FDR port map ( Q => n_sro_vbdrive(26), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL27HAKR: FDR port map ( Q => n_sro_vbdrive(27), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL0HAKR: FDR port map ( Q => n_sro_vbdrive(0), D => RBDRIVEC_18, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL1HAKR: FDR port map ( Q => n_sro_vbdrive(1), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL2HAKR: FDR port map ( Q => n_sro_vbdrive(2), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL3HAKR: FDR port map ( Q => n_sro_vbdrive(3), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL4HAKR: FDR port map ( Q => n_sro_vbdrive(4), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL5HAKR: FDR port map ( Q => n_sro_vbdrive(5), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL6HAKR: FDR port map ( Q => n_sro_vbdrive(6), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL7HAKR: FDR port map ( Q => n_sro_vbdrive(7), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL8HAKR: FDR port map ( Q => n_sro_vbdrive(8), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL9HAKR: FDR port map ( Q => n_sro_vbdrive(9), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL10HAKR: FDR port map ( Q => n_sro_vbdrive(10), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL11HAKR: FDR port map ( Q => n_sro_vbdrive(11), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_rbdriveHAKL12HAKR: FDR port map ( Q => n_sro_vbdrive(12), D => RBDRIVEC, C => clk, R => BDRIVE_1_IV_0_A1); II_r_d16muxHAKL0HAKR: FDR port map ( Q => D16MUX(0), D => D16MUXC, C => clk, R => WS_2_INT_18); II_r_d16muxHAKL1HAKR: FDR port map ( Q => D16MUX(1), D => D16MUXC_0, C => clk, R => PRSTATE_2_INT_29); II_r_acount_sHAKL9HAKR: XORCY port map ( LI => ACOUNT_QXU(9), CI => ACOUNT_CRY(8), O => ACOUNT_S(9)); II_r_acount_sHAKL8HAKR: XORCY port map ( LI => ACOUNT_QXU(8), CI => ACOUNT_CRY(7), O => ACOUNT_S(8)); II_r_acount_cryHAKL8HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(7), S => ACOUNT_QXU(8), LO => ACOUNT_CRY(8)); II_r_acount_sHAKL7HAKR: XORCY port map ( LI => ACOUNT_QXU(7), CI => ACOUNT_CRY(6), O => ACOUNT_S(7)); II_r_acount_cryHAKL7HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(6), S => ACOUNT_QXU(7), LO => ACOUNT_CRY(7)); II_r_acount_sHAKL6HAKR: XORCY port map ( LI => ACOUNT_QXU(6), CI => ACOUNT_CRY(5), O => ACOUNT_S(6)); II_r_acount_cryHAKL6HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(5), S => ACOUNT_QXU(6), LO => ACOUNT_CRY(6)); II_r_acount_sHAKL5HAKR: XORCY port map ( LI => ACOUNT_QXU(5), CI => ACOUNT_CRY(4), O => ACOUNT_S(5)); II_r_acount_cryHAKL5HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(4), S => ACOUNT_QXU(5), LO => ACOUNT_CRY(5)); II_r_acount_sHAKL4HAKR: XORCY port map ( LI => ACOUNT_QXU(4), CI => ACOUNT_CRY(3), O => ACOUNT_S(4)); II_r_acount_cryHAKL4HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(3), S => ACOUNT_QXU(4), LO => ACOUNT_CRY(4)); II_r_acount_sHAKL3HAKR: XORCY port map ( LI => ACOUNT_QXU(3), CI => ACOUNT_CRY(2), O => ACOUNT_S(3)); II_r_acount_cryHAKL3HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(2), S => ACOUNT_QXU(3), LO => ACOUNT_CRY(3)); II_r_acount_sHAKL2HAKR: XORCY port map ( LI => ACOUNT_QXU(2), CI => ACOUNT_CRY(1), O => ACOUNT_S(2)); II_r_acount_cryHAKL2HAKR: MUXCY_L port map ( DI => NN_10, CI => ACOUNT_CRY(1), S => ACOUNT_QXU(2), LO => ACOUNT_CRY(2)); II_r_acount_sHAKL1HAKR: XORCY port map ( LI => ACOUNT_QXU(1), CI => N_SRO_ADDRESS_2_INT_38, O => ACOUNT_S(1)); II_r_acount_cryHAKL1HAKR: MUXCY_L port map ( DI => NN_10, CI => N_SRO_ADDRESS_2_INT_38, S => ACOUNT_QXU(1), LO => ACOUNT_CRY(1)); II_r_hsel: FDRE port map ( Q => HSEL_INT_58, D => hsel_4, C => clk, R => RST_I, CE => n_ahbsi_hready); II_r_mcfg1_ioen: FDRE port map ( Q => IOEN, D => IOEN_1, C => clk, R => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romwrite: FDRE port map ( Q => ROMWRITE, D => ROMWRITE_1, C => clk, R => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_iowsHAKL3HAKR: FDRE port map ( Q => IOWS_3_INT_6, D => IOWS_1(3), C => clk, R => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_iowsHAKL2HAKR: FDRE port map ( Q => IOWS(2), D => IOWS_1(2), C => clk, R => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_iowsHAKL1HAKR: FDRE port map ( Q => IOWS(1), D => IOWS_1(1), C => clk, R => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_iowsHAKL0HAKR: FDRE port map ( Q => IOWS_0_INT_5, D => IOWS_1(0), C => clk, R => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romrwsHAKL3HAKR: FDSE port map ( Q => ROMRWS_3_INT_10, D => N_627_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romrwsHAKL2HAKR: FDSE port map ( Q => ROMRWS(2), D => N_628_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romrwsHAKL1HAKR: FDSE port map ( Q => ROMRWS(1), D => N_629_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romrwsHAKL0HAKR: FDSE port map ( Q => ROMRWS_0_INT_9, D => N_630_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romwwsHAKL3HAKR: FDSE port map ( Q => ROMWWS_3_INT_8, D => N_623_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romwwsHAKL2HAKR: FDSE port map ( Q => ROMWWS(2), D => N_624_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romwwsHAKL1HAKR: FDSE port map ( Q => ROMWWS(1), D => N_625_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_mcfg1_romwwsHAKL0HAKR: FDSE port map ( Q => ROMWWS_0_INT_7, D => N_626_I, C => clk, S => RST_I, CE => BEXCEN_1_SQMUXA_I); II_r_writen: FDSE port map ( Q => n_sro_writen, D => N_635_I, C => clk, S => RST_I, CE => WRITEN_2_SQMUXA); II_r_loadcount: FDS port map ( Q => loadcount, D => loadcount_7, C => clk, S => RST_I); II_r_setbdrive: FDRE port map ( Q => SETBDRIVE, D => SSRSTATE_1_INT_21, C => clk, R => RST_I, CE => SSRSTATE_3); II_r_ssrhready: FDS port map ( Q => SSRHREADY_INT_51, D => ssrhready_8, C => clk, S => RST_I); II_r_prhready: FDS port map ( Q => PRHREADY_INT_48, D => PRHREADY_6, C => clk, S => RST_I); II_r_romsn: FDSE port map ( Q => N_SRO_ROMSN_0_INT_12, D => ROMSN_1, C => clk, S => RST_I, CE => N_599_I); II_r_hready: FDS port map ( Q => n_ahbso_hready, D => hready_2, C => clk, S => RST_I); II_GND: GND port map ( G => NN_10); II_VCC: VCC port map ( P => NN_11); iows_0 <= IOWS_0_INT_5; iows_3 <= IOWS_3_INT_6; romwws_0 <= ROMWWS_0_INT_7; romwws_3 <= ROMWWS_3_INT_8; romrws_0 <= ROMRWS_0_INT_9; romrws_3 <= ROMRWS_3_INT_10; n_apbo_prdata_28 <= N_APBO_PRDATA_28_INT_11; n_sro_romsn(0) <= N_SRO_ROMSN_0_INT_12; prstate_fast(2) <= PRSTATE_FAST_2_INT_13; hmbsel_4(1) <= HMBSEL_4_1_INT_14; n_sro_bdrive(3) <= N_SRO_BDRIVE_3_INT_15; ws(0) <= WS_0_INT_16; ws(1) <= WS_1_INT_17; ws(2) <= WS_2_INT_18; ws(3) <= WS_3_INT_19; ssrstate(0) <= SSRSTATE_0_INT_20; ssrstate(1) <= SSRSTATE_1_INT_21; ssrstate(2) <= SSRSTATE_2_INT_22; ssrstate(3) <= SSRSTATE_3_INT_23; ssrstate(4) <= SSRSTATE_4_INT_24; size(0) <= SIZE_0_INT_25; size(1) <= SIZE_1_INT_26; prstate(0) <= PRSTATE_0_INT_27; prstate(1) <= PRSTATE_1_INT_28; prstate(2) <= PRSTATE_2_INT_29; prstate(3) <= PRSTATE_3_INT_30; prstate(4) <= PRSTATE_4_INT_31; prstate(5) <= PRSTATE_5_INT_32; hmbsel(0) <= HMBSEL_0_INT_33; hmbsel(1) <= HMBSEL_1_INT_34; hmbsel(2) <= HMBSEL_2_INT_35; n_sro_address(0) <= N_SRO_ADDRESS_0_INT_36; n_sro_address(1) <= N_SRO_ADDRESS_1_INT_37; n_sro_address(2) <= N_SRO_ADDRESS_2_INT_38; n_sro_address(3) <= N_SRO_ADDRESS_3_INT_39; n_sro_address(4) <= N_SRO_ADDRESS_4_INT_40; n_sro_address(5) <= N_SRO_ADDRESS_5_INT_41; n_sro_address(6) <= N_SRO_ADDRESS_6_INT_42; n_sro_address(7) <= N_SRO_ADDRESS_7_INT_43; n_sro_address(8) <= N_SRO_ADDRESS_8_INT_44; n_sro_address(9) <= N_SRO_ADDRESS_9_INT_45; n_sro_address(10) <= N_SRO_ADDRESS_10_INT_46; n_sro_address(11) <= N_SRO_ADDRESS_11_INT_47; prhready <= PRHREADY_INT_48; ws_0_sqmuxa_c <= WS_0_SQMUXA_C_INT_49; ws_0_sqmuxa_0_c <= WS_0_SQMUXA_0_C_INT_50; ssrhready <= SSRHREADY_INT_51; ws_1_sqmuxa <= WS_1_SQMUXA_INT_52; ws_3_sqmuxa_1 <= WS_3_SQMUXA_1_INT_53; ws_2_sqmuxa_3_0_2 <= WS_2_SQMUXA_3_0_2_INT_54; ssrstate_2_i <= SSRSTATE_2_I_INT_55; ws_2_sqmuxa_3_d <= WS_2_SQMUXA_3_D_INT_56; ws_0_sqmuxa_1 <= WS_0_SQMUXA_1_INT_57; hsel <= HSEL_INT_58; prstate_2_rep1 <= PRSTATE_2_REP1_INT_59; N_662 <= N_662_INT_60; ssrstate_6_sqmuxa <= SSRSTATE_6_SQMUXA_INT_61; ssrhready_2_sqmuxa_0_0 <= SSRHREADY_2_SQMUXA_0_0_INT_62; change_1_sqmuxa_N_3 <= CHANGE_1_SQMUXA_N_3_INT_63; ssrstate6_xx_mm_m3 <= SSRSTATE6_XX_MM_M3_INT_64; ssrstate6_1_d_0_L1 <= SSRSTATE6_1_D_0_L1_INT_65; N_656 <= N_656_INT_66; hsel_5 <= HSEL_5_INT_67; un1_ahbsi <= UN1_AHBSI_INT_68; change <= CHANGE_INT_69; n_sro_iosn <= N_SRO_IOSN_INT_70; N_371 <= N_371_INT_71; bus16en <= BUS16EN_INT_72; d16muxc_0_4 <= D16MUXC_0_4_INT_73; end beh; -- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; architecture beh of ssrctrl_unisim is signal WRP_R_HMBSEL : std_logic_vector (2 downto 0); signal WRP_R_WS : std_logic_vector (3 downto 0); signal WRP_R_SIZE : std_logic_vector (1 downto 0); signal WRP_R_PRSTATE : std_logic_vector (5 downto 0); signal WRP_R_SSRSTATE : std_logic_vector (4 downto 0); signal WRP_R_MCFG1_ROMRWS : std_logic_vector (3 downto 0); signal WRP_R_MCFG1_ROMWWS : std_logic_vector (3 downto 0); signal WRP_R_MCFG1_IOWS : std_logic_vector (3 downto 0); signal WRP_CTRL_V_SSRSTATE_1 : std_logic_vector (4 to 4); signal WRP_CTRL_V_SSRSTATE_1_M1 : std_logic_vector (4 downto 3); signal WRP_CTRL_V_WS_1 : std_logic_vector (3 downto 0); signal WRP_CTRL_V_HMBSEL_4 : std_logic_vector (1 to 1); signal WRP_NONAME_CNST : std_logic_vector (0 to 0); signal WRP_CTRL_HSIZE_1 : std_logic_vector (1 to 1); signal WRP_HADDR : std_logic_vector (1 to 1); signal WRP_UN1_V_HSEL_1 : std_logic_vector (0 to 0); signal WRP_CTRL_V_BWN_1_0_O3 : std_logic_vector (0 to 0); signal WRP_V_PRSTATE_1_I_O4_S : std_logic_vector (2 to 2); signal WRP_R_PRSTATE_FAST : std_logic_vector (2 to 2); signal N_SRO_ADDRESS_0_INT_172 : std_logic ; signal N_SRO_ADDRESS_1_INT_173 : std_logic ; signal N_SRO_IOSN_INT_259 : std_logic ; signal N_SRO_ROMSN_0_INT_260 : std_logic ; signal WRP_R_PRHREADY : std_logic ; signal WRP_R_CHANGE : std_logic ; signal WRP_CTRL_V_HSEL_5 : std_logic ; signal WRP_R_HSEL : std_logic ; signal WRP_CTRL_V_LOADCOUNT_7 : std_logic ; signal WRP_R_LOADCOUNT : std_logic ; signal WRP_CTRL_V_SSRHREADY_8 : std_logic ; signal WRP_R_SSRHREADY : std_logic ; signal WRP_CTRL_V_HREADY_2 : std_logic ; signal N_574_I : std_logic ; signal WRP_CTRL_BUS16EN : std_logic ; signal WRP_CTRL_V_HSEL_4 : std_logic ; signal WRP_CTRL_UN7_BUS16EN : std_logic ; signal WRP_V_D16MUX_0_SQMUXA : std_logic ; signal N_593 : std_logic ; signal N_597 : std_logic ; signal N_596 : std_logic ; signal WRP_V_SSRSTATE_2_SQMUXA_1 : std_logic ; signal WRP_V_SSRSTATE_6_SQMUXA : std_logic ; signal WRP_V_SSRSTATE_1_SQMUXA_1 : std_logic ; signal WRP_UN1_R_SSRSTATE_2_I : std_logic ; signal WRP_V_WS_0_SQMUXA_1 : std_logic ; signal WRP_V_BWN_0_SQMUXA_1 : std_logic ; signal WRP_V_WS_1_SQMUXA : std_logic ; signal N_646 : std_logic ; signal WRP_V_WS_3_SQMUXA_1 : std_logic ; signal N_662 : std_logic ; signal WRP_V_LOADCOUNT_1_SQMUXA : std_logic ; signal N_319_1 : std_logic ; signal WRP_CTRL_UN1_AHBSI : std_logic ; signal N_622 : std_logic ; signal WRP_UN1_V_SSRSTATE_2_SQMUXA_I : std_logic ; signal N_365 : std_logic ; signal N_371 : std_logic ; signal N_656 : std_logic ; signal WRP_UN1_V_CHANGE_1_SQMUXA_0 : std_logic ; signal G0_25 : std_logic ; signal WRP_CTRL_V_CHANGE_3_F0 : std_logic ; signal WRP_UN1_V_BWN_1_SQMUXA_2_D : std_logic ; signal WRP_CTRL_UN1_V_SSRSTATE17_1_XX_MM_N_4 : std_logic ; signal SSRSTATE17_2_0_M6_I_A3_A2 : std_logic ; signal SSRSTATE6_XX_MM_M3 : std_logic ; signal WRP_V_CHANGE_1_SQMUXA_N_3 : std_logic ; signal WRP_CTRL_V_CHANGE_3_F1_D_0_0 : std_logic ; signal WRP_V_WS_2_SQMUXA_3_D : std_logic ; signal WRP_V_WS_0_SQMUXA_C : std_logic ; signal WRP_V_WS_0_SQMUXA_0_C : std_logic ; signal G0_30 : std_logic ; signal D_M2_0_A2_0 : std_logic ; signal N_618_I : std_logic ; signal WRP_R_SSRSTATEC : std_logic ; signal WRP_R_D16MUXC_0_4 : std_logic ; signal WRP_V_WS_4_SQMUXA_0 : std_logic ; signal D_M1_E_0_0 : std_logic ; signal WRP_V_WS_2_SQMUXA_0 : std_logic ; signal WRP_V_SSRSTATE_1_SQMUXA_1_XX_MM_A1_0 : std_logic ; signal WRP_UN1_V_SSRSTATE17_2_0_M6_I_1 : std_logic ; signal WRP_V_SSRHREADY_2_SQMUXA_0_0 : std_logic ; signal WRP_V_WS_2_SQMUXA_3_0_2 : std_logic ; signal WRP_V_WS_2_SQMUXA_3_0_4 : std_logic ; signal WRP_UN1_V_BWN_1_SQMUXA_2_D_0_2 : std_logic ; signal WRP_UN1_V_SSRSTATE_1_SQMUXA_1_0_M3_0_1 : std_logic ; signal SSRSTATE6_1_D_0_L1 : std_logic ; signal HSEL_1_0_L3 : std_logic ; signal SSRHREADY_8_F0_L5 : std_logic ; signal SSRHREADY_8_F0_L8 : std_logic ; signal G0_I : std_logic ; signal N_5 : std_logic ; signal G0_23 : std_logic ; signal N_14 : std_logic ; signal N_19 : std_logic ; signal G0_34 : std_logic ; signal G0_29 : std_logic ; signal G0_28 : std_logic ; signal G0_31 : std_logic ; signal G2_1_0 : std_logic ; signal G0_44 : std_logic ; signal G0_I_M2_1 : std_logic ; signal G0_14 : std_logic ; signal G0_7_0 : std_logic ; signal G0_1_0 : std_logic ; signal G3 : std_logic ; signal N_4 : std_logic ; signal N_17 : std_logic ; signal G0_5_0 : std_logic ; signal G0_11 : std_logic ; signal G0_I_A3_0 : std_logic ; signal G0_51 : std_logic ; signal G0_8_1 : std_logic ; signal G0_56 : std_logic ; signal G0_I_M2_2 : std_logic ; signal N_9 : std_logic ; signal G0_8 : std_logic ; signal G0_I_M2_L1 : std_logic ; signal G0_54_L1 : std_logic ; signal G0_57_1 : std_logic ; signal G0_34_L1_0 : std_logic ; signal G0_34_L6 : std_logic ; signal G0_34_L10 : std_logic ; signal G0_55_L1 : std_logic ; signal G0_55_L5 : std_logic ; signal G0_55_L7 : std_logic ; signal G0_19_L1 : std_logic ; signal G0_52_X0 : std_logic ; signal G0_52_X1 : std_logic ; signal G0_50_X : std_logic ; signal WS_2_SQMUXA_3_0_X : std_logic ; signal D_M1_E_L1 : std_logic ; signal G0_57_1_L5 : std_logic ; signal G0_57_1_L7 : std_logic ; signal G0_55_L5_L1 : std_logic ; signal G0_55_L7_L1 : std_logic ; signal G0_36_L1 : std_logic ; signal G0_48_L1 : std_logic ; signal G0_34_L10_L1 : std_logic ; signal G0_34_L10_L3 : std_logic ; signal G0_57_1_L7_L4 : std_logic ; signal G0_57_1_L7_L6 : std_logic ; signal G0_57_1_L7_L8 : std_logic ; signal WRP_R_PRSTATE_2_REP1 : std_logic ; signal D_M1_E_L1_0 : std_logic ; signal D_M1_E_L3 : std_logic ; signal G0_I_M2_0_L1 : std_logic ; signal G0_I_M2_0_L3 : std_logic ; signal G0_I_M2_0_L5 : std_logic ; signal G3_1 : std_logic ; signal G0_0_L1 : std_logic ; signal G0_0_L3 : std_logic ; signal G0_0_L5 : std_logic ; signal G0_0_L7 : std_logic ; signal G0_0_L9 : std_logic ; signal G0_57_1_L7_L6_RN_0 : std_logic ; signal G0_57_1_L7_L6_SN : std_logic ; signal G0_55_L7_L1_RN_0 : std_logic ; signal G0_55_L7_L1_SN : std_logic ; signal G0_52X : std_logic ; signal G0_52X_0 : std_logic ; signal G2_0_1 : std_logic ; signal G0_46_L1 : std_logic ; signal G0_46_L3 : std_logic ; signal G0_46_L5 : std_logic ; signal G0_46_L7 : std_logic ; signal NN_1 : std_logic ; signal N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268 : std_logic ; signal N_SRO_BDRIVE_3_INT_269_INT_270_INT_271_INT_272 : std_logic ; signal NN_2 : std_logic ; component ssrctrl_unisim_netlist port( n_sro_vbdrive : out std_logic_vector(31 downto 0); n_ahbso_hrdata : out std_logic_vector(31 downto 0); iows_0 : out std_logic; iows_3 : out std_logic; romwws_0 : out std_logic; romwws_3 : out std_logic; romrws_0 : out std_logic; romrws_3 : out std_logic; NoName_cnst : in std_logic_vector(0 downto 0); n_sri_bwidth : in std_logic_vector(1 downto 0); n_apbi_pwdata_19 : in std_logic; n_apbi_pwdata_11 : in std_logic; n_apbi_pwdata_9 : in std_logic; n_apbi_pwdata_8 : in std_logic; n_apbi_pwdata_23 : in std_logic; n_apbi_pwdata_22 : in std_logic; n_apbi_pwdata_21 : in std_logic; n_apbi_pwdata_20 : in std_logic; n_apbi_pwdata_3 : in std_logic; n_apbi_pwdata_2 : in std_logic; n_apbi_pwdata_1 : in std_logic; n_apbi_pwdata_0 : in std_logic; n_apbi_pwdata_7 : in std_logic; n_apbi_pwdata_6 : in std_logic; n_apbi_pwdata_5 : in std_logic; n_apbi_pwdata_4 : in std_logic; n_apbi_psel : in std_logic_vector(0 downto 0); n_apbi_paddr : in std_logic_vector(5 downto 2); n_apbo_prdata_0 : out std_logic; n_apbo_prdata_4 : out std_logic; n_apbo_prdata_20 : out std_logic; n_apbo_prdata_23 : out std_logic; n_apbo_prdata_22 : out std_logic; n_apbo_prdata_21 : out std_logic; n_apbo_prdata_19 : out std_logic; n_apbo_prdata_7 : out std_logic; n_apbo_prdata_6 : out std_logic; n_apbo_prdata_5 : out std_logic; n_apbo_prdata_3 : out std_logic; n_apbo_prdata_2 : out std_logic; n_apbo_prdata_1 : out std_logic; n_apbo_prdata_11 : out std_logic; n_apbo_prdata_9 : out std_logic; n_apbo_prdata_8 : out std_logic; n_apbo_prdata_28 : out std_logic; n_sro_romsn : out std_logic_vector(0 downto 0); n_ahbsi_hsel : in std_logic_vector(0 downto 0); prstate_fast : out std_logic_vector(2 downto 2); n_ahbsi_htrans : in std_logic_vector(1 downto 0); ssrstate_1_m1 : inout std_logic_vector(4 downto 3); hsel_1 : in std_logic_vector(0 downto 0); hmbsel_4 : out std_logic_vector(1 downto 1); n_sro_bdrive : out std_logic_vector(3 downto 3); ws_1_0 : in std_logic; ws_1_3 : in std_logic; ws : out std_logic_vector(3 downto 0); ssrstate_1_2 : in std_logic; n_ahbsi_haddr : in std_logic_vector(31 downto 0); n_ahbsi_hmbsel : in std_logic_vector(2 downto 0); n_sri_data : in std_logic_vector(31 downto 0); ssrstate : out std_logic_vector(4 downto 0); n_ahbsi_hwdata : in std_logic_vector(31 downto 0); n_ahbsi_hsize : in std_logic_vector(1 downto 0); size : out std_logic_vector(1 downto 0); n_sro_data : out std_logic_vector(31 downto 0); n_sro_ramsn : out std_logic_vector(0 downto 0); n_sro_wrn : out std_logic_vector(3 downto 0); haddr_0 : in std_logic; bwn_1_0_o3_0 : in std_logic; hsize_1 : in std_logic_vector(1 downto 1); prstate_1_i_o4_s : in std_logic_vector(2 downto 2); prstate : out std_logic_vector(5 downto 0); hmbsel : out std_logic_vector(2 downto 0); n_sro_address : out std_logic_vector(31 downto 0); hready_2 : in std_logic; n_ahbso_hready : out std_logic; ssrhready_8 : in std_logic; loadcount : out std_logic; n_sro_writen : out std_logic; ssrstatec : in std_logic; prhready : out std_logic; d_m2_0_a2_0 : in std_logic; ssrstate17_2_0_m6_i_a3_a2 : out std_logic; N_319_1 : out std_logic; ws_0_sqmuxa_c : out std_logic; N_365 : in std_logic; ws_0_sqmuxa_0_c : out std_logic; ws_2_sqmuxa_3_0_4 : out std_logic; change_1_sqmuxa_0 : in std_logic; d16mux_0_sqmuxa : in std_logic; ssrstate_2_sqmuxa_1 : in std_logic; un7_bus16en : in std_logic; N_646 : in std_logic; loadcount_1_sqmuxa : in std_logic; ssrstate_1_sqmuxa_1_0_m3_0_1 : out std_logic; n_apbi_penable : in std_logic; n_apbi_pwrite : in std_logic; d_m1_e_0_0 : in std_logic; hsel_1_0_L3 : out std_logic; ssrhready_8_f0_L8 : out std_logic; ssrstate_1_sqmuxa_1 : in std_logic; ssrhready : out std_logic; ssrhready_8_f0_L5 : out std_logic; ssrstate17_1_xx_mm_N_4 : in std_logic; ws_1_sqmuxa : out std_logic; ws_4_sqmuxa_0 : in std_logic; ws_2_sqmuxa_0 : in std_logic; ssrstate17_2_0_m6_i_1 : out std_logic; ws_2_sqmuxa_3_0_x : out std_logic; ws_3_sqmuxa_1 : out std_logic; ws_2_sqmuxa_3_0_2 : out std_logic; ssrstate_2_i : out std_logic; ws_2_sqmuxa_3_d : out std_logic; ws_0_sqmuxa_1 : out std_logic; g0_30 : in std_logic; hsel_4 : in std_logic; n_ahbsi_hready : in std_logic; hsel : out std_logic; g0_25 : in std_logic; bwn_0_sqmuxa_1 : in std_logic; prstate_2_rep1 : out std_logic; N_662 : out std_logic; ssrstate_6_sqmuxa : out std_logic; g0_52_x1 : in std_logic; g0_52_x0 : in std_logic; ssrhready_2_sqmuxa_0_0 : out std_logic; change_1_sqmuxa_N_3 : out std_logic; ssrstate6_xx_mm_m3 : out std_logic; ssrstate6_1_d_0_L1 : out std_logic; N_656 : out std_logic; hsel_5 : out std_logic; change_3_f0 : in std_logic; un1_ahbsi : out std_logic; change : out std_logic; n_ahbsi_hwrite : in std_logic; N_574_i : in std_logic; n_sro_iosn : out std_logic; N_618_i : in std_logic; clk : in std_logic; n_sro_oen : out std_logic; rst : in std_logic; bwn_1_sqmuxa_2_d : in std_logic; bwn_1_sqmuxa_2_d_0_2 : in std_logic; ssrstate_2_sqmuxa_i : in std_logic; g0_23 : in std_logic; N_371 : out std_logic; loadcount_7 : in std_logic; bus16en : out std_logic; d16muxc_0_4 : out std_logic; change_3_f1_d_0_0 : in std_logic; g0_1_0 : in std_logic; g0_44 : in std_logic ); end component; begin II_g0_46: LUT4_L generic map( INIT => X"B000" ) port map ( I0 => N_4, I1 => N_17, I2 => G0_46_L7, I3 => G3, LO => WRP_CTRL_V_HREADY_2); II_g0_45: LUT4_L generic map( INIT => X"0B00" ) port map ( I0 => N_4, I1 => N_17, I2 => SSRHREADY_8_F0_L8, I3 => G3, LO => WRP_CTRL_V_SSRHREADY_8); II_g0_35: LUT4_L generic map( INIT => X"00B1" ) port map ( I0 => N_622, I1 => G0_34, I2 => G0_29, I3 => WRP_V_SSRSTATE_2_SQMUXA_1, LO => WRP_R_SSRSTATEC); II_g0_57: LUT4_L generic map( INIT => X"FA44" ) port map ( I0 => N_371, I1 => G0_56, I2 => G0_I_M2_2, I3 => G0_57_1, LO => WRP_CTRL_V_WS_1(0)); II_g0_i_m2_0: LUT4_L generic map( INIT => X"B1E4" ) port map ( I0 => N_5, I1 => G0_I_M2_0_L3, I2 => G0_I_M2_0_L5, I3 => WRP_R_WS(3), LO => WRP_CTRL_V_WS_1(3)); II_g0_i_m2: LUT4_L generic map( INIT => X"D8CC" ) port map ( I0 => N_622, I1 => G0_I_M2_L1, I2 => WRP_CTRL_V_SSRSTATE_1_M1(4), I3 => WRP_UN1_V_SSRSTATE_2_SQMUXA_I, LO => WRP_CTRL_V_SSRSTATE_1(4)); II_g0_5: LUT4_L generic map( INIT => X"37FF" ) port map ( I0 => WRP_CTRL_HSIZE_1(1), I1 => WRP_CTRL_V_BWN_1_0_O3(0), I2 => WRP_HADDR(1), I3 => WRP_UN1_V_BWN_1_SQMUXA_2_D, LO => N_618_I); II_g0_46_L1: LUT3 generic map( INIT => X"13" ) port map ( I0 => WRP_R_D16MUXC_0_4, I1 => WRP_R_SSRHREADY, I2 => WRP_R_SSRSTATE(3), O => G0_46_L1); II_g0_46_L3: LUT3 generic map( INIT => X"0B" ) port map ( I0 => WRP_CTRL_UN1_AHBSI, I1 => WRP_CTRL_V_HSEL_5, I2 => WRP_R_CHANGE, O => G0_46_L3); II_g0_46_L5: LUT3 generic map( INIT => X"5D" ) port map ( I0 => G0_44, I1 => G0_46_L1, I2 => WRP_V_SSRSTATE_1_SQMUXA_1, O => G0_46_L5); II_g0_46_L7: LUT4_L generic map( INIT => X"1033" ) port map ( I0 => G0_46_L3, I1 => G0_46_L5, I2 => WRP_CTRL_V_CHANGE_3_F1_D_0_0, I3 => WRP_V_PRSTATE_1_I_O4_S(2), LO => G0_46_L7); II_g2_0: LUT4 generic map( INIT => X"E0EA" ) port map ( I0 => SSRHREADY_8_F0_L5, I1 => G2_0_1, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => WRP_R_SSRSTATE(2), O => N_4); II_g2_0_1: LUT3 generic map( INIT => X"20" ) port map ( I0 => G0_48_L1, I1 => WRP_CTRL_UN1_AHBSI, I2 => WRP_CTRL_V_HSEL_5, O => G2_0_1); II_g0_52_x1x: LUT4 generic map( INIT => X"35F5" ) port map ( I0 => D_M1_E_0_0, I1 => n_ahbsi_hmbsel(1), I2 => n_ahbsi_hready, I3 => n_ahbsi_hsel(0), O => G0_52X_0); II_g0_52_x0x: LUT2 generic map( INIT => X"D" ) port map ( I0 => D_M1_E_0_0, I1 => n_ahbsi_hready, O => G0_52X); II_g0_55_L7_L1: LUT3 generic map( INIT => X"E2" ) port map ( I0 => G0_55_L7_L1_RN_0, I1 => G0_55_L7_L1_SN, I2 => n_ahbsi_htrans(1), O => G0_55_L7_L1); II_g0_55_L7_L1_sn: LUT4 generic map( INIT => X"8D88" ) port map ( I0 => n_ahbsi_hready, I1 => n_ahbsi_hsel(0), I2 => n_ahbsi_htrans(0), I3 => WRP_R_HSEL, O => G0_55_L7_L1_SN); II_g0_55_L7_L1_rn: LUT2 generic map( INIT => X"4" ) port map ( I0 => n_ahbsi_hready, I1 => WRP_R_HSEL, O => G0_55_L7_L1_RN_0); II_g0_57_1_L7_L6: LUT3 generic map( INIT => X"E2" ) port map ( I0 => G0_57_1_L7_L6_RN_0, I1 => G0_57_1_L7_L6_SN, I2 => n_ahbsi_htrans(1), O => G0_57_1_L7_L6); II_g0_57_1_L7_L6_sn: LUT4 generic map( INIT => X"8D88" ) port map ( I0 => n_ahbsi_hready, I1 => n_ahbsi_hsel(0), I2 => n_ahbsi_htrans(0), I3 => WRP_R_HSEL, O => G0_57_1_L7_L6_SN); II_g0_57_1_L7_L6_rn: LUT2 generic map( INIT => X"4" ) port map ( I0 => n_ahbsi_hready, I1 => WRP_R_HSEL, O => G0_57_1_L7_L6_RN_0); II_g0_0_L1: LUT2 generic map( INIT => X"7" ) port map ( I0 => rst, I1 => WRP_R_PRSTATE_2_REP1, O => G0_0_L1); II_g0_0_L3: LUT2 generic map( INIT => X"4" ) port map ( I0 => WRP_V_CHANGE_1_SQMUXA_N_3, I1 => WRP_V_SSRHREADY_2_SQMUXA_0_0, O => G0_0_L3); II_g0_0_L5: LUT4 generic map( INIT => X"55DF" ) port map ( I0 => G0_0_L1, I1 => WRP_CTRL_UN1_AHBSI, I2 => WRP_CTRL_V_HSEL_5, I3 => WRP_R_D16MUXC_0_4, O => G0_0_L5); II_g0_0_L7: LUT2 generic map( INIT => X"2" ) port map ( I0 => n_ahbsi_htrans(0), I1 => WRP_CTRL_UN1_V_SSRSTATE17_1_XX_MM_N_4, O => G0_0_L7); II_g0_0_L9: LUT4 generic map( INIT => X"7F33" ) port map ( I0 => G0_0_L3, I1 => n_ahbsi_hwrite, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => SSRSTATE6_XX_MM_M3, O => G0_0_L9); II_g0_0: LUT4 generic map( INIT => X"FFEF" ) port map ( I0 => G0_0_L5, I1 => G0_0_L7, I2 => G0_0_L9, I3 => WRP_V_LOADCOUNT_1_SQMUXA, O => WRP_UN1_V_BWN_1_SQMUXA_2_D); II_g3: LUT4 generic map( INIT => X"DFCC" ) port map ( I0 => G3_1, I1 => n_ahbsi_hwrite, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => SSRSTATE6_XX_MM_M3, O => G3); II_g3_1: LUT2 generic map( INIT => X"4" ) port map ( I0 => WRP_V_CHANGE_1_SQMUXA_N_3, I1 => WRP_V_SSRHREADY_2_SQMUXA_0_0, O => G3_1); II_g0_i_m2_0_L1: LUT3 generic map( INIT => X"01" ) port map ( I0 => WRP_R_WS(0), I1 => WRP_R_WS(1), I2 => WRP_R_WS(2), O => G0_I_M2_0_L1); II_g0_i_m2_0_L3: LUT4 generic map( INIT => X"0A2A" ) port map ( I0 => G0_I_M2_0_L1, I1 => G0_30, I2 => WRP_V_WS_0_SQMUXA_1, I3 => WRP_V_WS_3_SQMUXA_1, O => G0_I_M2_0_L3); II_g0_i_m2_0_L5: LUT4 generic map( INIT => X"1555" ) port map ( I0 => D_M1_E_L1_0, I1 => D_M1_E_L3, I2 => WRP_V_WS_2_SQMUXA_3_0_2, I3 => WRP_V_WS_2_SQMUXA_3_D, O => G0_I_M2_0_L5); II_d_m1_e_L1_0: LUT3 generic map( INIT => X"4E" ) port map ( I0 => N_365, I1 => D_M1_E_L1, I2 => WRP_R_MCFG1_ROMRWS(3), O => D_M1_E_L1_0); II_d_m1_e_L3: LUT4_L generic map( INIT => X"0F2F" ) port map ( I0 => WRP_UN1_R_SSRSTATE_2_I, I1 => G0_30, I2 => WRP_V_WS_0_SQMUXA_1, I3 => WRP_V_WS_3_SQMUXA_1, LO => D_M1_E_L3); II_g0_57_1_L7_L4: LUT4 generic map( INIT => X"4555" ) port map ( I0 => SSRSTATE6_1_D_0_L1, I1 => n_ahbsi_htrans(0), I2 => n_ahbsi_htrans(1), I3 => WRP_R_SSRSTATE(1), O => G0_57_1_L7_L4); II_g0_57_1_L7_L8: LUT4_L generic map( INIT => X"4C40" ) port map ( I0 => G0_57_1_L7_L4, I1 => G0_57_1_L7_L6, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => WRP_R_SSRSTATE(2), LO => G0_57_1_L7_L8); II_g0_57_1_L7: LUT4_L generic map( INIT => X"4F5F" ) port map ( I0 => N_656, I1 => G0_57_1_L7_L8, I2 => rst, I3 => SSRSTATE6_XX_MM_M3, LO => G0_57_1_L7); II_g0_34_L10_L1: LUT4 generic map( INIT => X"0400" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => n_ahbsi_hwrite, I3 => WRP_R_SSRSTATE(1), O => G0_34_L10_L1); II_g0_34_L10_L3: LUT2 generic map( INIT => X"7" ) port map ( I0 => G0_34_L10_L1, I1 => WRP_CTRL_V_HSEL_5, O => G0_34_L10_L3); II_g0_34_L10: LUT4 generic map( INIT => X"00B0" ) port map ( I0 => G0_34_L10_L3, I1 => WRP_CTRL_V_HMBSEL_4(1), I2 => WRP_UN1_V_CHANGE_1_SQMUXA_0, I3 => WRP_V_SSRSTATE_6_SQMUXA, O => G0_34_L10); II_g0_48_L1: LUT3 generic map( INIT => X"40" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => n_ahbsi_hwrite, O => G0_48_L1); II_g0_48: LUT4 generic map( INIT => X"2000" ) port map ( I0 => G0_48_L1, I1 => WRP_CTRL_UN1_AHBSI, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => WRP_CTRL_V_HSEL_5, O => WRP_V_LOADCOUNT_1_SQMUXA); II_g0_36_L1: LUT3 generic map( INIT => X"2F" ) port map ( I0 => WRP_R_CHANGE, I1 => WRP_R_HSEL, I2 => WRP_R_PRSTATE(5), O => G0_36_L1); II_g0_36: LUT4 generic map( INIT => X"2220" ) port map ( I0 => G0_I_M2_1, I1 => G0_36_L1, I2 => WRP_CTRL_V_HSEL_5, I3 => WRP_R_CHANGE, O => WRP_V_PRSTATE_1_I_O4_S(2)); II_g0_55_L7: LUT4_L generic map( INIT => X"4CFC" ) port map ( I0 => G0_55_L1, I1 => G0_55_L5, I2 => G0_55_L7_L1, I3 => WRP_CTRL_V_HMBSEL_4(1), LO => G0_55_L7); II_g0_55_L5_L1: LUT4 generic map( INIT => X"35FF" ) port map ( I0 => D_M1_E_0_0, I1 => n_ahbsi_hmbsel(1), I2 => n_ahbsi_hready, I3 => n_ahbsi_htrans(0), O => G0_55_L5_L1); II_g0_55_L5: LUT4 generic map( INIT => X"51FF" ) port map ( I0 => G0_55_L5_L1, I1 => n_ahbsi_hready, I2 => WRP_CTRL_V_HSEL_4, I3 => WRP_R_SSRSTATE(2), O => G0_55_L5); II_g0_57_1_L5: LUT3 generic map( INIT => X"70" ) port map ( I0 => G0_30, I1 => WRP_V_WS_0_SQMUXA_1, I2 => WRP_V_WS_2_SQMUXA_3_D, O => G0_57_1_L5); II_g0_57_1: LUT4_L generic map( INIT => X"1D55" ) port map ( I0 => N_365, I1 => G0_57_1_L5, I2 => G0_57_1_L7, I3 => WRP_V_WS_2_SQMUXA_3_0_4, LO => G0_57_1); II_d_m1_e_L1: LUT4 generic map( INIT => X"087F" ) port map ( I0 => N_SRO_ROMSN_0_INT_260, I1 => rst, I2 => WRP_R_MCFG1_IOWS(3), I3 => WRP_R_MCFG1_ROMWWS(3), O => D_M1_E_L1); II_g0_i_o4: LUT4_L generic map( INIT => X"FDF5" ) port map ( I0 => N_365, I1 => WS_2_SQMUXA_3_0_X, I2 => WRP_V_WS_1_SQMUXA, I3 => WRP_V_WS_2_SQMUXA_3_0_4, LO => N_5); II_g3_2: LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => G0_50_X, I1 => n_ahbsi_hmbsel(1), I2 => n_ahbsi_hsel(0), I3 => n_ahbsi_htrans(1), O => N_19); II_g0_50_x: LUT3_L generic map( INIT => X"80" ) port map ( I0 => n_ahbsi_hready, I1 => WRP_R_PRSTATE(5), I2 => WRP_R_SSRSTATE(4), LO => G0_50_X); II_g0_52: MUXF5 port map ( I0 => G0_52X, I1 => G0_52X_0, S => n_ahbsi_htrans(1), O => WRP_CTRL_UN1_V_SSRSTATE17_1_XX_MM_N_4); II_g0_52_x1: LUT4 generic map( INIT => X"35F5" ) port map ( I0 => D_M1_E_0_0, I1 => n_ahbsi_hmbsel(1), I2 => n_ahbsi_hready, I3 => n_ahbsi_hsel(0), O => G0_52_X1); II_g0_52_x0: LUT2 generic map( INIT => X"D" ) port map ( I0 => D_M1_E_0_0, I1 => n_ahbsi_hready, O => G0_52_X0); II_g1_1: LUT4 generic map( INIT => X"0105" ) port map ( I0 => SSRSTATE17_2_0_M6_I_A3_A2, I1 => n_ahbsi_htrans(1), I2 => WRP_UN1_V_SSRSTATE17_2_0_M6_I_1, I3 => WRP_UN1_V_SSRSTATE_1_SQMUXA_1_0_M3_0_1, O => N_14); II_g0_19_L1: LUT4_L generic map( INIT => X"0001" ) port map ( I0 => G0_28, I1 => WRP_CTRL_UN1_AHBSI, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => WRP_V_CHANGE_1_SQMUXA_N_3, LO => G0_19_L1); II_g0_19: LUT4 generic map( INIT => X"0010" ) port map ( I0 => G0_19_L1, I1 => WRP_R_SSRSTATE(1), I2 => WRP_UN1_V_CHANGE_1_SQMUXA_0, I3 => WRP_V_SSRSTATE_6_SQMUXA, O => N_622); II_g0_55_L1: LUT4 generic map( INIT => X"0400" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => n_ahbsi_hwrite, I3 => WRP_R_SSRSTATE(1), O => G0_55_L1); II_g0_55: LUT4 generic map( INIT => X"0F0D" ) port map ( I0 => G0_55_L7, I1 => WRP_R_LOADCOUNT, I2 => WRP_R_SSRSTATE(3), I3 => WRP_V_SSRSTATE_1_SQMUXA_1, O => WRP_CTRL_V_LOADCOUNT_7); II_g0_34_L1_0: LUT2 generic map( INIT => X"4" ) port map ( I0 => WRP_R_D16MUXC_0_4, I1 => WRP_V_WS_0_SQMUXA_0_C, O => G0_34_L1_0); II_g0_34_L6: LUT4 generic map( INIT => X"A2AA" ) port map ( I0 => G0_34_L1_0, I1 => WRP_CTRL_V_HSEL_5, I2 => WRP_R_SSRSTATE(0), I3 => WRP_V_WS_0_SQMUXA_C, O => G0_34_L6); II_g0_34: LUT4_L generic map( INIT => X"51F3" ) port map ( I0 => G0_34_L6, I1 => G0_34_L10, I2 => WRP_NONAME_CNST(0), I3 => WRP_R_SSRSTATE(1), LO => G0_34); II_g0_54_L1: LUT4_L generic map( INIT => X"0400" ) port map ( I0 => n_ahbsi_htrans(0), I1 => n_ahbsi_htrans(1), I2 => WRP_CTRL_UN1_AHBSI, I3 => WRP_CTRL_V_HSEL_5, LO => G0_54_L1); II_g0_54: LUT4 generic map( INIT => X"2000" ) port map ( I0 => G0_54_L1, I1 => n_ahbsi_hwrite, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => WRP_R_SSRSTATE(1), O => WRP_CTRL_V_SSRSTATE_1_M1(3)); II_g0_i_m2_L1: LUT3 generic map( INIT => X"75" ) port map ( I0 => rst, I1 => WRP_R_SSRSTATE(3), I2 => WRP_V_SSRSTATE_1_SQMUXA_1, O => G0_I_M2_L1); II_g0_56: LUT4 generic map( INIT => X"CC5A" ) port map ( I0 => N_9, I1 => WRP_R_MCFG1_ROMRWS(0), I2 => WRP_R_WS(0), I3 => WRP_V_WS_1_SQMUXA, O => G0_56); II_g1_3: LUT3_L generic map( INIT => X"37" ) port map ( I0 => G0_30, I1 => WRP_V_WS_0_SQMUXA_1, I2 => WRP_V_WS_3_SQMUXA_1, LO => N_9); II_g0_30: LUT4 generic map( INIT => X"AEAA" ) port map ( I0 => N_14, I1 => N_19, I2 => G0_8, I3 => WRP_R_SSRSTATE(4), O => G0_30); II_g0_16: LUT4 generic map( INIT => X"337F" ) port map ( I0 => N_SRO_ROMSN_0_INT_260, I1 => rst, I2 => WRP_V_WS_2_SQMUXA_0, I3 => WRP_V_WS_4_SQMUXA_0, O => N_365); II_g0_8: LUT4 generic map( INIT => X"4000" ) port map ( I0 => n_ahbsi_hready, I1 => WRP_R_HMBSEL(1), I2 => WRP_R_HSEL, I3 => WRP_R_PRSTATE(5), O => G0_8); II_g0_i_m2_2: LUT4 generic map( INIT => X"F780" ) port map ( I0 => N_SRO_ROMSN_0_INT_260, I1 => rst, I2 => WRP_R_MCFG1_IOWS(0), I3 => WRP_R_MCFG1_ROMWWS(0), O => G0_I_M2_2); II_g0_7: LUT3 generic map( INIT => X"54" ) port map ( I0 => N_SRO_IOSN_INT_259, I1 => WRP_R_PRSTATE(4), I2 => WRP_R_PRSTATE_2_REP1, O => WRP_V_WS_2_SQMUXA_0); II_g0_6: LUT2 generic map( INIT => X"4" ) port map ( I0 => N_SRO_ROMSN_0_INT_260, I1 => WRP_R_PRSTATE_FAST(2), O => WRP_V_WS_4_SQMUXA_0); II_g0_53: LUT4 generic map( INIT => X"1050" ) port map ( I0 => G0_51, I1 => WRP_CTRL_V_HSEL_4, I2 => WRP_R_SSRSTATE(4), I3 => WRP_V_SSRSTATE_1_SQMUXA_1_XX_MM_A1_0, O => WRP_V_SSRSTATE_1_SQMUXA_1); II_g0_51: LUT4 generic map( INIT => X"2000" ) port map ( I0 => G0_8_1, I1 => n_ahbsi_hready, I2 => WRP_R_HMBSEL(1), I3 => WRP_R_HSEL, O => G0_51); II_g0_50: LUT4 generic map( INIT => X"8000" ) port map ( I0 => n_ahbsi_hmbsel(1), I1 => n_ahbsi_hready, I2 => WRP_R_PRSTATE(5), I3 => WRP_R_SSRSTATE(4), O => WRP_V_SSRSTATE_1_SQMUXA_1_XX_MM_A1_0); II_g0_8_1: LUT2 generic map( INIT => X"8" ) port map ( I0 => WRP_R_PRSTATE(5), I1 => WRP_R_SSRSTATE(4), O => G0_8_1); II_g0_4: LUT2 generic map( INIT => X"8" ) port map ( I0 => WRP_R_HMBSEL(1), I1 => WRP_R_HSEL, O => D_M1_E_0_0); II_g0_3: LUT2 generic map( INIT => X"8" ) port map ( I0 => n_ahbsi_hsel(0), I1 => n_ahbsi_htrans(1), O => WRP_CTRL_V_HSEL_4); II_g0_i_0: LUT4 generic map( INIT => X"AA80" ) port map ( I0 => G0_I_A3_0, I1 => rst, I2 => WRP_R_PRSTATE(2), I3 => WRP_V_BWN_0_SQMUXA_1, O => WRP_CTRL_V_BWN_1_0_O3(0)); II_g0_2: LUT4 generic map( INIT => X"C4CC" ) port map ( I0 => WRP_CTRL_V_HMBSEL_4(1), I1 => SSRSTATE6_XX_MM_M3, I2 => WRP_V_CHANGE_1_SQMUXA_N_3, I3 => WRP_V_SSRHREADY_2_SQMUXA_0_0, O => N_646); II_g0_i_a3_0: LUT4_L generic map( INIT => X"5D7F" ) port map ( I0 => N_319_1, I1 => N_662, I2 => n_ahbsi_haddr(0), I3 => N_SRO_ADDRESS_0_INT_172, LO => G0_I_A3_0); II_g0: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662, I1 => n_ahbsi_haddr(1), I2 => N_SRO_ADDRESS_1_INT_173, O => WRP_HADDR(1)); II_g0_i: LUT4 generic map( INIT => X"F3A2" ) port map ( I0 => G0_11, I1 => n_ahbsi_htrans(0), I2 => WRP_CTRL_UN1_V_SSRSTATE17_1_XX_MM_N_4, I3 => WRP_R_D16MUXC_0_4, O => G0_I); II_g0_47: LUT4 generic map( INIT => X"202A" ) port map ( I0 => rst, I1 => WRP_R_D16MUXC_0_4, I2 => WRP_R_PRSTATE(1), I3 => WRP_R_PRSTATE_2_REP1, O => WRP_V_BWN_0_SQMUXA_1); II_g0_38: LUT3 generic map( INIT => X"D8" ) port map ( I0 => N_662, I1 => n_ahbsi_hsize(1), I2 => WRP_R_SIZE(1), O => WRP_CTRL_HSIZE_1(1)); II_g0_11: LUT2_L generic map( INIT => X"4" ) port map ( I0 => WRP_CTRL_UN1_AHBSI, I1 => WRP_CTRL_V_HSEL_5, LO => G0_11); II_g0_44: LUT4 generic map( INIT => X"BBBA" ) port map ( I0 => G0_5_0, I1 => WRP_CTRL_UN7_BUS16EN, I2 => WRP_R_PRSTATE(0), I3 => WRP_V_D16MUX_0_SQMUXA, O => G0_44); II_g0_43: LUT4 generic map( INIT => X"0400" ) port map ( I0 => N_SRO_ADDRESS_1_INT_173, I1 => WRP_CTRL_BUS16EN, I2 => WRP_R_SIZE(0), I3 => WRP_R_SIZE(1), O => WRP_CTRL_UN7_BUS16EN); II_g0_41: LUT2 generic map( INIT => X"E" ) port map ( I0 => N_17, I1 => WRP_R_CHANGE, O => G0_1_0); II_g0_5_0: LUT2 generic map( INIT => X"E" ) port map ( I0 => WRP_R_PRHREADY, I1 => WRP_R_PRSTATE(5), O => G0_5_0); II_g0_40: LUT2 generic map( INIT => X"4" ) port map ( I0 => WRP_CTRL_UN1_AHBSI, I1 => WRP_CTRL_V_HSEL_5, O => N_17); II_g0_39: LUT2 generic map( INIT => X"8" ) port map ( I0 => WRP_R_D16MUXC_0_4, I1 => WRP_R_PRSTATE(3), O => WRP_V_D16MUX_0_SQMUXA); II_g0_18: LUT3 generic map( INIT => X"A2" ) port map ( I0 => G0_7_0, I1 => WRP_CTRL_V_HMBSEL_4(1), I2 => WRP_R_CHANGE, O => WRP_CTRL_V_CHANGE_3_F1_D_0_0); II_g0_17: LUT4 generic map( INIT => X"A808" ) port map ( I0 => G0_I_M2_1, I1 => WRP_CTRL_V_HSEL_5, I2 => WRP_R_CHANGE, I3 => WRP_R_HSEL, O => WRP_UN1_V_HSEL_1(0)); II_g0_7_0: LUT4 generic map( INIT => X"00FE" ) port map ( I0 => WRP_R_CHANGE, I1 => WRP_R_SSRSTATE(1), I2 => WRP_R_SSRSTATE(2), I3 => WRP_R_SSRSTATE(4), O => G0_7_0); II_g0_15: LUT4 generic map( INIT => X"00FE" ) port map ( I0 => WRP_R_CHANGE, I1 => WRP_R_SSRSTATE(1), I2 => WRP_R_SSRSTATE(2), I3 => WRP_R_SSRSTATE(4), O => WRP_CTRL_V_CHANGE_3_F0); II_g0_i_m2_1: LUT4 generic map( INIT => X"3353" ) port map ( I0 => HSEL_1_0_L3, I1 => G0_14, I2 => n_ahbsi_hready, I3 => WRP_R_CHANGE, O => G0_I_M2_1); II_g0_14: LUT2 generic map( INIT => X"1" ) port map ( I0 => WRP_R_HMBSEL(0), I1 => WRP_R_HMBSEL(2), O => G0_14); II_g0_33: LUT4 generic map( INIT => X"085D" ) port map ( I0 => G0_31, I1 => G2_1_0, I2 => WRP_R_SSRSTATE(1), I3 => WRP_V_CHANGE_1_SQMUXA_N_3, O => WRP_UN1_V_CHANGE_1_SQMUXA_0); II_g0_32: LUT4 generic map( INIT => X"0001" ) port map ( I0 => G0_28, I1 => WRP_CTRL_UN1_AHBSI, I2 => WRP_CTRL_V_HMBSEL_4(1), I3 => WRP_V_CHANGE_1_SQMUXA_N_3, O => WRP_NONAME_CNST(0)); II_g0_31: LUT4 generic map( INIT => X"FFAB" ) port map ( I0 => G0_28, I1 => n_ahbsi_htrans(0), I2 => n_ahbsi_htrans(1), I3 => WRP_R_SSRSTATE(0), O => G0_31); II_g2_1_0: LUT2 generic map( INIT => X"1" ) port map ( I0 => WRP_R_SSRSTATE(0), I1 => WRP_R_SSRSTATE(2), O => G2_1_0); II_g0_29: LUT2 generic map( INIT => X"2" ) port map ( I0 => n_ahbsi_hwrite, I1 => WRP_V_SSRSTATE_1_SQMUXA_1, O => G0_29); II_g0_28: LUT2 generic map( INIT => X"1" ) port map ( I0 => WRP_R_SSRSTATE(1), I1 => WRP_R_SSRSTATE(2), O => G0_28); II_g0_27: LUT2 generic map( INIT => X"8" ) port map ( I0 => rst, I1 => WRP_R_SSRSTATE(3), O => WRP_V_SSRSTATE_2_SQMUXA_1); II_g0_25: LUT2 generic map( INIT => X"2" ) port map ( I0 => N_365, I1 => WRP_V_WS_1_SQMUXA, O => G0_25); II_g0_23: LUT3 generic map( INIT => X"37" ) port map ( I0 => G0_30, I1 => WRP_V_WS_0_SQMUXA_1, I2 => WRP_V_WS_3_SQMUXA_1, O => G0_23); II_g0_12: LUT2 generic map( INIT => X"1" ) port map ( I0 => WRP_R_SSRSTATE(0), I1 => WRP_R_SSRSTATE(2), O => D_M2_0_A2_0); II_g0_10: LUT2 generic map( INIT => X"2" ) port map ( I0 => rst, I1 => WRP_R_SSRSTATE(3), O => WRP_UN1_V_SSRSTATE_2_SQMUXA_I); II_g0_1: LUT4 generic map( INIT => X"FFD5" ) port map ( I0 => G0_I, I1 => rst, I2 => WRP_R_PRSTATE(2), I3 => WRP_V_LOADCOUNT_1_SQMUXA, O => WRP_UN1_V_BWN_1_SQMUXA_2_D_0_2); II_N_574_i: LUT4_L generic map( INIT => X"5545" ) port map ( I0 => N_593, I1 => N_596, I2 => D_M1_E_0_0, I3 => n_ahbsi_hready, LO => N_574_I); II_N_574_i_a3_0: LUT4_L generic map( INIT => X"4000" ) port map ( I0 => N_597, I1 => n_ahbsi_hmbsel(1), I2 => n_ahbsi_hready, I3 => WRP_CTRL_V_HSEL_4, LO => N_593); II_N_574_i_o3_0: LUT4 generic map( INIT => X"135F" ) port map ( I0 => n_ahbsi_htrans(1), I1 => WRP_R_PRSTATE(5), I2 => WRP_R_SSRSTATE(1), I3 => WRP_R_SSRSTATE(4), O => N_596); II_N_574_i_o3: LUT3 generic map( INIT => X"13" ) port map ( I0 => WRP_R_PRSTATE(5), I1 => WRP_R_SSRSTATE(1), I2 => WRP_R_SSRSTATE(4), O => N_597); II_wrp: ssrctrl_unisim_netlist port map ( n_sro_vbdrive(0) => n_sro_vbdrive(0), n_sro_vbdrive(1) => n_sro_vbdrive(1), n_sro_vbdrive(2) => n_sro_vbdrive(2), n_sro_vbdrive(3) => n_sro_vbdrive(3), n_sro_vbdrive(4) => n_sro_vbdrive(4), n_sro_vbdrive(5) => n_sro_vbdrive(5), n_sro_vbdrive(6) => n_sro_vbdrive(6), n_sro_vbdrive(7) => n_sro_vbdrive(7), n_sro_vbdrive(8) => n_sro_vbdrive(8), n_sro_vbdrive(9) => n_sro_vbdrive(9), n_sro_vbdrive(10) => n_sro_vbdrive(10), n_sro_vbdrive(11) => n_sro_vbdrive(11), n_sro_vbdrive(12) => n_sro_vbdrive(12), n_sro_vbdrive(13) => n_sro_vbdrive(13), n_sro_vbdrive(14) => n_sro_vbdrive(14), n_sro_vbdrive(15) => n_sro_vbdrive(15), n_sro_vbdrive(16) => n_sro_vbdrive(16), n_sro_vbdrive(17) => n_sro_vbdrive(17), n_sro_vbdrive(18) => n_sro_vbdrive(18), n_sro_vbdrive(19) => n_sro_vbdrive(19), n_sro_vbdrive(20) => n_sro_vbdrive(20), n_sro_vbdrive(21) => n_sro_vbdrive(21), n_sro_vbdrive(22) => n_sro_vbdrive(22), n_sro_vbdrive(23) => n_sro_vbdrive(23), n_sro_vbdrive(24) => n_sro_vbdrive(24), n_sro_vbdrive(25) => n_sro_vbdrive(25), n_sro_vbdrive(26) => n_sro_vbdrive(26), n_sro_vbdrive(27) => n_sro_vbdrive(27), n_sro_vbdrive(28) => n_sro_vbdrive(28), n_sro_vbdrive(29) => n_sro_vbdrive(29), n_sro_vbdrive(30) => n_sro_vbdrive(30), n_sro_vbdrive(31) => n_sro_vbdrive(31), n_ahbso_hrdata(0) => n_ahbso_hrdata(0), n_ahbso_hrdata(1) => n_ahbso_hrdata(1), n_ahbso_hrdata(2) => n_ahbso_hrdata(2), n_ahbso_hrdata(3) => n_ahbso_hrdata(3), n_ahbso_hrdata(4) => n_ahbso_hrdata(4), n_ahbso_hrdata(5) => n_ahbso_hrdata(5), n_ahbso_hrdata(6) => n_ahbso_hrdata(6), n_ahbso_hrdata(7) => n_ahbso_hrdata(7), n_ahbso_hrdata(8) => n_ahbso_hrdata(8), n_ahbso_hrdata(9) => n_ahbso_hrdata(9), n_ahbso_hrdata(10) => n_ahbso_hrdata(10), n_ahbso_hrdata(11) => n_ahbso_hrdata(11), n_ahbso_hrdata(12) => n_ahbso_hrdata(12), n_ahbso_hrdata(13) => n_ahbso_hrdata(13), n_ahbso_hrdata(14) => n_ahbso_hrdata(14), n_ahbso_hrdata(15) => n_ahbso_hrdata(15), n_ahbso_hrdata(16) => n_ahbso_hrdata(16), n_ahbso_hrdata(17) => n_ahbso_hrdata(17), n_ahbso_hrdata(18) => n_ahbso_hrdata(18), n_ahbso_hrdata(19) => n_ahbso_hrdata(19), n_ahbso_hrdata(20) => n_ahbso_hrdata(20), n_ahbso_hrdata(21) => n_ahbso_hrdata(21), n_ahbso_hrdata(22) => n_ahbso_hrdata(22), n_ahbso_hrdata(23) => n_ahbso_hrdata(23), n_ahbso_hrdata(24) => n_ahbso_hrdata(24), n_ahbso_hrdata(25) => n_ahbso_hrdata(25), n_ahbso_hrdata(26) => n_ahbso_hrdata(26), n_ahbso_hrdata(27) => n_ahbso_hrdata(27), n_ahbso_hrdata(28) => n_ahbso_hrdata(28), n_ahbso_hrdata(29) => n_ahbso_hrdata(29), n_ahbso_hrdata(30) => n_ahbso_hrdata(30), n_ahbso_hrdata(31) => n_ahbso_hrdata(31), iows_0 => WRP_R_MCFG1_IOWS(0), iows_3 => WRP_R_MCFG1_IOWS(3), romwws_0 => WRP_R_MCFG1_ROMWWS(0), romwws_3 => WRP_R_MCFG1_ROMWWS(3), romrws_0 => WRP_R_MCFG1_ROMRWS(0), romrws_3 => WRP_R_MCFG1_ROMRWS(3), NoName_cnst(0) => WRP_NONAME_CNST(0), n_sri_bwidth(0) => n_sri_bwidth(0), n_sri_bwidth(1) => n_sri_bwidth(1), n_apbi_pwdata_19 => n_apbi_pwdata(19), n_apbi_pwdata_11 => n_apbi_pwdata(11), n_apbi_pwdata_9 => n_apbi_pwdata(9), n_apbi_pwdata_8 => n_apbi_pwdata(8), n_apbi_pwdata_23 => n_apbi_pwdata(23), n_apbi_pwdata_22 => n_apbi_pwdata(22), n_apbi_pwdata_21 => n_apbi_pwdata(21), n_apbi_pwdata_20 => n_apbi_pwdata(20), n_apbi_pwdata_3 => n_apbi_pwdata(3), n_apbi_pwdata_2 => n_apbi_pwdata(2), n_apbi_pwdata_1 => n_apbi_pwdata(1), n_apbi_pwdata_0 => n_apbi_pwdata(0), n_apbi_pwdata_7 => n_apbi_pwdata(7), n_apbi_pwdata_6 => n_apbi_pwdata(6), n_apbi_pwdata_5 => n_apbi_pwdata(5), n_apbi_pwdata_4 => n_apbi_pwdata(4), n_apbi_psel(0) => n_apbi_psel(0), n_apbi_paddr(2) => n_apbi_paddr(2), n_apbi_paddr(3) => n_apbi_paddr(3), n_apbi_paddr(4) => n_apbi_paddr(4), n_apbi_paddr(5) => n_apbi_paddr(5), n_apbo_prdata_0 => n_apbo_prdata(0), n_apbo_prdata_4 => n_apbo_prdata(4), n_apbo_prdata_20 => n_apbo_prdata(20), n_apbo_prdata_23 => n_apbo_prdata(23), n_apbo_prdata_22 => n_apbo_prdata(22), n_apbo_prdata_21 => n_apbo_prdata(21), n_apbo_prdata_19 => n_apbo_prdata(19), n_apbo_prdata_7 => n_apbo_prdata(7), n_apbo_prdata_6 => n_apbo_prdata(6), n_apbo_prdata_5 => n_apbo_prdata(5), n_apbo_prdata_3 => n_apbo_prdata(3), n_apbo_prdata_2 => n_apbo_prdata(2), n_apbo_prdata_1 => n_apbo_prdata(1), n_apbo_prdata_11 => n_apbo_prdata(11), n_apbo_prdata_9 => n_apbo_prdata(9), n_apbo_prdata_8 => n_apbo_prdata(8), n_apbo_prdata_28 => n_apbo_prdata(28), n_sro_romsn(0) => N_SRO_ROMSN_0_INT_260, n_ahbsi_hsel(0) => n_ahbsi_hsel(0), prstate_fast(2) => WRP_R_PRSTATE_FAST(2), n_ahbsi_htrans(0) => n_ahbsi_htrans(0), n_ahbsi_htrans(1) => n_ahbsi_htrans(1), ssrstate_1_m1(3) => WRP_CTRL_V_SSRSTATE_1_M1(3), ssrstate_1_m1(4) => WRP_CTRL_V_SSRSTATE_1_M1(4), hsel_1(0) => WRP_UN1_V_HSEL_1(0), hmbsel_4(1) => WRP_CTRL_V_HMBSEL_4(1), n_sro_bdrive(3) => N_SRO_BDRIVE_3_INT_269_INT_270_INT_271_INT_272, ws_1_0 => WRP_CTRL_V_WS_1(0), ws_1_3 => WRP_CTRL_V_WS_1(3), ws(0) => WRP_R_WS(0), ws(1) => WRP_R_WS(1), ws(2) => WRP_R_WS(2), ws(3) => WRP_R_WS(3), ssrstate_1_2 => WRP_CTRL_V_SSRSTATE_1(4), n_ahbsi_haddr(0) => n_ahbsi_haddr(0), n_ahbsi_haddr(1) => n_ahbsi_haddr(1), n_ahbsi_haddr(2) => n_ahbsi_haddr(2), n_ahbsi_haddr(3) => n_ahbsi_haddr(3), n_ahbsi_haddr(4) => n_ahbsi_haddr(4), n_ahbsi_haddr(5) => n_ahbsi_haddr(5), n_ahbsi_haddr(6) => n_ahbsi_haddr(6), n_ahbsi_haddr(7) => n_ahbsi_haddr(7), n_ahbsi_haddr(8) => n_ahbsi_haddr(8), n_ahbsi_haddr(9) => n_ahbsi_haddr(9), n_ahbsi_haddr(10) => n_ahbsi_haddr(10), n_ahbsi_haddr(11) => n_ahbsi_haddr(11), n_ahbsi_haddr(12) => n_ahbsi_haddr(12), n_ahbsi_haddr(13) => n_ahbsi_haddr(13), n_ahbsi_haddr(14) => n_ahbsi_haddr(14), n_ahbsi_haddr(15) => n_ahbsi_haddr(15), n_ahbsi_haddr(16) => n_ahbsi_haddr(16), n_ahbsi_haddr(17) => n_ahbsi_haddr(17), n_ahbsi_haddr(18) => n_ahbsi_haddr(18), n_ahbsi_haddr(19) => n_ahbsi_haddr(19), n_ahbsi_haddr(20) => n_ahbsi_haddr(20), n_ahbsi_haddr(21) => n_ahbsi_haddr(21), n_ahbsi_haddr(22) => n_ahbsi_haddr(22), n_ahbsi_haddr(23) => n_ahbsi_haddr(23), n_ahbsi_haddr(24) => n_ahbsi_haddr(24), n_ahbsi_haddr(25) => n_ahbsi_haddr(25), n_ahbsi_haddr(26) => n_ahbsi_haddr(26), n_ahbsi_haddr(27) => n_ahbsi_haddr(27), n_ahbsi_haddr(28) => n_ahbsi_haddr(28), n_ahbsi_haddr(29) => n_ahbsi_haddr(29), n_ahbsi_haddr(30) => n_ahbsi_haddr(30), n_ahbsi_haddr(31) => n_ahbsi_haddr(31), n_ahbsi_hmbsel(0) => n_ahbsi_hmbsel(0), n_ahbsi_hmbsel(1) => n_ahbsi_hmbsel(1), n_ahbsi_hmbsel(2) => n_ahbsi_hmbsel(2), n_sri_data(0) => n_sri_data(0), n_sri_data(1) => n_sri_data(1), n_sri_data(2) => n_sri_data(2), n_sri_data(3) => n_sri_data(3), n_sri_data(4) => n_sri_data(4), n_sri_data(5) => n_sri_data(5), n_sri_data(6) => n_sri_data(6), n_sri_data(7) => n_sri_data(7), n_sri_data(8) => n_sri_data(8), n_sri_data(9) => n_sri_data(9), n_sri_data(10) => n_sri_data(10), n_sri_data(11) => n_sri_data(11), n_sri_data(12) => n_sri_data(12), n_sri_data(13) => n_sri_data(13), n_sri_data(14) => n_sri_data(14), n_sri_data(15) => n_sri_data(15), n_sri_data(16) => n_sri_data(16), n_sri_data(17) => n_sri_data(17), n_sri_data(18) => n_sri_data(18), n_sri_data(19) => n_sri_data(19), n_sri_data(20) => n_sri_data(20), n_sri_data(21) => n_sri_data(21), n_sri_data(22) => n_sri_data(22), n_sri_data(23) => n_sri_data(23), n_sri_data(24) => n_sri_data(24), n_sri_data(25) => n_sri_data(25), n_sri_data(26) => n_sri_data(26), n_sri_data(27) => n_sri_data(27), n_sri_data(28) => n_sri_data(28), n_sri_data(29) => n_sri_data(29), n_sri_data(30) => n_sri_data(30), n_sri_data(31) => n_sri_data(31), ssrstate(0) => WRP_R_SSRSTATE(0), ssrstate(1) => WRP_R_SSRSTATE(1), ssrstate(2) => WRP_R_SSRSTATE(2), ssrstate(3) => WRP_R_SSRSTATE(3), ssrstate(4) => WRP_R_SSRSTATE(4), n_ahbsi_hwdata(0) => n_ahbsi_hwdata(0), n_ahbsi_hwdata(1) => n_ahbsi_hwdata(1), n_ahbsi_hwdata(2) => n_ahbsi_hwdata(2), n_ahbsi_hwdata(3) => n_ahbsi_hwdata(3), n_ahbsi_hwdata(4) => n_ahbsi_hwdata(4), n_ahbsi_hwdata(5) => n_ahbsi_hwdata(5), n_ahbsi_hwdata(6) => n_ahbsi_hwdata(6), n_ahbsi_hwdata(7) => n_ahbsi_hwdata(7), n_ahbsi_hwdata(8) => n_ahbsi_hwdata(8), n_ahbsi_hwdata(9) => n_ahbsi_hwdata(9), n_ahbsi_hwdata(10) => n_ahbsi_hwdata(10), n_ahbsi_hwdata(11) => n_ahbsi_hwdata(11), n_ahbsi_hwdata(12) => n_ahbsi_hwdata(12), n_ahbsi_hwdata(13) => n_ahbsi_hwdata(13), n_ahbsi_hwdata(14) => n_ahbsi_hwdata(14), n_ahbsi_hwdata(15) => n_ahbsi_hwdata(15), n_ahbsi_hwdata(16) => n_ahbsi_hwdata(16), n_ahbsi_hwdata(17) => n_ahbsi_hwdata(17), n_ahbsi_hwdata(18) => n_ahbsi_hwdata(18), n_ahbsi_hwdata(19) => n_ahbsi_hwdata(19), n_ahbsi_hwdata(20) => n_ahbsi_hwdata(20), n_ahbsi_hwdata(21) => n_ahbsi_hwdata(21), n_ahbsi_hwdata(22) => n_ahbsi_hwdata(22), n_ahbsi_hwdata(23) => n_ahbsi_hwdata(23), n_ahbsi_hwdata(24) => n_ahbsi_hwdata(24), n_ahbsi_hwdata(25) => n_ahbsi_hwdata(25), n_ahbsi_hwdata(26) => n_ahbsi_hwdata(26), n_ahbsi_hwdata(27) => n_ahbsi_hwdata(27), n_ahbsi_hwdata(28) => n_ahbsi_hwdata(28), n_ahbsi_hwdata(29) => n_ahbsi_hwdata(29), n_ahbsi_hwdata(30) => n_ahbsi_hwdata(30), n_ahbsi_hwdata(31) => n_ahbsi_hwdata(31), n_ahbsi_hsize(0) => n_ahbsi_hsize(0), n_ahbsi_hsize(1) => n_ahbsi_hsize(1), size(0) => WRP_R_SIZE(0), size(1) => WRP_R_SIZE(1), n_sro_data(0) => n_sro_data(0), n_sro_data(1) => n_sro_data(1), n_sro_data(2) => n_sro_data(2), n_sro_data(3) => n_sro_data(3), n_sro_data(4) => n_sro_data(4), n_sro_data(5) => n_sro_data(5), n_sro_data(6) => n_sro_data(6), n_sro_data(7) => n_sro_data(7), n_sro_data(8) => n_sro_data(8), n_sro_data(9) => n_sro_data(9), n_sro_data(10) => n_sro_data(10), n_sro_data(11) => n_sro_data(11), n_sro_data(12) => n_sro_data(12), n_sro_data(13) => n_sro_data(13), n_sro_data(14) => n_sro_data(14), n_sro_data(15) => n_sro_data(15), n_sro_data(16) => n_sro_data(16), n_sro_data(17) => n_sro_data(17), n_sro_data(18) => n_sro_data(18), n_sro_data(19) => n_sro_data(19), n_sro_data(20) => n_sro_data(20), n_sro_data(21) => n_sro_data(21), n_sro_data(22) => n_sro_data(22), n_sro_data(23) => n_sro_data(23), n_sro_data(24) => n_sro_data(24), n_sro_data(25) => n_sro_data(25), n_sro_data(26) => n_sro_data(26), n_sro_data(27) => n_sro_data(27), n_sro_data(28) => n_sro_data(28), n_sro_data(29) => n_sro_data(29), n_sro_data(30) => n_sro_data(30), n_sro_data(31) => n_sro_data(31), n_sro_ramsn(0) => n_sro_ramsn(0), n_sro_wrn(0) => n_sro_wrn(0), n_sro_wrn(1) => n_sro_wrn(1), n_sro_wrn(2) => n_sro_wrn(2), n_sro_wrn(3) => n_sro_wrn(3), haddr_0 => WRP_HADDR(1), bwn_1_0_o3_0 => WRP_CTRL_V_BWN_1_0_O3(0), hsize_1(1) => WRP_CTRL_HSIZE_1(1), prstate_1_i_o4_s(2) => WRP_V_PRSTATE_1_I_O4_S(2), prstate(0) => WRP_R_PRSTATE(0), prstate(1) => WRP_R_PRSTATE(1), prstate(2) => WRP_R_PRSTATE(2), prstate(3) => WRP_R_PRSTATE(3), prstate(4) => WRP_R_PRSTATE(4), prstate(5) => WRP_R_PRSTATE(5), hmbsel(0) => WRP_R_HMBSEL(0), hmbsel(1) => WRP_R_HMBSEL(1), hmbsel(2) => WRP_R_HMBSEL(2), n_sro_address(0) => N_SRO_ADDRESS_0_INT_172, n_sro_address(1) => N_SRO_ADDRESS_1_INT_173, n_sro_address(2) => n_sro_address(2), n_sro_address(3) => n_sro_address(3), n_sro_address(4) => n_sro_address(4), n_sro_address(5) => n_sro_address(5), n_sro_address(6) => n_sro_address(6), n_sro_address(7) => n_sro_address(7), n_sro_address(8) => n_sro_address(8), n_sro_address(9) => n_sro_address(9), n_sro_address(10) => n_sro_address(10), n_sro_address(11) => n_sro_address(11), n_sro_address(12) => n_sro_address(12), n_sro_address(13) => n_sro_address(13), n_sro_address(14) => n_sro_address(14), n_sro_address(15) => n_sro_address(15), n_sro_address(16) => n_sro_address(16), n_sro_address(17) => n_sro_address(17), n_sro_address(18) => n_sro_address(18), n_sro_address(19) => n_sro_address(19), n_sro_address(20) => n_sro_address(20), n_sro_address(21) => n_sro_address(21), n_sro_address(22) => n_sro_address(22), n_sro_address(23) => n_sro_address(23), n_sro_address(24) => n_sro_address(24), n_sro_address(25) => n_sro_address(25), n_sro_address(26) => n_sro_address(26), n_sro_address(27) => n_sro_address(27), n_sro_address(28) => n_sro_address(28), n_sro_address(29) => n_sro_address(29), n_sro_address(30) => n_sro_address(30), n_sro_address(31) => n_sro_address(31), hready_2 => WRP_CTRL_V_HREADY_2, n_ahbso_hready => n_ahbso_hready, ssrhready_8 => WRP_CTRL_V_SSRHREADY_8, loadcount => WRP_R_LOADCOUNT, n_sro_writen => n_sro_writen, ssrstatec => WRP_R_SSRSTATEC, prhready => WRP_R_PRHREADY, d_m2_0_a2_0 => D_M2_0_A2_0, ssrstate17_2_0_m6_i_a3_a2 => SSRSTATE17_2_0_M6_I_A3_A2, N_319_1 => N_319_1, ws_0_sqmuxa_c => WRP_V_WS_0_SQMUXA_C, N_365 => N_365, ws_0_sqmuxa_0_c => WRP_V_WS_0_SQMUXA_0_C, ws_2_sqmuxa_3_0_4 => WRP_V_WS_2_SQMUXA_3_0_4, change_1_sqmuxa_0 => WRP_UN1_V_CHANGE_1_SQMUXA_0, d16mux_0_sqmuxa => WRP_V_D16MUX_0_SQMUXA, ssrstate_2_sqmuxa_1 => WRP_V_SSRSTATE_2_SQMUXA_1, un7_bus16en => WRP_CTRL_UN7_BUS16EN, N_646 => N_646, loadcount_1_sqmuxa => WRP_V_LOADCOUNT_1_SQMUXA, ssrstate_1_sqmuxa_1_0_m3_0_1 => WRP_UN1_V_SSRSTATE_1_SQMUXA_1_0_M3_0_1, n_apbi_penable => n_apbi_penable, n_apbi_pwrite => n_apbi_pwrite, d_m1_e_0_0 => D_M1_E_0_0, hsel_1_0_L3 => HSEL_1_0_L3, ssrhready_8_f0_L8 => SSRHREADY_8_F0_L8, ssrstate_1_sqmuxa_1 => WRP_V_SSRSTATE_1_SQMUXA_1, ssrhready => WRP_R_SSRHREADY, ssrhready_8_f0_L5 => SSRHREADY_8_F0_L5, ssrstate17_1_xx_mm_N_4 => WRP_CTRL_UN1_V_SSRSTATE17_1_XX_MM_N_4, ws_1_sqmuxa => WRP_V_WS_1_SQMUXA, ws_4_sqmuxa_0 => WRP_V_WS_4_SQMUXA_0, ws_2_sqmuxa_0 => WRP_V_WS_2_SQMUXA_0, ssrstate17_2_0_m6_i_1 => WRP_UN1_V_SSRSTATE17_2_0_M6_I_1, ws_2_sqmuxa_3_0_x => WS_2_SQMUXA_3_0_X, ws_3_sqmuxa_1 => WRP_V_WS_3_SQMUXA_1, ws_2_sqmuxa_3_0_2 => WRP_V_WS_2_SQMUXA_3_0_2, ssrstate_2_i => WRP_UN1_R_SSRSTATE_2_I, ws_2_sqmuxa_3_d => WRP_V_WS_2_SQMUXA_3_D, ws_0_sqmuxa_1 => WRP_V_WS_0_SQMUXA_1, g0_30 => G0_30, hsel_4 => WRP_CTRL_V_HSEL_4, n_ahbsi_hready => n_ahbsi_hready, hsel => WRP_R_HSEL, g0_25 => G0_25, bwn_0_sqmuxa_1 => WRP_V_BWN_0_SQMUXA_1, prstate_2_rep1 => WRP_R_PRSTATE_2_REP1, N_662 => N_662, ssrstate_6_sqmuxa => WRP_V_SSRSTATE_6_SQMUXA, g0_52_x1 => G0_52_X1, g0_52_x0 => G0_52_X0, ssrhready_2_sqmuxa_0_0 => WRP_V_SSRHREADY_2_SQMUXA_0_0, change_1_sqmuxa_N_3 => WRP_V_CHANGE_1_SQMUXA_N_3, ssrstate6_xx_mm_m3 => SSRSTATE6_XX_MM_M3, ssrstate6_1_d_0_L1 => SSRSTATE6_1_D_0_L1, N_656 => N_656, hsel_5 => WRP_CTRL_V_HSEL_5, change_3_f0 => WRP_CTRL_V_CHANGE_3_F0, un1_ahbsi => WRP_CTRL_UN1_AHBSI, change => WRP_R_CHANGE, n_ahbsi_hwrite => n_ahbsi_hwrite, N_574_i => N_574_I, n_sro_iosn => N_SRO_IOSN_INT_259, N_618_i => N_618_I, clk => clk, n_sro_oen => N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268, rst => rst, bwn_1_sqmuxa_2_d => WRP_UN1_V_BWN_1_SQMUXA_2_D, bwn_1_sqmuxa_2_d_0_2 => WRP_UN1_V_BWN_1_SQMUXA_2_D_0_2, ssrstate_2_sqmuxa_i => WRP_UN1_V_SSRSTATE_2_SQMUXA_I, g0_23 => G0_23, N_371 => N_371, loadcount_7 => WRP_CTRL_V_LOADCOUNT_7, bus16en => WRP_CTRL_BUS16EN, d16muxc_0_4 => WRP_R_D16MUXC_0_4, change_3_f1_d_0_0 => WRP_CTRL_V_CHANGE_3_F1_D_0_0, g0_1_0 => G0_1_0, g0_44 => G0_44); II_GND: GND port map ( G => NN_2); II_VCC: VCC port map ( P => NN_1); n_ahbso_hresp(0) <= NN_2; n_ahbso_hresp(1) <= NN_2; n_ahbso_hsplit(0) <= NN_2; n_ahbso_hsplit(1) <= NN_2; n_ahbso_hsplit(2) <= NN_2; n_ahbso_hsplit(3) <= NN_2; n_ahbso_hsplit(4) <= NN_2; n_ahbso_hsplit(5) <= NN_2; n_ahbso_hsplit(6) <= NN_2; n_ahbso_hsplit(7) <= NN_2; n_ahbso_hsplit(8) <= NN_2; n_ahbso_hsplit(9) <= NN_2; n_ahbso_hsplit(10) <= NN_2; n_ahbso_hsplit(11) <= NN_2; n_ahbso_hsplit(12) <= NN_2; n_ahbso_hsplit(13) <= NN_2; n_ahbso_hsplit(14) <= NN_2; n_ahbso_hsplit(15) <= NN_2; n_ahbso_hcache <= NN_1; n_ahbso_hirq(0) <= NN_2; n_ahbso_hirq(1) <= NN_2; n_ahbso_hirq(2) <= NN_2; n_ahbso_hirq(3) <= NN_2; n_ahbso_hirq(4) <= NN_2; n_ahbso_hirq(5) <= NN_2; n_ahbso_hirq(6) <= NN_2; n_ahbso_hirq(7) <= NN_2; n_ahbso_hirq(8) <= NN_2; n_ahbso_hirq(9) <= NN_2; n_ahbso_hirq(10) <= NN_2; n_ahbso_hirq(11) <= NN_2; n_ahbso_hirq(12) <= NN_2; n_ahbso_hirq(13) <= NN_2; n_ahbso_hirq(14) <= NN_2; n_ahbso_hirq(15) <= NN_2; n_ahbso_hirq(16) <= NN_2; n_ahbso_hirq(17) <= NN_2; n_ahbso_hirq(18) <= NN_2; n_ahbso_hirq(19) <= NN_2; n_ahbso_hirq(20) <= NN_2; n_ahbso_hirq(21) <= NN_2; n_ahbso_hirq(22) <= NN_2; n_ahbso_hirq(23) <= NN_2; n_ahbso_hirq(24) <= NN_2; n_ahbso_hirq(25) <= NN_2; n_ahbso_hirq(26) <= NN_2; n_ahbso_hirq(27) <= NN_2; n_ahbso_hirq(28) <= NN_2; n_ahbso_hirq(29) <= NN_2; n_ahbso_hirq(30) <= NN_2; n_ahbso_hirq(31) <= NN_2; n_apbo_prdata(10) <= NN_2; n_apbo_prdata(12) <= NN_2; n_apbo_prdata(13) <= NN_2; n_apbo_prdata(14) <= NN_2; n_apbo_prdata(15) <= NN_2; n_apbo_prdata(16) <= NN_2; n_apbo_prdata(17) <= NN_2; n_apbo_prdata(18) <= NN_2; n_apbo_prdata(24) <= NN_2; n_apbo_prdata(25) <= NN_2; n_apbo_prdata(26) <= NN_2; n_apbo_prdata(27) <= NN_2; n_apbo_prdata(29) <= NN_2; n_apbo_prdata(30) <= NN_2; n_apbo_prdata(31) <= NN_2; n_apbo_pirq(0) <= NN_2; n_apbo_pirq(1) <= NN_2; n_apbo_pirq(2) <= NN_2; n_apbo_pirq(3) <= NN_2; n_apbo_pirq(4) <= NN_2; n_apbo_pirq(5) <= NN_2; n_apbo_pirq(6) <= NN_2; n_apbo_pirq(7) <= NN_2; n_apbo_pirq(8) <= NN_2; n_apbo_pirq(9) <= NN_2; n_apbo_pirq(10) <= NN_2; n_apbo_pirq(11) <= NN_2; n_apbo_pirq(12) <= NN_2; n_apbo_pirq(13) <= NN_2; n_apbo_pirq(14) <= NN_2; n_apbo_pirq(15) <= NN_2; n_apbo_pirq(16) <= NN_2; n_apbo_pirq(17) <= NN_2; n_apbo_pirq(18) <= NN_2; n_apbo_pirq(19) <= NN_2; n_apbo_pirq(20) <= NN_2; n_apbo_pirq(21) <= NN_2; n_apbo_pirq(22) <= NN_2; n_apbo_pirq(23) <= NN_2; n_apbo_pirq(24) <= NN_2; n_apbo_pirq(25) <= NN_2; n_apbo_pirq(26) <= NN_2; n_apbo_pirq(27) <= NN_2; n_apbo_pirq(28) <= NN_2; n_apbo_pirq(29) <= NN_2; n_apbo_pirq(30) <= NN_2; n_apbo_pirq(31) <= NN_2; n_sro_address(0) <= N_SRO_ADDRESS_0_INT_172; n_sro_address(1) <= N_SRO_ADDRESS_1_INT_173; n_sro_sddata(0) <= NN_2; n_sro_sddata(1) <= NN_2; n_sro_sddata(2) <= NN_2; n_sro_sddata(3) <= NN_2; n_sro_sddata(4) <= NN_2; n_sro_sddata(5) <= NN_2; n_sro_sddata(6) <= NN_2; n_sro_sddata(7) <= NN_2; n_sro_sddata(8) <= NN_2; n_sro_sddata(9) <= NN_2; n_sro_sddata(10) <= NN_2; n_sro_sddata(11) <= NN_2; n_sro_sddata(12) <= NN_2; n_sro_sddata(13) <= NN_2; n_sro_sddata(14) <= NN_2; n_sro_sddata(15) <= NN_2; n_sro_sddata(16) <= NN_2; n_sro_sddata(17) <= NN_2; n_sro_sddata(18) <= NN_2; n_sro_sddata(19) <= NN_2; n_sro_sddata(20) <= NN_2; n_sro_sddata(21) <= NN_2; n_sro_sddata(22) <= NN_2; n_sro_sddata(23) <= NN_2; n_sro_sddata(24) <= NN_2; n_sro_sddata(25) <= NN_2; n_sro_sddata(26) <= NN_2; n_sro_sddata(27) <= NN_2; n_sro_sddata(28) <= NN_2; n_sro_sddata(29) <= NN_2; n_sro_sddata(30) <= NN_2; n_sro_sddata(31) <= NN_2; n_sro_sddata(32) <= NN_2; n_sro_sddata(33) <= NN_2; n_sro_sddata(34) <= NN_2; n_sro_sddata(35) <= NN_2; n_sro_sddata(36) <= NN_2; n_sro_sddata(37) <= NN_2; n_sro_sddata(38) <= NN_2; n_sro_sddata(39) <= NN_2; n_sro_sddata(40) <= NN_2; n_sro_sddata(41) <= NN_2; n_sro_sddata(42) <= NN_2; n_sro_sddata(43) <= NN_2; n_sro_sddata(44) <= NN_2; n_sro_sddata(45) <= NN_2; n_sro_sddata(46) <= NN_2; n_sro_sddata(47) <= NN_2; n_sro_sddata(48) <= NN_2; n_sro_sddata(49) <= NN_2; n_sro_sddata(50) <= NN_2; n_sro_sddata(51) <= NN_2; n_sro_sddata(52) <= NN_2; n_sro_sddata(53) <= NN_2; n_sro_sddata(54) <= NN_2; n_sro_sddata(55) <= NN_2; n_sro_sddata(56) <= NN_2; n_sro_sddata(57) <= NN_2; n_sro_sddata(58) <= NN_2; n_sro_sddata(59) <= NN_2; n_sro_sddata(60) <= NN_2; n_sro_sddata(61) <= NN_2; n_sro_sddata(62) <= NN_2; n_sro_sddata(63) <= NN_2; n_sro_ramsn(1) <= NN_1; n_sro_ramsn(2) <= NN_1; n_sro_ramsn(3) <= NN_1; n_sro_ramsn(4) <= NN_1; n_sro_ramsn(5) <= NN_1; n_sro_ramsn(6) <= NN_1; n_sro_ramsn(7) <= NN_1; n_sro_ramoen(0) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(1) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(2) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(3) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(4) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(5) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(6) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramoen(7) <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_ramn <= NN_2; n_sro_romn <= NN_2; n_sro_mben(0) <= NN_2; n_sro_mben(1) <= NN_2; n_sro_mben(2) <= NN_2; n_sro_mben(3) <= NN_2; n_sro_iosn <= N_SRO_IOSN_INT_259; n_sro_romsn(0) <= N_SRO_ROMSN_0_INT_260; n_sro_romsn(1) <= NN_1; n_sro_romsn(2) <= NN_1; n_sro_romsn(3) <= NN_1; n_sro_romsn(4) <= NN_1; n_sro_romsn(5) <= NN_1; n_sro_romsn(6) <= NN_1; n_sro_romsn(7) <= NN_1; n_sro_oen <= N_SRO_OEN_INT_245_INT_246_INT_247_INT_248_INT_249_INT_250_INT_251_INT_252_INT_268; n_sro_bdrive(0) <= N_SRO_BDRIVE_3_INT_269_INT_270_INT_271_INT_272; n_sro_bdrive(1) <= N_SRO_BDRIVE_3_INT_269_INT_270_INT_271_INT_272; n_sro_bdrive(2) <= N_SRO_BDRIVE_3_INT_269_INT_270_INT_271_INT_272; n_sro_bdrive(3) <= N_SRO_BDRIVE_3_INT_269_INT_270_INT_271_INT_272; n_sro_svbdrive(0) <= NN_2; n_sro_svbdrive(1) <= NN_2; n_sro_svbdrive(2) <= NN_2; n_sro_svbdrive(3) <= NN_2; n_sro_svbdrive(4) <= NN_2; n_sro_svbdrive(5) <= NN_2; n_sro_svbdrive(6) <= NN_2; n_sro_svbdrive(7) <= NN_2; n_sro_svbdrive(8) <= NN_2; n_sro_svbdrive(9) <= NN_2; n_sro_svbdrive(10) <= NN_2; n_sro_svbdrive(11) <= NN_2; n_sro_svbdrive(12) <= NN_2; n_sro_svbdrive(13) <= NN_2; n_sro_svbdrive(14) <= NN_2; n_sro_svbdrive(15) <= NN_2; n_sro_svbdrive(16) <= NN_2; n_sro_svbdrive(17) <= NN_2; n_sro_svbdrive(18) <= NN_2; n_sro_svbdrive(19) <= NN_2; n_sro_svbdrive(20) <= NN_2; n_sro_svbdrive(21) <= NN_2; n_sro_svbdrive(22) <= NN_2; n_sro_svbdrive(23) <= NN_2; n_sro_svbdrive(24) <= NN_2; n_sro_svbdrive(25) <= NN_2; n_sro_svbdrive(26) <= NN_2; n_sro_svbdrive(27) <= NN_2; n_sro_svbdrive(28) <= NN_2; n_sro_svbdrive(29) <= NN_2; n_sro_svbdrive(30) <= NN_2; n_sro_svbdrive(31) <= NN_2; n_sro_svbdrive(32) <= NN_2; n_sro_svbdrive(33) <= NN_2; n_sro_svbdrive(34) <= NN_2; n_sro_svbdrive(35) <= NN_2; n_sro_svbdrive(36) <= NN_2; n_sro_svbdrive(37) <= NN_2; n_sro_svbdrive(38) <= NN_2; n_sro_svbdrive(39) <= NN_2; n_sro_svbdrive(40) <= NN_2; n_sro_svbdrive(41) <= NN_2; n_sro_svbdrive(42) <= NN_2; n_sro_svbdrive(43) <= NN_2; n_sro_svbdrive(44) <= NN_2; n_sro_svbdrive(45) <= NN_2; n_sro_svbdrive(46) <= NN_2; n_sro_svbdrive(47) <= NN_2; n_sro_svbdrive(48) <= NN_2; n_sro_svbdrive(49) <= NN_2; n_sro_svbdrive(50) <= NN_2; n_sro_svbdrive(51) <= NN_2; n_sro_svbdrive(52) <= NN_2; n_sro_svbdrive(53) <= NN_2; n_sro_svbdrive(54) <= NN_2; n_sro_svbdrive(55) <= NN_2; n_sro_svbdrive(56) <= NN_2; n_sro_svbdrive(57) <= NN_2; n_sro_svbdrive(58) <= NN_2; n_sro_svbdrive(59) <= NN_2; n_sro_svbdrive(60) <= NN_2; n_sro_svbdrive(61) <= NN_2; n_sro_svbdrive(62) <= NN_2; n_sro_svbdrive(63) <= NN_2; n_sro_read <= NN_2; n_sro_sa(0) <= NN_2; n_sro_sa(1) <= NN_2; n_sro_sa(2) <= NN_2; n_sro_sa(3) <= NN_2; n_sro_sa(4) <= NN_2; n_sro_sa(5) <= NN_2; n_sro_sa(6) <= NN_2; n_sro_sa(7) <= NN_2; n_sro_sa(8) <= NN_2; n_sro_sa(9) <= NN_2; n_sro_sa(10) <= NN_2; n_sro_sa(11) <= NN_2; n_sro_sa(12) <= NN_2; n_sro_sa(13) <= NN_2; n_sro_sa(14) <= NN_2; n_sro_cb(0) <= NN_2; n_sro_cb(1) <= NN_2; n_sro_cb(2) <= NN_2; n_sro_cb(3) <= NN_2; n_sro_cb(4) <= NN_2; n_sro_cb(5) <= NN_2; n_sro_cb(6) <= NN_2; n_sro_cb(7) <= NN_2; n_sro_scb(0) <= NN_2; n_sro_scb(1) <= NN_2; n_sro_scb(2) <= NN_2; n_sro_scb(3) <= NN_2; n_sro_scb(4) <= NN_2; n_sro_scb(5) <= NN_2; n_sro_scb(6) <= NN_2; n_sro_scb(7) <= NN_2; n_sro_vcdrive(0) <= NN_2; n_sro_vcdrive(1) <= NN_2; n_sro_vcdrive(2) <= NN_2; n_sro_vcdrive(3) <= NN_2; n_sro_vcdrive(4) <= NN_2; n_sro_vcdrive(5) <= NN_2; n_sro_vcdrive(6) <= NN_2; n_sro_vcdrive(7) <= NN_2; n_sro_svcdrive(0) <= NN_2; n_sro_svcdrive(1) <= NN_2; n_sro_svcdrive(2) <= NN_2; n_sro_svcdrive(3) <= NN_2; n_sro_svcdrive(4) <= NN_2; n_sro_svcdrive(5) <= NN_2; n_sro_svcdrive(6) <= NN_2; n_sro_svcdrive(7) <= NN_2; n_sro_ce <= NN_2; end beh;
--------------------------------------------------------------------------------------- -- Title : Wishbone slave core for Dummy control registers --------------------------------------------------------------------------------------- -- File : ../rtl/dummy_ctrl_regs.vhd -- Author : auto-generated by wbgen2 from dummy_ctrl_regs_wb_slave.wb -- Created : Fri May 13 11:28:38 2011 -- Standard : VHDL'87 --------------------------------------------------------------------------------------- -- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE dummy_ctrl_regs_wb_slave.wb -- DO NOT HAND-EDIT UNLESS IT'S ABSOLUTELY NECESSARY! --------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity dummy_ctrl_regs_wb_slave is port ( rst_n_i : in std_logic; wb_clk_i : in std_logic; wb_addr_i : in std_logic_vector(1 downto 0); wb_data_i : in std_logic_vector(31 downto 0); wb_data_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_sel_i : in std_logic_vector(3 downto 0); wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; -- Ports for PASS_THROUGH field: 'IRQ' in reg: 'DUMMY_1' dummy_reg_1_o : out std_logic_vector(31 downto 0); dummy_reg_1_wr_o : out std_logic; -- Port for std_logic_vector field: 'Dummy register 2' in reg: 'DUMMY_2' dummy_reg_2_o : out std_logic_vector(31 downto 0); -- Port for std_logic_vector field: 'Dummy register 3' in reg: 'DUMMY_3' dummy_reg_3_o : out std_logic_vector(31 downto 0); -- Port for std_logic_vector field: 'Dummy register for LED control' in reg: 'DUMMY_LED' dummy_reg_led_o : out std_logic_vector(31 downto 0) ); end dummy_ctrl_regs_wb_slave; architecture syn of dummy_ctrl_regs_wb_slave is signal dummy_reg_2_int : std_logic_vector(31 downto 0); signal dummy_reg_3_int : std_logic_vector(31 downto 0); signal dummy_reg_led_int : std_logic_vector(31 downto 0); signal ack_sreg : std_logic_vector(9 downto 0); signal rddata_reg : std_logic_vector(31 downto 0); signal wrdata_reg : std_logic_vector(31 downto 0); signal bwsel_reg : std_logic_vector(3 downto 0); signal rwaddr_reg : std_logic_vector(1 downto 0); signal ack_in_progress : std_logic ; signal wr_int : std_logic ; signal rd_int : std_logic ; signal bus_clock_int : std_logic ; signal allones : std_logic_vector(31 downto 0); signal allzeros : std_logic_vector(31 downto 0); begin -- Some internal signals assignments. For (foreseen) compatibility with other bus standards. wrdata_reg <= wb_data_i; bwsel_reg <= wb_sel_i; bus_clock_int <= wb_clk_i; rd_int <= wb_cyc_i and (wb_stb_i and (not wb_we_i)); wr_int <= wb_cyc_i and (wb_stb_i and wb_we_i); allones <= (others => '1'); allzeros <= (others => '0'); -- -- Main register bank access process. process (bus_clock_int, rst_n_i) begin if (rst_n_i = '0') then ack_sreg <= "0000000000"; ack_in_progress <= '0'; rddata_reg <= "00000000000000000000000000000000"; dummy_reg_1_wr_o <= '0'; dummy_reg_2_int <= "00000000000000000000000000000000"; dummy_reg_3_int <= "00000000000000000000000000000000"; dummy_reg_led_int <= "00000000000000000000000000000000"; elsif rising_edge(bus_clock_int) then -- advance the ACK generator shift register ack_sreg(8 downto 0) <= ack_sreg(9 downto 1); ack_sreg(9) <= '0'; if (ack_in_progress = '1') then if (ack_sreg(0) = '1') then dummy_reg_1_wr_o <= '0'; ack_in_progress <= '0'; else dummy_reg_1_wr_o <= '0'; end if; else if ((wb_cyc_i = '1') and (wb_stb_i = '1')) then case rwaddr_reg(1 downto 0) is when "00" => if (wb_we_i = '1') then dummy_reg_1_wr_o <= '1'; else rddata_reg(0) <= 'X'; rddata_reg(1) <= 'X'; rddata_reg(2) <= 'X'; rddata_reg(3) <= 'X'; rddata_reg(4) <= 'X'; rddata_reg(5) <= 'X'; rddata_reg(6) <= 'X'; rddata_reg(7) <= 'X'; rddata_reg(8) <= 'X'; rddata_reg(9) <= 'X'; rddata_reg(10) <= 'X'; rddata_reg(11) <= 'X'; rddata_reg(12) <= 'X'; rddata_reg(13) <= 'X'; rddata_reg(14) <= 'X'; rddata_reg(15) <= 'X'; rddata_reg(16) <= 'X'; rddata_reg(17) <= 'X'; rddata_reg(18) <= 'X'; rddata_reg(19) <= 'X'; rddata_reg(20) <= 'X'; rddata_reg(21) <= 'X'; rddata_reg(22) <= 'X'; rddata_reg(23) <= 'X'; rddata_reg(24) <= 'X'; rddata_reg(25) <= 'X'; rddata_reg(26) <= 'X'; rddata_reg(27) <= 'X'; rddata_reg(28) <= 'X'; rddata_reg(29) <= 'X'; rddata_reg(30) <= 'X'; rddata_reg(31) <= 'X'; end if; ack_sreg(0) <= '1'; ack_in_progress <= '1'; when "01" => if (wb_we_i = '1') then dummy_reg_2_int <= wrdata_reg(31 downto 0); else rddata_reg(31 downto 0) <= dummy_reg_2_int; end if; ack_sreg(0) <= '1'; ack_in_progress <= '1'; when "10" => if (wb_we_i = '1') then dummy_reg_3_int <= wrdata_reg(31 downto 0); else rddata_reg(31 downto 0) <= dummy_reg_3_int; end if; ack_sreg(0) <= '1'; ack_in_progress <= '1'; when "11" => if (wb_we_i = '1') then dummy_reg_led_int <= wrdata_reg(31 downto 0); else rddata_reg(31 downto 0) <= dummy_reg_led_int; end if; ack_sreg(0) <= '1'; ack_in_progress <= '1'; when others => -- prevent the slave from hanging the bus on invalid address ack_in_progress <= '1'; ack_sreg(0) <= '1'; end case; end if; end if; end if; end process; -- Drive the data output bus wb_data_o <= rddata_reg; -- IRQ -- pass-through field: IRQ in register: DUMMY_1 dummy_reg_1_o <= wrdata_reg(31 downto 0); -- Dummy register 2 dummy_reg_2_o <= dummy_reg_2_int; -- Dummy register 3 dummy_reg_3_o <= dummy_reg_3_int; -- Dummy register for LED control dummy_reg_led_o <= dummy_reg_led_int; rwaddr_reg <= wb_addr_i; -- ACK signal generation. Just pass the LSB of ACK counter. wb_ack_o <= ack_sreg(0); end syn;