content
stringlengths 1
1.04M
⌀ |
---|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.io_bus_pkg.all;
entity io_dummy is
port (
clock : in std_logic;
io_req : in t_io_req;
io_resp : out t_io_resp );
end entity;
architecture dummy of io_dummy is
begin
io_resp.data <= X"00";
process(clock)
begin
if rising_edge(clock) then
io_resp.ack <= io_req.read or io_req.write;
end if;
end process;
end dummy;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05:29:39 11/13/2013
-- Design Name:
-- Module Name: C:/Users/Jason/Documents/GitHub/cg3207-proj/ALU/Divider_Test.vhd
-- Project Name: Lab3
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: divider
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Divider_Test IS
END Divider_Test;
ARCHITECTURE behavior OF Divider_Test IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT divider
PORT(
enable : IN std_logic;
Control_a : IN std_logic_vector(2 downto 0);
dividend_i : IN std_logic_vector(31 downto 0);
divisor_i : IN std_logic_vector(31 downto 0);
quotient_o : OUT std_logic_vector(31 downto 0);
remainder_o : OUT std_logic_vector(31 downto 0);
done_b : OUT std_logic;
debug_b : OUT std_logic_vector(27 downto 0)
);
END COMPONENT;
--Inputs
signal enable : std_logic := '0';
signal Control_a : std_logic_vector(2 downto 0) := (others => '0');
signal dividend_i : std_logic_vector(31 downto 0) := (others => '0');
signal divisor_i : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal quotient_o : std_logic_vector(31 downto 0);
signal remainder_o : std_logic_vector(31 downto 0);
signal done_b : std_logic;
signal debug_b : std_logic_vector(27 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: divider PORT MAP (
enable => enable,
Control_a => Control_a,
dividend_i => dividend_i,
divisor_i => divisor_i,
quotient_o => quotient_o,
remainder_o => remainder_o,
done_b => done_b,
debug_b => debug_b
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
enable <= '1';
Control_a <= "010";
dividend_i <= X"00000007";
divisor_i <= X"00000004";
wait for 100 ns;
dividend_i <= X"00000009";
-- insert stimulus here
wait;
end process;
END;
|
-------------------------------------------------------------------------------
--! @file edgedetectorRtl.vhd
--
--! @brief Edge detector
--
--! @details This is an edge detector circuit providing any, rising and falling
--! edge outputs.
-------------------------------------------------------------------------------
--
-- (c) B&R, 2014
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--! Common library
library libcommon;
--! Use common library global package
use libcommon.global.all;
entity edgedetector is
port (
--! Asynchronous reset
iArst : in std_logic;
--! Clock
iClk : in std_logic;
--! Enable detection
iEnable : in std_logic;
--! Data to be sampled
iData : in std_logic;
--! Rising edge detected (unregistered)
oRising : out std_logic;
--! Falling edge detected (unregistered)
oFalling : out std_logic;
--! Any edge detected (unregistered)
oAny : out std_logic
);
end edgedetector;
architecture rtl of edgedetector is
--! Register to delay input by one clock cycle
signal reg : std_logic;
--! Register next
signal reg_next : std_logic;
--! Second register
signal reg_l : std_logic;
--! Second register next
signal reg_l_next : std_logic;
begin
-- assign input data to register
reg_next <= iData;
--! Detection
comb : process (
iEnable,
reg,
reg_l
)
begin
-- default
oRising <= cInactivated;
oFalling <= cInactivated;
oAny <= cInactivated;
if iEnable = cActivated then
-- rising edge
if reg_l = cInactivated and reg = cActivated then
oRising <= cActivated;
oAny <= cActivated;
end if;
-- falling edge
if reg_l = cActivated and reg = cInactivated then
oFalling <= cActivated;
oAny <= cActivated;
end if;
end if;
end process;
reg_l_next <= reg;
--! Clock process
regClk : process(iArst, iClk)
begin
if iArst = cActivated then
reg <= cInactivated;
reg_l <= cInactivated;
elsif rising_edge(iClk) then
reg <= reg_next;
reg_l <= reg_l_next;
end if;
end process;
end rtl;
|
-- ================================================================================
-- Legal Notice: Copyright (C) 1991-2006 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only to
-- program PLD devices (but not masked PLD devices) from Altera. Any other
-- use of such megafunction design, net list, support information, device
-- programming or simulation file, or any other related documentation or
-- information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to
-- the intellectual property, including patents, copyrights, trademarks,
-- trade secrets, or maskworks, embodied in any such megafunction design,
-- net list, support information, device programming or simulation file, or
-- any other related documentation or information provided by Altera or a
-- megafunction partner, remains with Altera, the megafunction partner, or
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
-- ================================================================================
--
-- Generated by: FIR Compiler 12.1
-- Generated on: 2013-11-15 19:24:19
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity tb_fir_band_pass is
--START MEGAWIZARD INSERT CONSTANTS
constant FIR_INPUT_FILE_c : string := "fir_band_pass_input.txt";
constant FIR_OUTPUT_FILE_c : string := "fir_band_pass_output.txt";
constant NUM_OF_CHANNELS_c : natural := 1;
constant DATA_WIDTH_c : natural := 12;
constant CHANNEL_OUT_WIDTH_c : natural := 0;
constant OUT_WIDTH_c : natural := 16;
constant COEF_SET_ADDRESS_WIDTH_c : natural := 0;
constant COEF_RELOAD_BIT_WIDTH_c : natural := 14;
--END MEGAWIZARD INSERT CONSTANTS
end entity tb_fir_band_pass;
--library work;
--library auk_dspip_lib;
-------------------------------------------------------------------------------
architecture rtl of tb_fir_band_pass is
signal ast_sink_data : std_logic_vector (DATA_WIDTH_c-1 downto 0) := (others => '0');
signal ast_source_data : std_logic_vector (OUT_WIDTH_c-1 downto 0);
signal ast_sink_error : std_logic_vector (1 downto 0) := (others => '0');
signal ast_source_error : std_logic_vector (1 downto 0);
signal ast_sink_valid : std_logic := '0';
signal ast_source_valid : std_logic;
signal ast_source_ready : std_logic := '0';
signal clk : std_logic := '0';
signal reset_testbench : std_logic := '0';
signal reset_design : std_logic;
signal eof : std_logic;
signal ast_sink_ready : std_logic;
signal start : std_logic;
signal cnt : natural range 0 to NUM_OF_CHANNELS_c;
constant tclk : time := 10 ns;
constant time_lapse_max : time := 60 us;
signal time_lapse : time;
begin
DUT : entity work.fir_band_pass
port map (
clk => clk,
reset_n => reset_design,
ast_sink_ready => ast_sink_ready,
ast_sink_data => ast_sink_data,
ast_source_data => ast_source_data,
ast_sink_valid => ast_sink_valid,
ast_source_valid => ast_source_valid,
ast_source_ready => ast_source_ready,
ast_sink_error => ast_sink_error,
ast_source_error => ast_source_error);
-- for example purposes, the ready signal is always asserted.
ast_source_ready <= '1';
-- no input error
ast_sink_error <= (others => '0');
-- start valid for first cycle to indicate that the file reading should start.
start_p : process (clk, reset_testbench)
begin
if reset_testbench = '0' then
start <= '1';
elsif rising_edge(clk) then
if ast_sink_valid = '1' and ast_sink_ready = '1' then
start <= '0';
end if;
end if;
end process start_p;
-----------------------------------------------------------------------------------------------
-- Read input data from file
-----------------------------------------------------------------------------------------------
source_model : process(clk) is
file in_file : text open read_mode is FIR_INPUT_FILE_c;
variable data_in : integer;
variable indata : line;
begin
if rising_edge(clk) then
if(reset_testbench = '0') then
ast_sink_data <= std_logic_vector(to_signed(0, DATA_WIDTH_c)) after tclk/4;
ast_sink_valid <= '0' after tclk/4;
eof <= '0';
else
if not endfile(in_file) and (eof = '0') then
eof <= '0';
if((ast_sink_valid = '1' and ast_sink_ready = '1') or
(start = '1'and not (ast_sink_valid = '1' and ast_sink_ready = '0'))) then
readline(in_file, indata);
read(indata, data_in);
ast_sink_valid <= '1' after tclk/4;
ast_sink_data <= std_logic_vector(to_signed(data_in, DATA_WIDTH_c)) after tclk/4;
else
ast_sink_valid <= '1' after tclk/4;
ast_sink_data <= ast_sink_data after tclk/4;
end if;
else
eof <= '1';
ast_sink_valid <= '0' after tclk/4;
ast_sink_data <= std_logic_vector(to_signed(0, DATA_WIDTH_c)) after tclk/4;
end if;
end if;
end if;
end process source_model;
---------------------------------------------------------------------------------------------
-- Write FIR output to file
---------------------------------------------------------------------------------------------
sink_model : process(clk) is
file ro_file : text open write_mode is FIR_OUTPUT_FILE_c;
variable rdata : line;
variable data_r : integer;
begin
if rising_edge(clk) then
if(ast_source_valid = '1' and ast_source_ready = '1') then
data_r := to_integer(signed(ast_source_data));
write(rdata, data_r);
writeline(ro_file, rdata);
end if;
end if;
end process sink_model;
-------------------------------------------------------------------------------
-- clock generator
-------------------------------------------------------------------------------
clkgen : process
begin -- process clkgen
if eof = '1' then
clk <= '0';
assert FALSE
report "NOTE: Stimuli ended" severity note;
wait;
elsif time_lapse >= time_lapse_max then
clk <= '0';
assert FALSE
report "ERROR: Reached time_lapse_max without activity, probably simulation is stuck!" severity Error;
wait;
else
clk <= '0';
wait for tclk/2;
clk <= '1';
wait for tclk/2;
end if;
end process clkgen;
monitor_toggling_activity : process(clk, reset_testbench,
ast_source_data, ast_source_valid)
begin
if reset_testbench = '0' then
time_lapse <= 0 ns;
elsif ast_source_data'event or ast_source_valid'event then
time_lapse <= 0 ns;
elsif rising_edge(clk) then
if time_lapse < time_lapse_max then
time_lapse <= time_lapse + tclk;
end if;
end if;
end process monitor_toggling_activity;
-------------------------------------------------------------------------------
-- reset generator
-------------------------------------------------------------------------------
reset_testbench_gen : process
begin -- process resetgen
reset_testbench <= '1';
wait for tclk/4;
reset_testbench <= '0';
wait for tclk*2;
reset_testbench <= '1';
wait;
end process reset_testbench_gen;
reset_design_gen : process
begin -- process resetgen
reset_design <= '1';
wait for tclk/4;
reset_design <= '0';
wait for tclk*2;
reset_design <= '1';
wait for tclk*80;
reset_design <= '1';
wait for tclk*32*2;
reset_design <= '1';
wait;
end process reset_design_gen;
-------------------------------------------------------------------------------
-- control signals
-------------------------------------------------------------------------------
end architecture rtl;
|
----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/05/21 19:24:22
-- Nombre del módulo: clk5Hz - Behavioral
-- Comentarios adicionales:
-- Implementación de forma exacta, a caso con escala par.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk5Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 5Hz.
);
end clk5Hz;
architecture Behavioral of clk5Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 4999999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 4999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; |
----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/05/21 19:24:22
-- Nombre del módulo: clk5Hz - Behavioral
-- Comentarios adicionales:
-- Implementación de forma exacta, a caso con escala par.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk5Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 5Hz.
);
end clk5Hz;
architecture Behavioral of clk5Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 4999999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 4999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; |
library ieee;
use ieee.std_logic_1164.all,
ieee.numeric_std.all;
entity tdp_ram is
generic (
ADDRWIDTH_A : positive := 12;
WIDTH_A : positive := 8;
ADDRWIDTH_B : positive := 10;
WIDTH_B : positive := 32;
COL_WIDTH : positive := 8
);
port (
clk_a : in std_logic;
read_a : in std_logic;
write_a : in std_logic;
byteen_a : in std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0);
addr_a : in std_logic_vector(ADDRWIDTH_A - 1 downto 0);
data_read_a : out std_logic_vector(WIDTH_A - 1 downto 0);
data_write_a : in std_logic_vector(WIDTH_A - 1 downto 0)
);
end tdp_ram;
architecture behavioral of tdp_ram is
function log2(val : INTEGER) return natural is
variable res : natural;
begin
for i in 0 to 31 loop
if (val <= (2 ** i)) then
res := i;
exit;
end if;
end loop;
return res;
end function log2;
function eq_assert(x : integer; y : integer) return integer is
begin
assert x = y;
return x;
end function eq_assert;
constant COLS_A : positive := WIDTH_A / COL_WIDTH;
constant COLS_B : positive := WIDTH_B / COL_WIDTH;
constant TOTAL_COLS : positive := eq_assert(COLS_A * 2 ** ADDRWIDTH_A, COLS_B * 2 ** ADDRWIDTH_B);
constant EXTRA_ADDR_BITS_A : positive := log2(COLS_A);
constant EXTRA_ADDR_BITS_B : positive := log2(COLS_B);
type ram_t is array(0 to TOTAL_COLS - 1) of std_logic_vector(COL_WIDTH - 1 downto 0);
shared variable store : ram_t := (others => (others => '0'));
signal reg_a : std_logic_vector(WIDTH_A - 1 downto 0);
begin
assert WIDTH_A mod COL_WIDTH = 0 and
WIDTH_B mod COL_WIDTH = 0 and
2 ** (ADDRWIDTH_A + EXTRA_ADDR_BITS_A) = TOTAL_COLS and
2 ** (ADDRWIDTH_B + EXTRA_ADDR_BITS_B) = TOTAL_COLS
report "Both WIDTH_A and WIDTH_B have to be a power-of-two multiple of COL_WIDTH"
severity failure;
process(clk_a)
begin
if rising_edge(clk_a) then
for i in 0 to COLS_A - 1 loop
if write_a = '1' and byteen_a(i) = '1' then
store(to_integer(unsigned(addr_a) & to_unsigned(i, EXTRA_ADDR_BITS_A))) :=
data_write_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH);
end if;
if read_a = '1' then
reg_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH) <=
store(to_integer(unsigned(addr_a) & to_unsigned(i, EXTRA_ADDR_BITS_A)));
end if;
end loop;
data_read_a <= reg_a;
end if;
end process;
end behavioral;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_eb_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:59 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eb_e-e.vhd,v 1.1 2004/04/06 10:50:45 wig Exp $
-- $Date: 2004/04/06 10:50:45 $
-- $Log: inst_eb_e-e.vhd,v $
-- Revision 1.1 2004/04/06 10:50:45 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Version: Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_eb_e
--
entity inst_eb_e is
-- Generics:
-- No Generated Generics for Entity inst_eb_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_eb_e
end inst_eb_e;
--
-- End of Generated Entity inst_eb_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- file: output/ledcon.vhd
-- authors: Alexandre Medeiros and Gabriel Lopes
--
-- A Flappy bird implementation in VHDL for a Digital Circuits course at
-- Unicamp.
--
-- Leds and 7seg display controller -- converts internal signals to led
-- outputs.
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
library module ;
use module.output.hex2disp ;
entity ledcon is
port (
obst_count : in integer range -2 to 255 ;
pause : in std_logic ;
game_over : in std_logic ;
hex0 : out std_logic_vector(0 to 6) ;
hex1 : out std_logic_vector(0 to 6) ;
hex2 : out std_logic_vector(0 to 6) ;
hex3 : out std_logic_vector(0 to 6) ;
ledr : out std_logic_vector(0 to 9) ;
ledg : out std_logic_vector(0 to 7)
) ;
end ledcon ;
architecture behavior of ledcon is
signal val : std_logic_vector(15 downto 0) ;
begin
val <= std_logic_vector(to_unsigned(obst_count, 16)) ;
hex0 <= (others => '1') ;
disp0: hex2disp port map (val(3 downto 0), hex1) ;
disp1: hex2disp port map (val(7 downto 4), hex2) ;
hex3 <= (others => '1') ;
--ledr <= (others => game_over) ;
--ledg <= (others => pause) ;
end behavior ;
|
-- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
--Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
--constant CNT01 : std_logic_vector := x"1458"; --16 Bit
--constant CNT02 : std_logic_vector := x"2C98"; --usw.
--constant CNT03 : std_logic_vector := x"3D08";
--constant CNT04 : std_logic_vector := x"5160";
--constant CNT05 : std_logic_vector := x"65B8";
--constant CNT06 : std_logic_vector := x"7A10";
--constant CNT07 : std_logic_vector := x"8E68";
--constant CNT08 : std_logic_vector := x"A2C0";
--constant CNT09 : std_logic_vector := x"B718";
--constant CNT10 : std_logic_vector := x"CB70";
--Konstanten, lang 19200 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
constant CNT01 : std_logic_vector := x"0A2C"; --16 Bit
constant CNT02 : std_logic_vector := x"1458"; --usw.
constant CNT03 : std_logic_vector := x"1E84";
constant CNT04 : std_logic_vector := x"28B0";
constant CNT05 : std_logic_vector := x"32DC";
constant CNT06 : std_logic_vector := x"3D09";
constant CNT07 : std_logic_vector := x"4735";
constant CNT08 : std_logic_vector := x"5161";
constant CNT09 : std_logic_vector := x"5B8D";
constant CNT10 : std_logic_vector := x"65B9";
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
end Behavioral;
|
-- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
--Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
--constant CNT01 : std_logic_vector := x"1458"; --16 Bit
--constant CNT02 : std_logic_vector := x"2C98"; --usw.
--constant CNT03 : std_logic_vector := x"3D08";
--constant CNT04 : std_logic_vector := x"5160";
--constant CNT05 : std_logic_vector := x"65B8";
--constant CNT06 : std_logic_vector := x"7A10";
--constant CNT07 : std_logic_vector := x"8E68";
--constant CNT08 : std_logic_vector := x"A2C0";
--constant CNT09 : std_logic_vector := x"B718";
--constant CNT10 : std_logic_vector := x"CB70";
--Konstanten, lang 19200 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
constant CNT01 : std_logic_vector := x"0A2C"; --16 Bit
constant CNT02 : std_logic_vector := x"1458"; --usw.
constant CNT03 : std_logic_vector := x"1E84";
constant CNT04 : std_logic_vector := x"28B0";
constant CNT05 : std_logic_vector := x"32DC";
constant CNT06 : std_logic_vector := x"3D09";
constant CNT07 : std_logic_vector := x"4735";
constant CNT08 : std_logic_vector := x"5161";
constant CNT09 : std_logic_vector := x"5B8D";
constant CNT10 : std_logic_vector := x"65B9";
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
end Behavioral;
|
-- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
--Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
--constant CNT01 : std_logic_vector := x"1458"; --16 Bit
--constant CNT02 : std_logic_vector := x"2C98"; --usw.
--constant CNT03 : std_logic_vector := x"3D08";
--constant CNT04 : std_logic_vector := x"5160";
--constant CNT05 : std_logic_vector := x"65B8";
--constant CNT06 : std_logic_vector := x"7A10";
--constant CNT07 : std_logic_vector := x"8E68";
--constant CNT08 : std_logic_vector := x"A2C0";
--constant CNT09 : std_logic_vector := x"B718";
--constant CNT10 : std_logic_vector := x"CB70";
--Konstanten, lang 19200 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
constant CNT01 : std_logic_vector := x"0A2C"; --16 Bit
constant CNT02 : std_logic_vector := x"1458"; --usw.
constant CNT03 : std_logic_vector := x"1E84";
constant CNT04 : std_logic_vector := x"28B0";
constant CNT05 : std_logic_vector := x"32DC";
constant CNT06 : std_logic_vector := x"3D09";
constant CNT07 : std_logic_vector := x"4735";
constant CNT08 : std_logic_vector := x"5161";
constant CNT09 : std_logic_vector := x"5B8D";
constant CNT10 : std_logic_vector := x"65B9";
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
end Behavioral;
|
-- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) und http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
--Konstanten, lang 9600 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
--constant CNT01 : std_logic_vector := x"1458"; --16 Bit
--constant CNT02 : std_logic_vector := x"2C98"; --usw.
--constant CNT03 : std_logic_vector := x"3D08";
--constant CNT04 : std_logic_vector := x"5160";
--constant CNT05 : std_logic_vector := x"65B8";
--constant CNT06 : std_logic_vector := x"7A10";
--constant CNT07 : std_logic_vector := x"8E68";
--constant CNT08 : std_logic_vector := x"A2C0";
--constant CNT09 : std_logic_vector := x"B718";
--constant CNT10 : std_logic_vector := x"CB70";
--Konstanten, lang 19200 Baud, 1 Startbit, 8 Datenbit, 1 Stoppbit, keine Parität
constant CNT01 : std_logic_vector := x"0A2C"; --16 Bit
constant CNT02 : std_logic_vector := x"1458"; --usw.
constant CNT03 : std_logic_vector := x"1E84";
constant CNT04 : std_logic_vector := x"28B0";
constant CNT05 : std_logic_vector := x"32DC";
constant CNT06 : std_logic_vector := x"3D09";
constant CNT07 : std_logic_vector := x"4735";
constant CNT08 : std_logic_vector := x"5161";
constant CNT09 : std_logic_vector := x"5B8D";
constant CNT10 : std_logic_vector := x"65B9";
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if falling_edge(CLK)
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND, SEND_BYTE) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
end Behavioral;
|
--------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: std_logic_misc
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions for the Std_logic_1164 Package.
--
-- Author: GWH
--
--------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
--library SYNOPSYS;
--use SYNOPSYS.attributes.all;
package std_logic_misc is
-- output-strength types
type STRENGTH is (strn_X01, strn_X0H, strn_XL1, strn_X0Z, strn_XZ1,
strn_WLH, strn_WLZ, strn_WZH, strn_W0H, strn_WL1);
--synopsys synthesis_off
type MINOMAX is array (1 to 3) of TIME;
---------------------------------------------------------------------
--
-- functions for mapping the STD_(U)LOGIC according to STRENGTH
--
---------------------------------------------------------------------
function strength_map(input: STD_ULOGIC; strn: STRENGTH) return STD_LOGIC;
function strength_map_z(input:STD_ULOGIC; strn:STRENGTH) return STD_LOGIC;
---------------------------------------------------------------------
--
-- conversion functions for STD_ULOGIC_VECTOR and STD_LOGIC_VECTOR
--
---------------------------------------------------------------------
--synopsys synthesis_on
function Drive (V: STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR;
function Drive (V: STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR;
--synopsys synthesis_off
--attribute CLOSELY_RELATED_TCF of Drive: function is TRUE;
---------------------------------------------------------------------
--
-- conversion functions for sensing various types
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
---------------------------------------------------------------------
function Sense (V: STD_ULOGIC; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC;
function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_LOGIC_VECTOR;
function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_ULOGIC_VECTOR;
function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_LOGIC_VECTOR;
function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_ULOGIC_VECTOR;
--synopsys synthesis_on
---------------------------------------------------------------------
--
-- Function: STD_LOGIC_VECTORtoBIT_VECTOR STD_ULOGIC_VECTORtoBIT_VECTOR
--
-- Purpose: Conversion fun. from STD_(U)LOGIC_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X, W --> vX if Xflag is TRUE
-- X, W --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
-- U --> vU if Uflag is TRUE
-- U --> 0 if Uflag is FALSE
-- - --> vDC if DCflag is TRUE
-- - --> 0 if DCflag is FALSE
--
---------------------------------------------------------------------
function STD_LOGIC_VECTORtoBIT_VECTOR (V: STD_LOGIC_VECTOR
--synopsys synthesis_off
; vX, vZ, vU, vDC: BIT := '0';
Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR;
function STD_ULOGIC_VECTORtoBIT_VECTOR (V: STD_ULOGIC_VECTOR
--synopsys synthesis_off
; vX, vZ, vU, vDC: BIT := '0';
Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR;
---------------------------------------------------------------------
--
-- Function: STD_ULOGICtoBIT
--
-- Purpose: Conversion function from STD_(U)LOGIC to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X, W --> vX if Xflag is TRUE
-- X, W --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
-- U --> vU if Uflag is TRUE
-- U --> 0 if Uflag is FALSE
-- - --> vDC if DCflag is TRUE
-- - --> 0 if DCflag is FALSE
--
---------------------------------------------------------------------
function STD_ULOGICtoBIT (V: STD_ULOGIC
--synopsys synthesis_off
; vX, vZ, vU, vDC: BIT := '0';
Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT;
--------------------------------------------------------------------
function AND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01;
function NAND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01;
function OR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01;
function NOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01;
function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01;
function XNOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01;
function AND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01;
function NAND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01;
function OR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01;
function NOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01;
function XOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01;
function XNOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01;
--synopsys synthesis_off
function fun_BUF3S(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC;
function fun_BUF3SL(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC;
function fun_MUX2x1(Input0, Input1, Sel: UX01) return UX01;
function fun_MAJ23(Input0, Input1, Input2: UX01) return UX01;
function fun_WiredX(Input0, Input1: std_ulogic) return STD_LOGIC;
--synopsys synthesis_on
end;
package body std_logic_misc is
--synopsys synthesis_off
type STRN_STD_ULOGIC_TABLE is array (STD_ULOGIC,STRENGTH) of STD_ULOGIC;
--------------------------------------------------------------------
--
-- Truth tables for output strength --> STD_ULOGIC lookup
--
--------------------------------------------------------------------
-- truth table for output strength --> STD_ULOGIC lookup
constant tbl_STRN_STD_ULOGIC: STRN_STD_ULOGIC_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - |
--------------------------------------------------------------------
--
-- Truth tables for strength --> STD_ULOGIC mapping ('Z' pass through)
--
--------------------------------------------------------------------
-- truth table for output strength --> STD_ULOGIC lookup
constant tbl_STRN_STD_ULOGIC_Z: STRN_STD_ULOGIC_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - |
---------------------------------------------------------------------
--
-- functions for mapping the STD_(U)LOGIC according to STRENGTH
--
---------------------------------------------------------------------
function strength_map(input: STD_ULOGIC; strn: STRENGTH) return STD_LOGIC is
-- pragma subpgm_id 387
begin
return tbl_STRN_STD_ULOGIC(input, strn);
end strength_map;
function strength_map_z(input:STD_ULOGIC; strn:STRENGTH) return STD_LOGIC is
-- pragma subpgm_id 388
begin
return tbl_STRN_STD_ULOGIC_Z(input, strn);
end strength_map_z;
---------------------------------------------------------------------
--
-- conversion functions for STD_LOGIC_VECTOR and STD_ULOGIC_VECTOR
--
---------------------------------------------------------------------
--synopsys synthesis_on
function Drive (V: STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR is
-- pragma built_in SYN_FEED_THRU
-- pragma subpgm_id 389
--synopsys synthesis_off
alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V;
--synopsys synthesis_on
begin
--synopsys synthesis_off
return STD_ULOGIC_VECTOR(Value);
--synopsys synthesis_on
end Drive;
function Drive (V: STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR is
-- pragma built_in SYN_FEED_THRU
-- pragma subpgm_id 390
--synopsys synthesis_off
alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V;
--synopsys synthesis_on
begin
--synopsys synthesis_off
return STD_LOGIC_VECTOR(Value);
--synopsys synthesis_on
end Drive;
--synopsys synthesis_off
---------------------------------------------------------------------
--
-- conversion functions for sensing various types
--
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
---------------------------------------------------------------------
function Sense (V: STD_ULOGIC; vZ, vU, vDC: STD_ULOGIC)
return STD_LOGIC is
-- pragma subpgm_id 391
begin
if V = 'Z' then
return vZ;
elsif V = 'U' then
return vU;
elsif V = '-' then
return vDC;
else
return V;
end if;
end Sense;
function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_LOGIC_VECTOR is
-- pragma subpgm_id 392
alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V;
variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
elsif Value(i) = 'U' then
Result(i) := vU;
elsif Value(i) = '-' then
Result(i) := vDC;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_ULOGIC_VECTOR is
-- pragma subpgm_id 393
alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V;
variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
elsif Value(i) = 'U' then
Result(i) := vU;
elsif Value(i) = '-' then
Result(i) := vDC;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_LOGIC_VECTOR is
-- pragma subpgm_id 394
alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V;
variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
elsif Value(i) = 'U' then
Result(i) := vU;
elsif Value(i) = '-' then
Result(i) := vDC;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC)
return STD_ULOGIC_VECTOR is
-- pragma subpgm_id 395
alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V;
variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
elsif Value(i) = 'U' then
Result(i) := vU;
elsif Value(i) = '-' then
Result(i) := vDC;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
---------------------------------------------------------------------
--
-- Function: STD_LOGIC_VECTORtoBIT_VECTOR
--
-- Purpose: Conversion fun. from STD_LOGIC_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X, W --> vX if Xflag is TRUE
-- X, W --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
-- U --> vU if Uflag is TRUE
-- U --> 0 if Uflag is FALSE
-- - --> vDC if DCflag is TRUE
-- - --> 0 if DCflag is FALSE
--
---------------------------------------------------------------------
--synopsys synthesis_on
function STD_LOGIC_VECTORtoBIT_VECTOR (V: STD_LOGIC_VECTOR
--synopsys synthesis_off
; vX, vZ, vU, vDC: BIT := '0';
Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR is
-- pragma built_in SYN_FEED_THRU
-- pragma subpgm_id 396
--synopsys synthesis_off
alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V;
variable Result: BIT_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
case Value(i) is
when '0' | 'L' =>
Result(i) := '0';
when '1' | 'H' =>
Result(i) := '1';
when 'X' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "STD_LOGIC_VECTORtoBIT_VECTOR: X --> 0"
severity WARNING;
end if;
when 'W' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "STD_LOGIC_VECTORtoBIT_VECTOR: W --> 0"
severity WARNING;
end if;
when 'Z' =>
if ( Zflag ) then
Result(i) := vZ;
else
Result(i) := '0';
assert FALSE
report "STD_LOGIC_VECTORtoBIT_VECTOR: Z --> 0"
severity WARNING;
end if;
when 'U' =>
if ( Uflag ) then
Result(i) := vU;
else
Result(i) := '0';
assert FALSE
report "STD_LOGIC_VECTORtoBIT_VECTOR: U --> 0"
severity WARNING;
end if;
when '-' =>
if ( DCflag ) then
Result(i) := vDC;
else
Result(i) := '0';
assert FALSE
report "STD_LOGIC_VECTORtoBIT_VECTOR: - --> 0"
severity WARNING;
end if;
end case;
end loop;
return Result;
--synopsys synthesis_on
end STD_LOGIC_VECTORtoBIT_VECTOR;
---------------------------------------------------------------------
--
-- Function: STD_ULOGIC_VECTORtoBIT_VECTOR
--
-- Purpose: Conversion fun. from STD_ULOGIC_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X, W --> vX if Xflag is TRUE
-- X, W --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
-- U --> vU if Uflag is TRUE
-- U --> 0 if Uflag is FALSE
-- - --> vDC if DCflag is TRUE
-- - --> 0 if DCflag is FALSE
--
---------------------------------------------------------------------
function STD_ULOGIC_VECTORtoBIT_VECTOR (V: STD_ULOGIC_VECTOR
--synopsys synthesis_off
; vX, vZ, vU, vDC: BIT := '0';
Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR is
-- pragma built_in SYN_FEED_THRU
-- pragma subpgm_id 397
--synopsys synthesis_off
alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V;
variable Result: BIT_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
case Value(i) is
when '0' | 'L' =>
Result(i) := '0';
when '1' | 'H' =>
Result(i) := '1';
when 'X' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "STD_ULOGIC_VECTORtoBIT_VECTOR: X --> 0"
severity WARNING;
end if;
when 'W' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "STD_ULOGIC_VECTORtoBIT_VECTOR: W --> 0"
severity WARNING;
end if;
when 'Z' =>
if ( Zflag ) then
Result(i) := vZ;
else
Result(i) := '0';
assert FALSE
report "STD_ULOGIC_VECTORtoBIT_VECTOR: Z --> 0"
severity WARNING;
end if;
when 'U' =>
if ( Uflag ) then
Result(i) := vU;
else
Result(i) := '0';
assert FALSE
report "STD_ULOGIC_VECTORtoBIT_VECTOR: U --> 0"
severity WARNING;
end if;
when '-' =>
if ( DCflag ) then
Result(i) := vDC;
else
Result(i) := '0';
assert FALSE
report "STD_ULOGIC_VECTORtoBIT_VECTOR: - --> 0"
severity WARNING;
end if;
end case;
end loop;
return Result;
--synopsys synthesis_on
end STD_ULOGIC_VECTORtoBIT_VECTOR;
---------------------------------------------------------------------
--
-- Function: STD_ULOGICtoBIT
--
-- Purpose: Conversion function from STD_ULOGIC to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X, W --> vX if Xflag is TRUE
-- X, W --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
-- U --> vU if Uflag is TRUE
-- U --> 0 if Uflag is FALSE
-- - --> vDC if DCflag is TRUE
-- - --> 0 if DCflag is FALSE
--
---------------------------------------------------------------------
function STD_ULOGICtoBIT (V: STD_ULOGIC
--synopsys synthesis_off
; vX, vZ, vU, vDC: BIT := '0';
Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT is
-- pragma built_in SYN_FEED_THRU
-- pragma subpgm_id 398
variable Result: BIT;
begin
--synopsys synthesis_off
case V is
when '0' | 'L' =>
Result := '0';
when '1' | 'H' =>
Result := '1';
when 'X' =>
if ( Xflag ) then
Result := vX;
else
Result := '0';
assert FALSE
report "STD_ULOGICtoBIT: X --> 0"
severity WARNING;
end if;
when 'W' =>
if ( Xflag ) then
Result := vX;
else
Result := '0';
assert FALSE
report "STD_ULOGICtoBIT: W --> 0"
severity WARNING;
end if;
when 'Z' =>
if ( Zflag ) then
Result := vZ;
else
Result := '0';
assert FALSE
report "STD_ULOGICtoBIT: Z --> 0"
severity WARNING;
end if;
when 'U' =>
if ( Uflag ) then
Result := vU;
else
Result := '0';
assert FALSE
report "STD_ULOGICtoBIT: U --> 0"
severity WARNING;
end if;
when '-' =>
if ( DCflag ) then
Result := vDC;
else
Result := '0';
assert FALSE
report "STD_ULOGICtoBIT: - --> 0"
severity WARNING;
end if;
end case;
return Result;
--synopsys synthesis_on
end STD_ULOGICtoBIT;
--------------------------------------------------------------------------
function AND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 399
variable result: STD_LOGIC;
begin
result := '1';
for i in ARG'range loop
result := result and ARG(i);
end loop;
return result;
end;
function NAND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 400
begin
return not AND_REDUCE(ARG);
end;
function OR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 401
variable result: STD_LOGIC;
begin
result := '0';
for i in ARG'range loop
result := result or ARG(i);
end loop;
return result;
end;
function NOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 402
begin
return not OR_REDUCE(ARG);
end;
function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 403
variable result: STD_LOGIC;
begin
result := '0';
for i in ARG'range loop
result := result xor ARG(i);
end loop;
return result;
end;
function XNOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 404
begin
return not XOR_REDUCE(ARG);
end;
function AND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 405
variable result: STD_LOGIC;
begin
result := '1';
for i in ARG'range loop
result := result and ARG(i);
end loop;
return result;
end;
function NAND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 406
begin
return not AND_REDUCE(ARG);
end;
function OR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 407
variable result: STD_LOGIC;
begin
result := '0';
for i in ARG'range loop
result := result or ARG(i);
end loop;
return result;
end;
function NOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 408
begin
return not OR_REDUCE(ARG);
end;
function XOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 409
variable result: STD_LOGIC;
begin
result := '0';
for i in ARG'range loop
result := result xor ARG(i);
end loop;
return result;
end;
function XNOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is
-- pragma subpgm_id 410
begin
return not XOR_REDUCE(ARG);
end;
--synopsys synthesis_off
function fun_BUF3S(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is
-- pragma subpgm_id 411
type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC;
-- truth table for tristate "buf" function (Enable active Low)
constant tbl_BUF3S: TRISTATE_TABLE :=
-- ----------------------------------------------------
-- | Input U X 0 1 | Enable Strength |
-- ---------------------------------|-----------------|
((('U', 'U', 'U', 'U'), --| U X01 |
('U', 'X', 'X', 'X'), --| X X01 |
('Z', 'Z', 'Z', 'Z'), --| 0 X01 |
('U', 'X', '0', '1')), --| 1 X01 |
(('U', 'U', 'U', 'U'), --| U X0H |
('U', 'X', 'X', 'X'), --| X X0H |
('Z', 'Z', 'Z', 'Z'), --| 0 X0H |
('U', 'X', '0', 'H')), --| 1 X0H |
(('U', 'U', 'U', 'U'), --| U XL1 |
('U', 'X', 'X', 'X'), --| X XL1 |
('Z', 'Z', 'Z', 'Z'), --| 0 XL1 |
('U', 'X', 'L', '1')), --| 1 XL1 |
(('U', 'U', 'U', 'Z'), --| U X0Z |
('U', 'X', 'X', 'Z'), --| X X0Z |
('Z', 'Z', 'Z', 'Z'), --| 0 X0Z |
('U', 'X', '0', 'Z')), --| 1 X0Z |
(('U', 'U', 'U', 'U'), --| U XZ1 |
('U', 'X', 'X', 'X'), --| X XZ1 |
('Z', 'Z', 'Z', 'Z'), --| 0 XZ1 |
('U', 'X', 'Z', '1')), --| 1 XZ1 |
(('U', 'U', 'U', 'U'), --| U WLH |
('U', 'W', 'W', 'W'), --| X WLH |
('Z', 'Z', 'Z', 'Z'), --| 0 WLH |
('U', 'W', 'L', 'H')), --| 1 WLH |
(('U', 'U', 'U', 'U'), --| U WLZ |
('U', 'W', 'W', 'Z'), --| X WLZ |
('Z', 'Z', 'Z', 'Z'), --| 0 WLZ |
('U', 'W', 'L', 'Z')), --| 1 WLZ |
(('U', 'U', 'U', 'U'), --| U WZH |
('U', 'W', 'W', 'W'), --| X WZH |
('Z', 'Z', 'Z', 'Z'), --| 0 WZH |
('U', 'W', 'Z', 'H')), --| 1 WZH |
(('U', 'U', 'U', 'U'), --| U W0H |
('U', 'W', 'W', 'W'), --| X W0H |
('Z', 'Z', 'Z', 'Z'), --| 0 W0H |
('U', 'W', '0', 'H')), --| 1 W0H |
(('U', 'U', 'U', 'U'), --| U WL1 |
('U', 'W', 'W', 'W'), --| X WL1 |
('Z', 'Z', 'Z', 'Z'), --| 0 WL1 |
('U', 'W', 'L', '1')));--| 1 WL1 |
begin
return tbl_BUF3S(Strn, Enable, Input);
end fun_BUF3S;
function fun_BUF3SL(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is
-- pragma subpgm_id 412
type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC;
-- truth table for tristate "buf" function (Enable active Low)
constant tbl_BUF3SL: TRISTATE_TABLE :=
-- ----------------------------------------------------
-- | Input U X 0 1 | Enable Strength |
-- ---------------------------------|-----------------|
((('U', 'U', 'U', 'U'), --| U X01 |
('U', 'X', 'X', 'X'), --| X X01 |
('U', 'X', '0', '1'), --| 0 X01 |
('Z', 'Z', 'Z', 'Z')), --| 1 X01 |
(('U', 'U', 'U', 'U'), --| U X0H |
('U', 'X', 'X', 'X'), --| X X0H |
('U', 'X', '0', 'H'), --| 0 X0H |
('Z', 'Z', 'Z', 'Z')), --| 1 X0H |
(('U', 'U', 'U', 'U'), --| U XL1 |
('U', 'X', 'X', 'X'), --| X XL1 |
('U', 'X', 'L', '1'), --| 0 XL1 |
('Z', 'Z', 'Z', 'Z')), --| 1 XL1 |
(('U', 'U', 'U', 'Z'), --| U X0Z |
('U', 'X', 'X', 'Z'), --| X X0Z |
('U', 'X', '0', 'Z'), --| 0 X0Z |
('Z', 'Z', 'Z', 'Z')), --| 1 X0Z |
(('U', 'U', 'U', 'U'), --| U XZ1 |
('U', 'X', 'X', 'X'), --| X XZ1 |
('U', 'X', 'Z', '1'), --| 0 XZ1 |
('Z', 'Z', 'Z', 'Z')), --| 1 XZ1 |
(('U', 'U', 'U', 'U'), --| U WLH |
('U', 'W', 'W', 'W'), --| X WLH |
('U', 'W', 'L', 'H'), --| 0 WLH |
('Z', 'Z', 'Z', 'Z')), --| 1 WLH |
(('U', 'U', 'U', 'U'), --| U WLZ |
('U', 'W', 'W', 'Z'), --| X WLZ |
('U', 'W', 'L', 'Z'), --| 0 WLZ |
('Z', 'Z', 'Z', 'Z')), --| 1 WLZ |
(('U', 'U', 'U', 'U'), --| U WZH |
('U', 'W', 'W', 'W'), --| X WZH |
('U', 'W', 'Z', 'H'), --| 0 WZH |
('Z', 'Z', 'Z', 'Z')), --| 1 WZH |
(('U', 'U', 'U', 'U'), --| U W0H |
('U', 'W', 'W', 'W'), --| X W0H |
('U', 'W', '0', 'H'), --| 0 W0H |
('Z', 'Z', 'Z', 'Z')), --| 1 W0H |
(('U', 'U', 'U', 'U'), --| U WL1 |
('U', 'W', 'W', 'W'), --| X WL1 |
('U', 'W', 'L', '1'), --| 0 WL1 |
('Z', 'Z', 'Z', 'Z')));--| 1 WL1 |
begin
return tbl_BUF3SL(Strn, Enable, Input);
end fun_BUF3SL;
function fun_MUX2x1(Input0, Input1, Sel: UX01) return UX01 is
-- pragma subpgm_id 413
type MUX_TABLE is array (UX01, UX01, UX01) of UX01;
-- truth table for "MUX2x1" function
constant tbl_MUX2x1: MUX_TABLE :=
--------------------------------------------
--| In0 'U' 'X' '0' '1' | Sel In1 |
--------------------------------------------
((('U', 'U', 'U', 'U'), --| 'U' 'U' |
('U', 'U', 'U', 'U'), --| 'X' 'U' |
('U', 'X', '0', '1'), --| '0' 'U' |
('U', 'U', 'U', 'U')), --| '1' 'U' |
(('U', 'X', 'U', 'U'), --| 'U' 'X' |
('U', 'X', 'X', 'X'), --| 'X' 'X' |
('U', 'X', '0', '1'), --| '0' 'X' |
('X', 'X', 'X', 'X')), --| '1' 'X' |
(('U', 'U', '0', 'U'), --| 'U' '0' |
('U', 'X', '0', 'X'), --| 'X' '0' |
('U', 'X', '0', '1'), --| '0' '0' |
('0', '0', '0', '0')), --| '1' '0' |
(('U', 'U', 'U', '1'), --| 'U' '1' |
('U', 'X', 'X', '1'), --| 'X' '1' |
('U', 'X', '0', '1'), --| '0' '1' |
('1', '1', '1', '1')));--| '1' '1' |
begin
return tbl_MUX2x1(Input1, Sel, Input0);
end fun_MUX2x1;
function fun_MAJ23(Input0, Input1, Input2: UX01) return UX01 is
-- pragma subpgm_id 414
type MAJ23_TABLE is array (UX01, UX01, UX01) of UX01;
----------------------------------------------------------------------------
-- The "tbl_MAJ23" truth table return 1 if the majority of three
-- inputs is 1, a 0 if the majority is 0, a X if unknown, and a U if
-- uninitialized.
----------------------------------------------------------------------------
constant tbl_MAJ23: MAJ23_TABLE :=
--------------------------------------------
--| In0 'U' 'X' '0' '1' | In1 In2 |
--------------------------------------------
((('U', 'U', 'U', 'U'), --| 'U' 'U' |
('U', 'U', 'U', 'U'), --| 'X' 'U' |
('U', 'U', '0', 'U'), --| '0' 'U' |
('U', 'U', 'U', '1')), --| '1' 'U' |
(('U', 'U', 'U', 'U'), --| 'U' 'X' |
('U', 'X', 'X', 'X'), --| 'X' 'X' |
('U', 'X', '0', 'X'), --| '0' 'X' |
('U', 'X', 'X', '1')), --| '1' 'X' |
(('U', 'U', '0', 'U'), --| 'U' '0' |
('U', 'X', '0', 'X'), --| 'X' '0' |
('0', '0', '0', '0'), --| '0' '0' |
('U', 'X', '0', '1')), --| '1' '0' |
(('U', 'U', 'U', '1'), --| 'U' '1' |
('U', 'X', 'X', '1'), --| 'X' '1' |
('U', 'X', '0', '1'), --| '0' '1' |
('1', '1', '1', '1')));--| '1' '1' |
begin
return tbl_MAJ23(Input0, Input1, Input2);
end fun_MAJ23;
function fun_WiredX(Input0, Input1: STD_ULOGIC) return STD_LOGIC is
-- pragma subpgm_id 415
TYPE stdlogic_table IS ARRAY(STD_ULOGIC, STD_ULOGIC) OF STD_LOGIC;
-- truth table for "WiredX" function
-------------------------------------------------------------------
-- resolution function
-------------------------------------------------------------------
CONSTANT resolution_table : stdlogic_table := (
-- ---------------------------------------------------------
-- | U X 0 1 Z W L H - | |
-- ---------------------------------------------------------
( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X |
( 'U', 'X', '0', 'X', '0', '0', '0', '0', 'X' ), -- | 0 |
( 'U', 'X', 'X', '1', '1', '1', '1', '1', 'X' ), -- | 1 |
( 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', 'X' ), -- | Z |
( 'U', 'X', '0', '1', 'W', 'W', 'W', 'W', 'X' ), -- | W |
( 'U', 'X', '0', '1', 'L', 'W', 'L', 'W', 'X' ), -- | L |
( 'U', 'X', '0', '1', 'H', 'W', 'W', 'H', 'X' ), -- | H |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ));-- | - |
begin
return resolution_table(Input0, Input1);
end fun_WiredX;
--synopsys synthesis_on
end;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: VGA Toplevel
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Toplevel of the VGA Unit
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_TOPLEVEL is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--SW : in STD_LOGIC_VECTOR (7 downto 0);
PS2_CLK : inout STD_LOGIC;
PS2_DATA : inout STD_LOGIC;
ASCII_D : out STD_LOGIC_VECTOR (7 downto 0); -- Debug ASCII
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_TOPLEVEL;
architecture Structural of VGA_TOPLEVEL is
signal ASCII : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ASCII_RD : STD_LOGIC := '0';
signal ASCII_WE : STD_LOGIC := '0';
signal PCLK : STD_LOGIC;
signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal blank : STD_LOGIC := '0';
signal MUX8to1_OUT : STD_LOGIC := '0';
signal BLINKER_OUTPUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_A : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_B : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_W : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0');
signal DOUT_B : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_C : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0');
begin
ASCII_D<= ASCII;
ADDR_C <= vcount(8 downto 4)*X"50" + hcount(9 downto 3);
ADDR_B <= ADDR_C(11 downto 0);
ADDR_W <= DOUT_B(6 downto 0) & vcount(3 downto 0);
U1: entity work.CLK_25MHZ
port map( CLK_IN => CLK,
CLK_OUT => PCLK);
U2: entity work.vga_controller
port map( RST => RST,
PIXEL_CLK => PCLK,
HS => HSYNC,
VS => VSYNC,
HCOUNT => hcount,
VCOUNT => vcount,
BLANK => blank);
U3: entity work.RGB
port map( VALUE => MUX8to1_OUT,
BLANK => blank,
RED => VGARED,
GRN => VGAGRN,
BLU => VGABLU);
U4: entity work.MUX8to1
port map( SEL => hcount(2 downto 0),
DATA => BLINKER_OUTPUT,
OUTPUT => MUX8to1_OUT);
U5: entity work.FONT_ROM
port map( CLK => CLK,
ADDR => ADDR_W,
DATA => FR_DATA);
U6: entity work.BLINKER
port map( CLK => CLK,
ADDR_B => ADDR_B,
CURSOR_ADR => ADDR_A,
OUTPUT => BLINKER_OUTPUT,
FONT_ROM => FR_DATA);
U7: entity work.VGA_BUFFER_RAM
port map( CLKA => ASCII_RD,
WEA(0)=> ASCII_WE,
ADDRA => ADDR_A, -- (11 DOWNTO 0)
DINA => ASCII, -- (7 DOWNTO 0)
CLKB => CLK,
ADDRB => ADDR_B, -- (11 DOWNTO 0)
DOUTB => DOUT_B); -- (7 DOWNTO 0)
U8: entity work.KEYBOARD_CONTROLLER
port map( CLK => CLK,
RST => RST,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
ASCII_OUT=> ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE);
U9: entity work.CURSOR
port map( ASCII_CODE => ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE,
CURSOR_ADDR => ADDR_A);
end Structural;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: VGA Toplevel
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Toplevel of the VGA Unit
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_TOPLEVEL is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--SW : in STD_LOGIC_VECTOR (7 downto 0);
PS2_CLK : inout STD_LOGIC;
PS2_DATA : inout STD_LOGIC;
ASCII_D : out STD_LOGIC_VECTOR (7 downto 0); -- Debug ASCII
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_TOPLEVEL;
architecture Structural of VGA_TOPLEVEL is
signal ASCII : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ASCII_RD : STD_LOGIC := '0';
signal ASCII_WE : STD_LOGIC := '0';
signal PCLK : STD_LOGIC;
signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal blank : STD_LOGIC := '0';
signal MUX8to1_OUT : STD_LOGIC := '0';
signal BLINKER_OUTPUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_A : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_B : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_W : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0');
signal DOUT_B : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_C : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0');
begin
ASCII_D<= ASCII;
ADDR_C <= vcount(8 downto 4)*X"50" + hcount(9 downto 3);
ADDR_B <= ADDR_C(11 downto 0);
ADDR_W <= DOUT_B(6 downto 0) & vcount(3 downto 0);
U1: entity work.CLK_25MHZ
port map( CLK_IN => CLK,
CLK_OUT => PCLK);
U2: entity work.vga_controller
port map( RST => RST,
PIXEL_CLK => PCLK,
HS => HSYNC,
VS => VSYNC,
HCOUNT => hcount,
VCOUNT => vcount,
BLANK => blank);
U3: entity work.RGB
port map( VALUE => MUX8to1_OUT,
BLANK => blank,
RED => VGARED,
GRN => VGAGRN,
BLU => VGABLU);
U4: entity work.MUX8to1
port map( SEL => hcount(2 downto 0),
DATA => BLINKER_OUTPUT,
OUTPUT => MUX8to1_OUT);
U5: entity work.FONT_ROM
port map( CLK => CLK,
ADDR => ADDR_W,
DATA => FR_DATA);
U6: entity work.BLINKER
port map( CLK => CLK,
ADDR_B => ADDR_B,
CURSOR_ADR => ADDR_A,
OUTPUT => BLINKER_OUTPUT,
FONT_ROM => FR_DATA);
U7: entity work.VGA_BUFFER_RAM
port map( CLKA => ASCII_RD,
WEA(0)=> ASCII_WE,
ADDRA => ADDR_A, -- (11 DOWNTO 0)
DINA => ASCII, -- (7 DOWNTO 0)
CLKB => CLK,
ADDRB => ADDR_B, -- (11 DOWNTO 0)
DOUTB => DOUT_B); -- (7 DOWNTO 0)
U8: entity work.KEYBOARD_CONTROLLER
port map( CLK => CLK,
RST => RST,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
ASCII_OUT=> ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE);
U9: entity work.CURSOR
port map( ASCII_CODE => ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE,
CURSOR_ADDR => ADDR_A);
end Structural;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: VGA Toplevel
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Toplevel of the VGA Unit
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_TOPLEVEL is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--SW : in STD_LOGIC_VECTOR (7 downto 0);
PS2_CLK : inout STD_LOGIC;
PS2_DATA : inout STD_LOGIC;
ASCII_D : out STD_LOGIC_VECTOR (7 downto 0); -- Debug ASCII
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_TOPLEVEL;
architecture Structural of VGA_TOPLEVEL is
signal ASCII : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ASCII_RD : STD_LOGIC := '0';
signal ASCII_WE : STD_LOGIC := '0';
signal PCLK : STD_LOGIC;
signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal blank : STD_LOGIC := '0';
signal MUX8to1_OUT : STD_LOGIC := '0';
signal BLINKER_OUTPUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_A : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_B : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_W : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0');
signal DOUT_B : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_C : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0');
begin
ASCII_D<= ASCII;
ADDR_C <= vcount(8 downto 4)*X"50" + hcount(9 downto 3);
ADDR_B <= ADDR_C(11 downto 0);
ADDR_W <= DOUT_B(6 downto 0) & vcount(3 downto 0);
U1: entity work.CLK_25MHZ
port map( CLK_IN => CLK,
CLK_OUT => PCLK);
U2: entity work.vga_controller
port map( RST => RST,
PIXEL_CLK => PCLK,
HS => HSYNC,
VS => VSYNC,
HCOUNT => hcount,
VCOUNT => vcount,
BLANK => blank);
U3: entity work.RGB
port map( VALUE => MUX8to1_OUT,
BLANK => blank,
RED => VGARED,
GRN => VGAGRN,
BLU => VGABLU);
U4: entity work.MUX8to1
port map( SEL => hcount(2 downto 0),
DATA => BLINKER_OUTPUT,
OUTPUT => MUX8to1_OUT);
U5: entity work.FONT_ROM
port map( CLK => CLK,
ADDR => ADDR_W,
DATA => FR_DATA);
U6: entity work.BLINKER
port map( CLK => CLK,
ADDR_B => ADDR_B,
CURSOR_ADR => ADDR_A,
OUTPUT => BLINKER_OUTPUT,
FONT_ROM => FR_DATA);
U7: entity work.VGA_BUFFER_RAM
port map( CLKA => ASCII_RD,
WEA(0)=> ASCII_WE,
ADDRA => ADDR_A, -- (11 DOWNTO 0)
DINA => ASCII, -- (7 DOWNTO 0)
CLKB => CLK,
ADDRB => ADDR_B, -- (11 DOWNTO 0)
DOUTB => DOUT_B); -- (7 DOWNTO 0)
U8: entity work.KEYBOARD_CONTROLLER
port map( CLK => CLK,
RST => RST,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
ASCII_OUT=> ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE);
U9: entity work.CURSOR
port map( ASCII_CODE => ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE,
CURSOR_ADDR => ADDR_A);
end Structural;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: VGA Toplevel
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Toplevel of the VGA Unit
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_TOPLEVEL is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--SW : in STD_LOGIC_VECTOR (7 downto 0);
PS2_CLK : inout STD_LOGIC;
PS2_DATA : inout STD_LOGIC;
ASCII_D : out STD_LOGIC_VECTOR (7 downto 0); -- Debug ASCII
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_TOPLEVEL;
architecture Structural of VGA_TOPLEVEL is
signal ASCII : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ASCII_RD : STD_LOGIC := '0';
signal ASCII_WE : STD_LOGIC := '0';
signal PCLK : STD_LOGIC;
signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal blank : STD_LOGIC := '0';
signal MUX8to1_OUT : STD_LOGIC := '0';
signal BLINKER_OUTPUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_A : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_B : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_W : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0');
signal DOUT_B : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_C : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0');
begin
ASCII_D<= ASCII;
ADDR_C <= vcount(8 downto 4)*X"50" + hcount(9 downto 3);
ADDR_B <= ADDR_C(11 downto 0);
ADDR_W <= DOUT_B(6 downto 0) & vcount(3 downto 0);
U1: entity work.CLK_25MHZ
port map( CLK_IN => CLK,
CLK_OUT => PCLK);
U2: entity work.vga_controller
port map( RST => RST,
PIXEL_CLK => PCLK,
HS => HSYNC,
VS => VSYNC,
HCOUNT => hcount,
VCOUNT => vcount,
BLANK => blank);
U3: entity work.RGB
port map( VALUE => MUX8to1_OUT,
BLANK => blank,
RED => VGARED,
GRN => VGAGRN,
BLU => VGABLU);
U4: entity work.MUX8to1
port map( SEL => hcount(2 downto 0),
DATA => BLINKER_OUTPUT,
OUTPUT => MUX8to1_OUT);
U5: entity work.FONT_ROM
port map( CLK => CLK,
ADDR => ADDR_W,
DATA => FR_DATA);
U6: entity work.BLINKER
port map( CLK => CLK,
ADDR_B => ADDR_B,
CURSOR_ADR => ADDR_A,
OUTPUT => BLINKER_OUTPUT,
FONT_ROM => FR_DATA);
U7: entity work.VGA_BUFFER_RAM
port map( CLKA => ASCII_RD,
WEA(0)=> ASCII_WE,
ADDRA => ADDR_A, -- (11 DOWNTO 0)
DINA => ASCII, -- (7 DOWNTO 0)
CLKB => CLK,
ADDRB => ADDR_B, -- (11 DOWNTO 0)
DOUTB => DOUT_B); -- (7 DOWNTO 0)
U8: entity work.KEYBOARD_CONTROLLER
port map( CLK => CLK,
RST => RST,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
ASCII_OUT=> ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE);
U9: entity work.CURSOR
port map( ASCII_CODE => ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE,
CURSOR_ADDR => ADDR_A);
end Structural;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity fpga_top is
Port ( clk : in STD_LOGIC;
led : out STD_LOGIC;
bluetooth_rxd : out STD_LOGIC;
bluetooth_txd : in STD_LOGIC;
display_rgb1 : out STD_LOGIC_VECTOR (2 downto 0);
display_rgb2 : out STD_LOGIC_VECTOR (2 downto 0);
display_addr : out STD_LOGIC_VECTOR (3 downto 0);
display_clk : out STD_LOGIC;
display_oe : out STD_LOGIC;
display_lat : out STD_LOGIC;
usb_rxd : out STD_LOGIC;
usb_txd : in STD_LOGIC;
height : in STD_LOGIC_VECTOR (3 downto 0);
mode : in STD_LOGIC;
on_off : in STD_LOGIC;
sysclk : in STD_LOGIC;
pll_locked : in STD_LOGIC
);
end fpga_top;
architecture rtl of fpga_top is
--component pll
-- port
-- (-- Clock in ports
-- CLK_IN : in std_logic;
-- -- Clock out ports
-- CLK_OUT1 : out std_logic;
-- CLK_OUT2 : out std_logic;
-- -- Status and control signals
-- RESET : in std_logic;
-- LOCKED : out std_logic
-- );
--end component;
component uart_rx
generic (
log2_oversampling : integer := 7);
port (
RST : in std_logic;
RDCLK : in std_logic;
CLKOSX : in std_logic;
RXD : in std_logic;
RDADDR : in std_logic_vector(8 downto 0);
RDDATA : out std_logic_vector(47 downto 0);
FRAMESEL : out std_logic);
end component;
component display_control
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
display_ena : in STD_LOGIC;
ram_data : in STD_LOGIC_VECTOR (47 downto 0);
ram_address : out STD_LOGIC_VECTOR ( 8 downto 0);
display_rgb1 : out STD_LOGIC_VECTOR ( 2 downto 0);
display_rgb2 : out STD_LOGIC_VECTOR ( 2 downto 0);
display_addr : out STD_LOGIC_VECTOR ( 3 downto 0);
display_clk : out STD_LOGIC;
display_oe : out STD_LOGIC;
display_lat : out STD_LOGIC);
end component;
component animationV
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
height : in STD_LOGIC_VECTOR ( 3 downto 0);
ram_address : in STD_LOGIC_VECTOR ( 8 downto 0);
ram_data : out STD_LOGIC_VECTOR (47 downto 0);
mode : in STD_LOGIC;
on_off : in STD_LOGIC);
end component;
--signal sysclk : std_logic;
signal uart_clk : std_logic;
--signal pll_locked : std_logic;
signal rst : std_logic;
signal rgb_addr : std_logic_vector(8 downto 0);
signal rgb_data : std_logic_vector(47 downto 0);
signal rgb_frame : std_logic;
signal uart_active : std_logic;
signal uart_data : std_logic_vector(47 downto 0);
signal anim_data : std_logic_vector(47 downto 0);
signal gnd0 : std_logic := '0';
signal vcc0 : std_logic := '1';
--signal height : std_logic_vector(3 downto 0) := "0011";
begin
--led <= pll_locked and rgb_frame;
rst <= not pll_locked;
bluetooth_rxd <= '1';
usb_rxd <= '1';
--pll_inst : pll
-- port map
-- (-- Clock in ports
-- CLK_IN => clk,
-- -- Clock out ports
-- CLK_OUT1 => sysclk,
-- CLK_OUT2 => uart_clk,
-- -- Status and control signals
-- RESET => gnd0,
-- LOCKED => pll_locked);
rx_i : uart_rx
generic map (
log2_oversampling => 7)
port map (
RST => rst,
RDCLK => sysclk,
CLKOSX => uart_clk,
--RXD => bluetooth_txd,
RXD => usb_txd,
RDADDR => rgb_addr,
RDDATA => uart_data,
FRAMESEL => rgb_frame);
disp_i : display_control
port map (
clk => sysclk,
rst => rst,
display_ena => vcc0,
ram_data => rgb_data,
ram_address => rgb_addr,
display_rgb1 => display_rgb1,
display_rgb2 => display_rgb2,
display_addr => display_addr,
display_clk => display_clk,
display_oe => display_oe,
display_lat => display_lat);
anim_i : animationV
port map (
clk => sysclk,
rst => rst,
height => height,
ram_address => rgb_addr,
ram_data => anim_data,
mode => mode,
on_off => on_off);
--rgb_data <= uart_data when (uart_active = '1') else anim_data;
rgb_data <= anim_data;
uart_proc : process (rst, sysclk)
begin
if rst = '1' then
uart_active <= '1';
elsif rising_edge(sysclk) then
if rgb_frame = '0' then
uart_active <= '1';
end if;
end if;
end process uart_proc;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_unsigned.all;
entity tb is
end tb;
architecture tb of tb is
signal clock, reset, start, encrypt, ready: std_logic := '0';
signal key: std_logic_vector(127 downto 0);
signal input, output: std_logic_vector(63 downto 0);
begin
reset <= '0', '1' after 5 ns, '0' after 500 ns;
process --25Mhz system clock
begin
clock <= not clock;
wait for 20 ns;
clock <= not clock;
wait for 20 ns;
end process;
start <= '0', '1' after 1000 ns;
encrypt <= '1';
key <= x"f0e1d2c3b4a5968778695a4b3c2d1e0f";
input <= x"1234567890123456";
-- XTEA core
core: entity work.xtea
port map( clock => clock,
reset => reset,
start => start,
encrypt => encrypt,
key => key,
input => input,
output => output,
ready => ready
);
end tb;
|
-- megafunction wizard: %FIFO%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: scfifo
-- ============================================================
-- File Name: fifo.vhd
-- Megafunction Name(s):
-- scfifo
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 222 10/21/2009 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2009 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY fifo IS
PORT
(
clock : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
rdreq : IN STD_LOGIC ;
sclr : IN STD_LOGIC ;
wrreq : IN STD_LOGIC ;
almost_empty : OUT STD_LOGIC ;
almost_full : OUT STD_LOGIC ;
empty : OUT STD_LOGIC ;
full : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
usedw : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END fifo;
ARCHITECTURE SYN OF fifo IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC ;
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL sub_wire5 : STD_LOGIC ;
COMPONENT scfifo
GENERIC (
add_ram_output_register : STRING;
almost_empty_value : NATURAL;
almost_full_value : NATURAL;
intended_device_family : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
overflow_checking : STRING;
underflow_checking : STRING;
use_eab : STRING
);
PORT (
almost_full : OUT STD_LOGIC ;
usedw : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
rdreq : IN STD_LOGIC ;
sclr : IN STD_LOGIC ;
empty : OUT STD_LOGIC ;
almost_empty : OUT STD_LOGIC ;
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
wrreq : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
full : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
almost_full <= sub_wire0;
usedw <= sub_wire1(4 DOWNTO 0);
empty <= sub_wire2;
almost_empty <= sub_wire3;
q <= sub_wire4(31 DOWNTO 0);
full <= sub_wire5;
scfifo_component : scfifo
GENERIC MAP (
add_ram_output_register => "OFF",
almost_empty_value => 32,
almost_full_value => 1,
intended_device_family => "Cyclone II",
lpm_numwords => 32,
lpm_showahead => "ON",
lpm_type => "scfifo",
lpm_width => 32,
lpm_widthu => 5,
overflow_checking => "ON",
underflow_checking => "ON",
use_eab => "ON"
)
PORT MAP (
rdreq => rdreq,
sclr => sclr,
clock => clock,
wrreq => wrreq,
data => data,
almost_full => sub_wire0,
usedw => sub_wire1,
empty => sub_wire2,
almost_empty => sub_wire3,
q => sub_wire4,
full => sub_wire5
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "32"
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "1"
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1"
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
-- Retrieval info: PRIVATE: Depth NUMERIC "32"
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
-- Retrieval info: PRIVATE: Full NUMERIC "1"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: Optimize NUMERIC "2"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
-- Retrieval info: PRIVATE: Width NUMERIC "32"
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
-- Retrieval info: PRIVATE: output_width NUMERIC "32"
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "1"
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
-- Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
-- Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "32"
-- Retrieval info: CONSTANT: ALMOST_FULL_VALUE NUMERIC "1"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "32"
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32"
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "5"
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
-- Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty
-- Retrieval info: USED_PORT: almost_full 0 0 0 0 OUTPUT NODEFVAL almost_full
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL data[31..0]
-- Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
-- Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
-- Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL q[31..0]
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
-- Retrieval info: USED_PORT: sclr 0 0 0 0 INPUT NODEFVAL sclr
-- Retrieval info: USED_PORT: usedw 0 0 5 0 OUTPUT NODEFVAL usedw[4..0]
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
-- Retrieval info: CONNECT: @data 0 0 32 0 data 0 0 32 0
-- Retrieval info: CONNECT: q 0 0 32 0 @q 0 0 32 0
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
-- Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
-- Retrieval info: CONNECT: usedw 0 0 5 0 @usedw 0 0 5 0
-- Retrieval info: CONNECT: almost_full 0 0 0 0 @almost_full 0 0 0 0
-- Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0
-- Retrieval info: CONNECT: @sclr 0 0 0 0 sclr 0 0 0 0
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL fifo_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2010 Gideon's Logic Architectures'
--
-------------------------------------------------------------------------------
--
-- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com)
--
-- Note that this file is copyrighted, and is not supposed to be used in other
-- projects without written permission from the author.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.sid_debug_pkg.all;
-- LUT: 195, FF:68
entity adsr_multi is
generic (
g_num_voices : integer := 8 );
port (
clock : in std_logic;
reset : in std_logic;
voice_i : in unsigned(3 downto 0);
enable_i : in std_logic;
voice_o : out unsigned(3 downto 0);
enable_o : out std_logic;
gate : in std_logic;
attack : in std_logic_vector(3 downto 0);
decay : in std_logic_vector(3 downto 0);
sustain : in std_logic_vector(3 downto 0);
release : in std_logic_vector(3 downto 0);
env_state: out std_logic_vector(1 downto 0); -- for testing only
env_out : out unsigned(7 downto 0) );
end adsr_multi;
-- 158 1 62 .. FF
-- 45 2 35 .. 61
-- 26 4 1C .. 34
-- 13 8 0D .. 1B
-- 6 16 07 .. 0C
-- 7 30 00 .. 06
architecture gideon of adsr_multi is
type presc_array_t is array(natural range <>) of unsigned(15 downto 0);
constant prescalers : presc_array_t(0 to 15) := (
X"0008", X"001F", X"003E", X"005E",
X"0094", X"00DB", X"010A", X"0138",
X"0187", X"03D0", X"07A1", X"0C35",
X"0F42", X"2DC7", X"4C4B", X"7A12" );
signal enveloppe : unsigned(7 downto 0) := (others => '0');
signal state : unsigned(1 downto 0) := (others => '0');
constant st_release : unsigned(1 downto 0) := "00";
constant st_attack : unsigned(1 downto 0) := "01";
constant st_decay : unsigned(1 downto 0) := "11";
type state_array_t is array(natural range <>) of unsigned(29 downto 0);
signal state_array : state_array_t(0 to g_num_voices-1) := (others => (others => '0'));
begin
env_out <= enveloppe;
env_state <= std_logic_vector(state);
-- FF-5E 01
-- 5D-37 02
-- 36-1B 04
-- 1A-0F 08
-- 0E-07 10
-- 06-01 1E
process(clock)
function logarithmic(lev: unsigned(7 downto 0)) return unsigned is
variable res : unsigned(4 downto 0);
begin
if lev = X"00" then
res := "00000"; -- prescaler off
elsif lev < X"07" then
res := "11101"; -- 1E-1
elsif lev < X"0F" then
res := "01111"; -- 10-1
elsif lev < X"1B" then
res := "00111"; -- 08-1
elsif lev < X"37" then
res := "00011"; -- 04-1
elsif lev < X"5E" then
res := "00001"; -- 02-1
else
res := "00000"; -- 01-1
end if;
return res;
end function logarithmic;
variable presc_select : integer range 0 to 15;
variable cur_state : unsigned(1 downto 0);
variable cur_env : unsigned(7 downto 0);
variable cur_pre15 : unsigned(14 downto 0);
variable cur_pre5 : unsigned(4 downto 0);
variable next_state : unsigned(1 downto 0);
variable next_env : unsigned(7 downto 0);
variable next_pre15 : unsigned(14 downto 0);
variable next_pre5 : unsigned(4 downto 0);
variable presc_val : unsigned(14 downto 0);
variable log_div : unsigned(4 downto 0);
variable do_count_15 : std_logic;
variable do_count_5 : std_logic;
begin
if rising_edge(clock) then
cur_state := state_array(0)(1 downto 0);
cur_env := state_array(0)(9 downto 2);
cur_pre15 := state_array(0)(24 downto 10);
cur_pre5 := state_array(0)(29 downto 25);
voice_o <= voice_i;
enable_o <= enable_i;
next_state := cur_state;
next_env := cur_env;
next_pre15 := cur_pre15;
next_pre5 := cur_pre5;
-- PRESCALER LOGIC, output: do_count --
-- 15 bit prescaler select --
case cur_state is
when st_attack =>
presc_select := to_integer(unsigned(attack));
when st_decay =>
presc_select := to_integer(unsigned(decay));
when others => -- includes release and idle
presc_select := to_integer(unsigned(release));
end case;
presc_val := prescalers(presc_select)(14 downto 0);
-- 15 bit prescaler counter --
do_count_15 := '0';
if cur_pre15 = presc_val then
next_pre15 := (others => '0');
do_count_15 := '1';
else
next_pre15 := cur_pre15 + 1;
end if;
-- 5 bit prescaler --
log_div := logarithmic(cur_env);
do_count_5 := '0';
if do_count_15='1' then
if (cur_state = st_attack) or cur_pre5 = log_div then
next_pre5 := "00000";
do_count_5 := '1';
else
next_pre5 := cur_pre5 + 1;
end if;
end if;
-- END PRESCALER LOGIC --
case cur_state is
when st_attack =>
if gate = '0' then
next_state := st_release;
elsif cur_env = X"FF" then
next_state := st_decay;
end if;
if do_count_15='1' then
next_env := cur_env + 1;
-- if cur_env = X"FE" or cur_env = X"FF" then -- result could be FF, but also 00!!
-- next_state := st_decay;
-- end if;
end if;
when st_decay =>
if gate = '0' then
next_state := st_release;
end if;
if do_count_15='1' and do_count_5='1' and
std_logic_vector(cur_env) /= (sustain & sustain) and
cur_env /= X"00" then
next_env := cur_env - 1;
end if;
when st_release =>
if gate = '1' then
next_state := st_attack;
end if;
if do_count_15='1' and do_count_5='1' and
cur_env /= X"00" then
next_env := cur_env - 1;
end if;
when others =>
next_state := st_release;
end case;
if enable_i='1' then
state_array(0 to g_num_voices-2) <= state_array(1 to g_num_voices-1);
state_array(g_num_voices-1) <= next_pre5 & next_pre15 & next_env & next_state;
enveloppe <= next_env;
state <= next_state;
end if;
if reset='1' then
state <= "00";
enveloppe <= (others => '0');
enable_o <= '0';
end if;
end if;
end process;
end gideon;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:21:55 07/01/2017
-- Design Name:
-- Module Name: complex_max - Structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
--! @file complex_max.vhd
--! @author Antonio Riccio, Andrea Scognamiglio, Stefano Sorrentino
--! @brief Entità top-level
--! @example tb_complex_max.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.math_real.ceil;
use IEEE.math_real.log2;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
--! @brief Componente top-level
--! @details Il componente calcola il modulo per ogni campione prima di determinare il massimo
entity complex_max is
Generic ( sample_width : natural := 32; --! Parallelismo in bit del del campione
s : natural := 5; --! Numero di satelliti
d : natural := 4; --! Numero di intervalli doppler
c : natural := 5 ); --! Numero di campioni per intervallo doppler
Port ( clock : in STD_LOGIC; --! Segnale di temporizzazione
reset_n : in STD_LOGIC; --! Segnale di reset 0-attivo
valid_in : in STD_LOGIC; --! Indica che il dato sulla linea di ingresso è valido
ready_in : in STD_LOGIC; --! Indica che il componente a valle è pronto ad accettare valori in ingresso
sample : in STD_LOGIC_VECTOR(sample_width-1 downto 0); --! Valore complesso del campione associato al modulo
sample_max : out STD_LOGIC_VECTOR(sample_width-1 downto 0); --! Valore complesso del massimo
max : out STD_LOGIC_VECTOR(sample_width-1 downto 0); --! Modulo del campione massimo
pos_campione : out STD_LOGIC_VECTOR(natural(ceil(log2(real(c))))-1 downto 0); --! Posizione del massimo nell'intervallo doppler
pos_doppler : out STD_LOGIC_VECTOR(natural(ceil(log2(real(d))))-1 downto 0); --! Intervallo di frequenze doppler al quale appartiene il massimo
pos_satellite : out STD_LOGIC_VECTOR(natural(ceil(log2(real(s))))-1 downto 0); --! Satellite associato al massimo
valid_out : out STD_LOGIC; --! Indica che il dato sulla linea di uscita è valido
ready_out : out STD_LOGIC); --! Indica che questo componente è pronto ad accettare valori in ingresso
end complex_max;
--! @brief Architettura top-level descritta nel dominio strutturale
--! @details L'architettura fa uso dei componenti @ref compute_max e @ref complex_abs
--! racchiusi nei rispettivi wrapper.
--! @see wrapper_complex_abs, wrapper_compute_max
architecture Structural of complex_max is
--! @brief Blocco che calcola il modulo del campione in ingresso
--! @see complex_abs
component wrapper_complex_abs is
generic (
complex_width : natural := 32
);
port (
clock : in STD_LOGIC;
reset_n : in STD_LOGIC;
valid_in : in STD_LOGIC;
ready_in : in STD_LOGIC;
complex_value : in STD_LOGIC_VECTOR(complex_width-1 downto 0);
complex_value_out : out STD_LOGIC_VECTOR(complex_width-1 downto 0);
abs_value : out STD_LOGIC_VECTOR(complex_width-1 downto 0);
valid_out : out STD_LOGIC;
ready_out : out STD_LOGIC
);
end component wrapper_complex_abs;
--! @brief Blocco che calcola il massimo modulo tra tutti i campioni
--! @see compute_max
component wrapper_compute_max is
generic (
sample_width : natural := 32;
s : natural := 2;
d : natural := 2;
c : natural := 3
);
port (
clock : in STD_LOGIC;
reset_n : in STD_LOGIC;
valid_in : in STD_LOGIC;
ready_in : in STD_LOGIC;
sample_abs : in STD_LOGIC_VECTOR(sample_width-1 downto 0);
sample : in STD_LOGIC_VECTOR(sample_width-1 downto 0);
pos_campione : out STD_LOGIC_VECTOR(natural(ceil(log2(real(c))))-1 downto 0);
pos_doppler : out STD_LOGIC_VECTOR(natural(ceil(log2(real(d))))-1 downto 0);
pos_satellite : out STD_LOGIC_VECTOR(natural(ceil(log2(real(s))))-1 downto 0);
max : out STD_LOGIC_VECTOR(sample_width-1 downto 0);
sample_max : out STD_LOGIC_VECTOR(sample_width-1 downto 0);
valid_out : out STD_LOGIC;
ready_out : out STD_LOGIC
);
end component wrapper_compute_max;
signal abs_value_sig : std_logic_vector(sample_width-1 downto 0);
signal valid_out_abs : std_logic;
signal ready_in_abs : std_logic;
signal complex_value_sig : std_logic_vector(sample_width-1 downto 0);
begin
--! Istanza del componente @ref wrapper_complex_abs
wrapper_complex_abs_inst : wrapper_complex_abs
Generic map ( complex_width => sample_width )
Port map ( clock => clock,
reset_n => reset_n,
complex_value => sample,
complex_value_out => complex_value_sig,
abs_value => abs_value_sig,
valid_out => valid_out_abs,
valid_in => valid_in,
ready_out => ready_out,
ready_in => ready_in_abs);
--! Istanza del componente @ref wrapper_compute_max
wrapper_compute_max_inst : wrapper_compute_max
Generic map ( sample_width => sample_width,
s => s,
d => d,
c => c )
Port map ( clock => clock,
reset_n => reset_n,
ready_in => ready_in,
sample_abs => abs_value_sig,
sample => complex_value_sig,
pos_campione => pos_campione,
pos_doppler => pos_doppler,
pos_satellite => pos_satellite,
max => max,
sample_max => sample_max,
valid_in => valid_out_abs,
ready_out => ready_in_abs,
valid_out => valid_out);
end Structural;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_mm2s_mngr.vhd
-- Description: This entity is the top level entity for the AXI DMA MM2S
-- manager.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_mm2s_mngr is
generic(
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1;
-- Depth of DataMover command FIFO
-----------------------------------------------------------------------
-- Scatter Gather Parameters
-----------------------------------------------------------------------
C_INCLUDE_SG : integer range 0 to 1 := 1;
-- Include or Exclude the Scatter Gather Engine
-- 0 = Exclude SG Engine - Enables Simple DMA Mode
-- 1 = Include SG Engine - Enables Scatter Gather Mode
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Descriptor Buffer Length, Transferred Bytes, and Status Stream
-- Rx Length Width. Indicates the least significant valid bits of
-- descriptor buffer length, transferred bytes, or Rx Length value
-- in the status word coincident with tlast.
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32;
-- AXI Master Stream in for descriptor fetch
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Control Stream Data Width
-----------------------------------------------------------------------
-- Memory Map to Stream (MM2S) Parameters
-----------------------------------------------------------------------
C_INCLUDE_MM2S : integer range 0 to 1 := 1;
-- Include or exclude MM2S primary data path
-- 0 = Exclude MM2S primary data path
-- 1 = Include MM2S primary data path
C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for MM2S Read Port
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Target FPGA Device Family
);
port (
-- Secondary Clock and Reset
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Primary Clock and Reset --
axi_prmry_aclk : in std_logic ; --
p_reset_n : in std_logic ; --
--
soft_reset : in std_logic ; --
--
-- MM2S Control and Status --
mm2s_run_stop : in std_logic ; --
mm2s_keyhole : in std_logic ;
mm2s_halted : in std_logic ; --
mm2s_ftch_idle : in std_logic ; --
mm2s_updt_idle : in std_logic ; --
mm2s_ftch_err_early : in std_logic ; --
mm2s_ftch_stale_desc : in std_logic ; --
mm2s_tailpntr_enble : in std_logic ; --
mm2s_halt : in std_logic ; --
mm2s_halt_cmplt : in std_logic ; --
mm2s_halted_clr : out std_logic ; --
mm2s_halted_set : out std_logic ; --
mm2s_idle_set : out std_logic ; --
mm2s_idle_clr : out std_logic ; --
mm2s_new_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
mm2s_new_curdesc_wren : out std_logic ; --
mm2s_stop : out std_logic ; --
mm2s_desc_flush : out std_logic ; --
cntrl_strm_stop : out std_logic ;
mm2s_all_idle : out std_logic ; --
--
mm2s_error : out std_logic ; --
s2mm_error : in std_logic ; --
-- Simple DMA Mode Signals
mm2s_sa : in std_logic_vector --
(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
mm2s_length_wren : in std_logic ; --
mm2s_length : in std_logic_vector --
(C_SG_LENGTH_WIDTH-1 downto 0) ; --
mm2s_smple_done : out std_logic ; --
mm2s_interr_set : out std_logic ; --
mm2s_slverr_set : out std_logic ; --
mm2s_decerr_set : out std_logic ; --
m_axis_mm2s_aclk : in std_logic;
mm2s_strm_tlast : in std_logic;
mm2s_strm_tready : in std_logic;
mm2s_axis_info : out std_logic_vector
(13 downto 0);
--
-- SG MM2S Descriptor Fetch AXI Stream In --
m_axis_mm2s_ftch_tdata : in std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_ftch_tvalid : in std_logic ; --
m_axis_mm2s_ftch_tready : out std_logic ; --
m_axis_mm2s_ftch_tlast : in std_logic ; --
m_axis_mm2s_ftch_tdata_new : in std_logic_vector --
(96 downto 0); --
m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector --
(63 downto 0); --
m_axis_mm2s_ftch_tvalid_new : in std_logic ; --
m_axis_ftch1_desc_available : in std_logic;
--
-- SG MM2S Descriptor Update AXI Stream Out --
s_axis_mm2s_updtptr_tdata : out std_logic_vector --
(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); --
s_axis_mm2s_updtptr_tvalid : out std_logic ; --
s_axis_mm2s_updtptr_tready : in std_logic ; --
s_axis_mm2s_updtptr_tlast : out std_logic ; --
--
s_axis_mm2s_updtsts_tdata : out std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_mm2s_updtsts_tvalid : out std_logic ; --
s_axis_mm2s_updtsts_tready : in std_logic ; --
s_axis_mm2s_updtsts_tlast : out std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_mm2s_cmd_tvalid : out std_logic ; --
s_axis_mm2s_cmd_tready : in std_logic ; --
s_axis_mm2s_cmd_tdata : out std_logic_vector --
((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0);--
--
-- User Status Interface Ports (AXI Stream) --
m_axis_mm2s_sts_tvalid : in std_logic ; --
m_axis_mm2s_sts_tready : out std_logic ; --
m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; --
mm2s_err : in std_logic ; --
--
ftch_error : in std_logic ; --
updt_error : in std_logic ; --
--
-- Memory Map to Stream Control Stream Interface --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic ; --
m_axis_mm2s_cntrl_tlast : out std_logic --
);
end axi_dma_mm2s_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_mm2s_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Primary DataMover Command signals
signal mm2s_cmnd_wr : std_logic := '0';
signal mm2s_cmnd_data : std_logic_vector
((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0');
signal mm2s_cmnd_pending : std_logic := '0';
attribute mark_debug of mm2s_cmnd_data : signal is "true";
-- Primary DataMover Status signals
signal mm2s_done : std_logic := '0';
signal mm2s_stop_i : std_logic := '0';
signal mm2s_interr : std_logic := '0';
signal mm2s_slverr : std_logic := '0';
signal mm2s_decerr : std_logic := '0';
attribute mark_debug of mm2s_interr : signal is "true";
attribute mark_debug of mm2s_slverr : signal is "true";
attribute mark_debug of mm2s_decerr : signal is "true";
signal mm2s_tag : std_logic_vector(3 downto 0) := (others => '0');
signal dma_mm2s_error : std_logic := '0';
signal soft_reset_d1 : std_logic := '0';
signal soft_reset_d2 : std_logic := '0';
signal soft_reset_re : std_logic := '0';
signal mm2s_error_i : std_logic := '0';
--signal cntrl_strm_stop : std_logic := '0';
signal mm2s_halted_set_i : std_logic := '0';
signal mm2s_sts_received_clr : std_logic := '0';
signal mm2s_sts_received : std_logic := '0';
signal mm2s_cmnd_idle : std_logic := '0';
signal mm2s_sts_idle : std_logic := '0';
-- Scatter Gather Interface signals
signal desc_fetch_req : std_logic := '0';
signal desc_fetch_done : std_logic := '0';
signal desc_fetch_done_del : std_logic := '0';
signal desc_update_req : std_logic := '0';
signal desc_update_done : std_logic := '0';
signal desc_available : std_logic := '0';
signal packet_in_progress : std_logic := '0';
signal mm2s_desc_baddress : std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_eof : std_logic := '0';
signal mm2s_desc_sof : std_logic := '0';
signal mm2s_desc_cmplt : std_logic := '0';
signal mm2s_desc_info : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_info_int : std_logic_vector(13 downto 0) := (others => '0');
signal mm2s_strm_tlast_int : std_logic;
signal rd_en_hold, rd_en_hold_int : std_logic;
-- Control Stream Fifo write signals
signal cntrlstrm_fifo_wren : std_logic := '0';
signal cntrlstrm_fifo_full : std_logic := '0';
signal cntrlstrm_fifo_din : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0');
signal info_fifo_full : std_logic;
signal info_fifo_empty : std_logic;
signal updt_pending : std_logic := '0';
signal mm2s_cmnd_wr_1 : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Include MM2S State Machine and support logic
-------------------------------------------------------------------------------
GEN_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 1 generate
begin
-- Pass out to register module
mm2s_halted_set <= mm2s_halted_set_i;
-------------------------------------------------------------------------------
-- Graceful shut down logic
-------------------------------------------------------------------------------
-- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error
-- or SG Fetch error, or Stale Descriptor Error
mm2s_error_i <= dma_mm2s_error -- Primary data mover reports error
or updt_error -- SG Update engine reports error
or ftch_error -- SG Fetch engine reports error
or mm2s_ftch_err_early -- SG Fetch engine reports early error on mm2s
or mm2s_ftch_stale_desc; -- SG Fetch stale descriptor error
-- pass out to shut down s2mm
mm2s_error <= mm2s_error_i;
-- Clear run/stop and stop state machines due to errors or soft reset
-- Error based on datamover error report or sg update error or sg fetch error
-- SG update error and fetch error included because need to shut down, no way
-- to update descriptors on sg update error and on fetch error descriptor
-- data is corrupt therefor do not want to issue the xfer command to primary datamover
--CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore
-- need to stop all processes regardless of the source of the error.
-- mm2s_stop_i <= mm2s_error -- Error
-- or soft_reset; -- Soft Reset issued
mm2s_stop_i <= mm2s_error_i -- Error on MM2S
or s2mm_error -- Error on S2MM
or soft_reset; -- Soft Reset issued
-- Reg stop out
REG_STOP_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_stop <= '0';
else
mm2s_stop <= mm2s_stop_i;
end if;
end if;
end process REG_STOP_OUT;
-- Generate DMA Controller For Scatter Gather Mode
GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate
begin
-- Not Used in SG Mode (Errors are imbedded in updated descriptor and
-- generate error after descriptor update is complete)
mm2s_interr_set <= '0';
mm2s_slverr_set <= '0';
mm2s_decerr_set <= '0';
mm2s_smple_done <= '0';
mm2s_cmnd_wr_1 <= m_axis_mm2s_ftch_tvalid_new;
---------------------------------------------------------------------------
-- MM2S Primary DMA Controller State Machine
---------------------------------------------------------------------------
I_MM2S_SM : entity axi_dma_v7_1.axi_dma_mm2s_sm
generic map(
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ,
C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE ,
C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
mm2s_run_stop => mm2s_run_stop ,
mm2s_keyhole => mm2s_keyhole ,
mm2s_ftch_idle => mm2s_ftch_idle ,
mm2s_cmnd_idle => mm2s_cmnd_idle ,
mm2s_sts_idle => mm2s_sts_idle ,
mm2s_stop => mm2s_stop_i ,
mm2s_desc_flush => mm2s_desc_flush ,
-- MM2S Descriptor Fetch Request (from mm2s_sm)
desc_available => desc_available ,
desc_fetch_req => desc_fetch_req ,
desc_fetch_done => desc_fetch_done ,
desc_update_done => desc_update_done ,
updt_pending => updt_pending ,
packet_in_progress => packet_in_progress ,
-- DataMover Command
mm2s_cmnd_wr => open, --mm2s_cmnd_wr_1 ,
mm2s_cmnd_data => mm2s_cmnd_data ,
mm2s_cmnd_pending => mm2s_cmnd_pending ,
-- Descriptor Fields
mm2s_cache_info => mm2s_desc_info ,
mm2s_desc_baddress => mm2s_desc_baddress ,
mm2s_desc_blength => mm2s_desc_blength ,
mm2s_desc_blength_v => mm2s_desc_blength_v ,
mm2s_desc_blength_s => mm2s_desc_blength_s ,
mm2s_desc_eof => mm2s_desc_eof ,
mm2s_desc_sof => mm2s_desc_sof
);
---------------------------------------------------------------------------
-- MM2S Scatter Gather State Machine
---------------------------------------------------------------------------
I_MM2S_SG_IF : entity axi_dma_v7_1.axi_dma_mm2s_sg_if
generic map(
-------------------------------------------------------------------
-- Scatter Gather Parameters
-------------------------------------------------------------------
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ,
C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ,
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_MICRO_DMA => C_MICRO_DMA,
C_FAMILY => C_FAMILY
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- SG MM2S Descriptor Fetch AXI Stream In
m_axis_mm2s_ftch_tdata => m_axis_mm2s_ftch_tdata ,
m_axis_mm2s_ftch_tvalid => m_axis_mm2s_ftch_tvalid ,
m_axis_mm2s_ftch_tready => m_axis_mm2s_ftch_tready ,
m_axis_mm2s_ftch_tlast => m_axis_mm2s_ftch_tlast ,
m_axis_mm2s_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new ,
m_axis_mm2s_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new ,
m_axis_mm2s_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new ,
m_axis_ftch1_desc_available => m_axis_ftch1_desc_available ,
-- SG MM2S Descriptor Update AXI Stream Out
s_axis_mm2s_updtptr_tdata => s_axis_mm2s_updtptr_tdata ,
s_axis_mm2s_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid ,
s_axis_mm2s_updtptr_tready => s_axis_mm2s_updtptr_tready ,
s_axis_mm2s_updtptr_tlast => s_axis_mm2s_updtptr_tlast ,
s_axis_mm2s_updtsts_tdata => s_axis_mm2s_updtsts_tdata ,
s_axis_mm2s_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid ,
s_axis_mm2s_updtsts_tready => s_axis_mm2s_updtsts_tready ,
s_axis_mm2s_updtsts_tlast => s_axis_mm2s_updtsts_tlast ,
-- MM2S Descriptor Fetch Request (from mm2s_sm)
desc_available => desc_available ,
desc_fetch_req => desc_fetch_req ,
desc_fetch_done => desc_fetch_done ,
updt_pending => updt_pending ,
packet_in_progress => packet_in_progress ,
-- MM2S Descriptor Update Request
desc_update_done => desc_update_done ,
mm2s_ftch_stale_desc => mm2s_ftch_stale_desc ,
mm2s_sts_received_clr => mm2s_sts_received_clr ,
mm2s_sts_received => mm2s_sts_received ,
mm2s_desc_cmplt => mm2s_desc_cmplt ,
mm2s_done => mm2s_done ,
mm2s_interr => mm2s_interr ,
mm2s_slverr => mm2s_slverr ,
mm2s_decerr => mm2s_decerr ,
mm2s_tag => mm2s_tag ,
mm2s_halt => mm2s_halt , -- CR566306
-- Control Stream Output
cntrlstrm_fifo_wren => cntrlstrm_fifo_wren ,
cntrlstrm_fifo_full => cntrlstrm_fifo_full ,
cntrlstrm_fifo_din => cntrlstrm_fifo_din ,
-- MM2S Descriptor Field Output
mm2s_new_curdesc => mm2s_new_curdesc ,
mm2s_new_curdesc_wren => mm2s_new_curdesc_wren ,
mm2s_desc_baddress => mm2s_desc_baddress ,
mm2s_desc_blength => mm2s_desc_blength ,
mm2s_desc_blength_v => mm2s_desc_blength_v ,
mm2s_desc_blength_s => mm2s_desc_blength_s ,
mm2s_desc_info => mm2s_desc_info ,
mm2s_desc_eof => mm2s_desc_eof ,
mm2s_desc_sof => mm2s_desc_sof ,
mm2s_desc_app0 => mm2s_desc_app0 ,
mm2s_desc_app1 => mm2s_desc_app1 ,
mm2s_desc_app2 => mm2s_desc_app2 ,
mm2s_desc_app3 => mm2s_desc_app3 ,
mm2s_desc_app4 => mm2s_desc_app4
);
cntrlstrm_fifo_full <= '0';
end generate GEN_SCATTER_GATHER_MODE;
-- Generate DMA Controller for Simple DMA Mode
GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate
begin
-- Scatter Gather signals not used in Simple DMA Mode
m_axis_mm2s_ftch_tready <= '0';
s_axis_mm2s_updtptr_tdata <= (others => '0');
s_axis_mm2s_updtptr_tvalid <= '0';
s_axis_mm2s_updtptr_tlast <= '0';
s_axis_mm2s_updtsts_tdata <= (others => '0');
s_axis_mm2s_updtsts_tvalid <= '0';
s_axis_mm2s_updtsts_tlast <= '0';
desc_available <= '0';
desc_fetch_done <= '0';
packet_in_progress <= '0';
desc_update_done <= '0';
cntrlstrm_fifo_wren <= '0';
cntrlstrm_fifo_din <= (others => '0');
mm2s_new_curdesc <= (others => '0');
mm2s_new_curdesc_wren <= '0';
mm2s_desc_baddress <= (others => '0');
mm2s_desc_blength <= (others => '0');
mm2s_desc_blength_v <= (others => '0');
mm2s_desc_blength_s <= (others => '0');
mm2s_desc_eof <= '0';
mm2s_desc_sof <= '0';
mm2s_desc_cmplt <= '0';
mm2s_desc_app0 <= (others => '0');
mm2s_desc_app1 <= (others => '0');
mm2s_desc_app2 <= (others => '0');
mm2s_desc_app3 <= (others => '0');
mm2s_desc_app4 <= (others => '0');
desc_fetch_req <= '0';
-- Simple DMA State Machine
I_MM2S_SMPL_SM : entity axi_dma_v7_1.axi_dma_smple_sm
generic map(
C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH,
C_MICRO_DMA => C_MICRO_DMA
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
run_stop => mm2s_run_stop ,
keyhole => mm2s_keyhole ,
stop => mm2s_stop_i ,
cmnd_idle => mm2s_cmnd_idle ,
sts_idle => mm2s_sts_idle ,
-- DataMover Status
sts_received => mm2s_sts_received ,
sts_received_clr => mm2s_sts_received_clr ,
-- DataMover Command
cmnd_wr => mm2s_cmnd_wr_1 ,
cmnd_data => mm2s_cmnd_data ,
cmnd_pending => mm2s_cmnd_pending ,
-- Trasnfer Qualifiers
xfer_length_wren => mm2s_length_wren ,
xfer_address => mm2s_sa ,
xfer_length => mm2s_length
);
-- Pass Done/Error Status out to DMASR
mm2s_interr_set <= mm2s_interr;
mm2s_slverr_set <= mm2s_slverr;
mm2s_decerr_set <= mm2s_decerr;
-- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR.
-- Receive clear when not shutting down
mm2s_smple_done <= mm2s_sts_received_clr when mm2s_stop_i = '0'
-- Else halt set prior to halted being set
else mm2s_halted_set_i when mm2s_halted = '0'
else '0';
end generate GEN_SIMPLE_DMA_MODE;
-------------------------------------------------------------------------------
-- MM2S Primary DataMover command status interface
-------------------------------------------------------------------------------
I_MM2S_CMDSTS : entity axi_dma_v7_1.axi_dma_mm2s_cmdsts_if
generic map(
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL,
C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Fetch command write interface from mm2s sm
mm2s_cmnd_wr => mm2s_cmnd_wr_1 ,
mm2s_cmnd_data => mm2s_cmnd_data ,
mm2s_cmnd_pending => mm2s_cmnd_pending ,
mm2s_sts_received_clr => mm2s_sts_received_clr ,
mm2s_sts_received => mm2s_sts_received ,
mm2s_tailpntr_enble => mm2s_tailpntr_enble ,
mm2s_desc_cmplt => mm2s_desc_cmplt ,
-- User Command Interface Ports (AXI Stream)
s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid ,
s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready ,
s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid ,
m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready ,
m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata ,
m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep ,
-- MM2S Primary DataMover Status
mm2s_err => mm2s_err ,
mm2s_done => mm2s_done ,
mm2s_error => dma_mm2s_error ,
mm2s_interr => mm2s_interr ,
mm2s_slverr => mm2s_slverr ,
mm2s_decerr => mm2s_decerr ,
mm2s_tag => mm2s_tag
);
---------------------------------------------------------------------------
-- Halt / Idle Status Manager
---------------------------------------------------------------------------
I_MM2S_STS_MNGR : entity axi_dma_v7_1.axi_dma_mm2s_sts_mngr
generic map(
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- dma control and sg engine status signals
mm2s_run_stop => mm2s_run_stop ,
mm2s_ftch_idle => mm2s_ftch_idle ,
mm2s_updt_idle => mm2s_updt_idle ,
mm2s_cmnd_idle => mm2s_cmnd_idle ,
mm2s_sts_idle => mm2s_sts_idle ,
-- stop and halt control/status
mm2s_stop => mm2s_stop_i ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
-- system state and control
mm2s_all_idle => mm2s_all_idle ,
mm2s_halted_clr => mm2s_halted_clr ,
mm2s_halted_set => mm2s_halted_set_i ,
mm2s_idle_set => mm2s_idle_set ,
mm2s_idle_clr => mm2s_idle_clr
);
-- MM2S Control Stream Included
GEN_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate
begin
-- Register soft reset to create rising edge pulse to use for shut down.
-- soft_reset from DMACR does not clear until after all reset processes
-- are done. This causes stop to assert too long causing issue with
-- status stream skid buffer.
REG_SFT_RST : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
soft_reset_d1 <= '0';
soft_reset_d2 <= '0';
else
soft_reset_d1 <= soft_reset;
soft_reset_d2 <= soft_reset_d1;
end if;
end if;
end process REG_SFT_RST;
-- Rising edge soft reset pulse
soft_reset_re <= soft_reset_d1 and not soft_reset_d2;
-- Control Stream module stop requires rising edge of soft reset to
-- shut down due to DMACR.SoftReset does not deassert on internal hard reset
-- It clears after therefore do not want to issue another stop to cntrl strm
-- skid buffer.
cntrl_strm_stop <= mm2s_error_i -- Error
or soft_reset_re; -- Soft Reset issued
-- Control stream interface
-- I_MM2S_CNTRL_STREAM : entity axi_dma_v7_1.axi_dma_mm2s_cntrl_strm
-- generic map(
-- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
-- C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH ,
-- C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ,
-- C_FAMILY => C_FAMILY
-- )
-- port map(
-- -- Secondary clock / reset
-- m_axi_sg_aclk => m_axi_sg_aclk ,
-- m_axi_sg_aresetn => m_axi_sg_aresetn ,
--
-- -- Primary clock / reset
-- axi_prmry_aclk => axi_prmry_aclk ,
-- p_reset_n => p_reset_n ,
--
-- -- MM2S Error
-- mm2s_stop => cntrl_strm_stop ,
--
-- -- Control Stream input
---- cntrlstrm_fifo_wren => cntrlstrm_fifo_wren ,
-- cntrlstrm_fifo_full => cntrlstrm_fifo_full ,
-- cntrlstrm_fifo_din => cntrlstrm_fifo_din ,
--
-- -- Memory Map to Stream Control Stream Interface
-- m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
-- m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
-- m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
-- m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
-- m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
--
-- );
end generate GEN_CNTRL_STREAM;
-- MM2S Control Stream Excluded
GEN_NO_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate
begin
soft_reset_d1 <= '0';
soft_reset_d2 <= '0';
soft_reset_re <= '0';
cntrl_strm_stop <= '0';
cntrlstrm_fifo_full <= '1';
end generate GEN_NO_CNTRL_STREAM;
m_axis_mm2s_cntrl_tdata <= (others => '0');
m_axis_mm2s_cntrl_tkeep <= (others => '0');
m_axis_mm2s_cntrl_tvalid <= '0';
m_axis_mm2s_cntrl_tlast <= '0';
end generate GEN_MM2S_DMA_CONTROL;
-------------------------------------------------------------------------------
-- Exclude MM2S State Machine and support logic
-------------------------------------------------------------------------------
GEN_NO_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 0 generate
begin
m_axis_mm2s_ftch_tready <= '0';
s_axis_mm2s_updtptr_tdata <= (others =>'0');
s_axis_mm2s_updtptr_tvalid <= '0';
s_axis_mm2s_updtptr_tlast <= '0';
s_axis_mm2s_updtsts_tdata <= (others =>'0');
s_axis_mm2s_updtsts_tvalid <= '0';
s_axis_mm2s_updtsts_tlast <= '0';
mm2s_new_curdesc <= (others =>'0');
mm2s_new_curdesc_wren <= '0';
s_axis_mm2s_cmd_tvalid <= '0';
s_axis_mm2s_cmd_tdata <= (others =>'0');
m_axis_mm2s_sts_tready <= '0';
mm2s_halted_clr <= '0';
mm2s_halted_set <= '0';
mm2s_idle_set <= '0';
mm2s_idle_clr <= '0';
m_axis_mm2s_cntrl_tdata <= (others => '0');
m_axis_mm2s_cntrl_tkeep <= (others => '0');
m_axis_mm2s_cntrl_tvalid <= '0';
m_axis_mm2s_cntrl_tlast <= '0';
mm2s_stop <= '0';
mm2s_desc_flush <= '0';
mm2s_all_idle <= '1';
mm2s_error <= '0'; -- CR#570587
mm2s_interr_set <= '0';
mm2s_slverr_set <= '0';
mm2s_decerr_set <= '0';
mm2s_smple_done <= '0';
cntrl_strm_stop <= '0';
end generate GEN_NO_MM2S_DMA_CONTROL;
TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 1) generate
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
desc_fetch_done_del <= '0';
else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
desc_fetch_done_del <= desc_fetch_done;
end if;
end if;
end process;
mm2s_desc_info_int <= mm2s_desc_info (19 downto 16) & mm2s_desc_info (12 downto 8) & mm2s_desc_info (4 downto 0);
-- mm2s_strm_tlast_int <= mm2s_strm_tlast and (not info_fifo_empty);
process (m_axis_mm2s_aclk)
begin
if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then
if (p_reset_n = '0') then
rd_en_hold <= '0';
rd_en_hold_int <= '0';
else
if (info_fifo_empty = '1' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then
rd_en_hold <= '1';
rd_en_hold_int <= '0';
elsif (info_fifo_empty = '0') then
rd_en_hold <= mm2s_strm_tlast and mm2s_strm_tready;
rd_en_hold_int <= rd_en_hold;
else
rd_en_hold <= rd_en_hold;
rd_en_hold_int <= rd_en_hold_int;
end if;
end if;
end if;
end process;
-- Following FIFO is used to store the Tuser, Tid and xCache info
I_INFO_FIFO : entity axi_dma_v7_1.axi_dma_afifo_autord
generic map(
C_DWIDTH => 14,
C_DEPTH => 31 ,
C_CNT_WIDTH => 5 ,
C_USE_BLKMEM => 0,
C_USE_AUTORD => 0,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
AFIFO_Ainit => m_axi_sg_aresetn ,
AFIFO_Wr_clk => m_axi_sg_aclk ,
AFIFO_Wr_en => desc_fetch_done_del ,
AFIFO_Din => mm2s_desc_info_int ,
AFIFO_Rd_clk => m_axis_mm2s_aclk ,
AFIFO_Rd_en => rd_en_hold_int, --mm2s_strm_tlast_int ,
AFIFO_Clr_Rd_Data_Valid => '0' ,
-- Outputs
AFIFO_DValid => open ,
AFIFO_Dout => mm2s_axis_info ,
AFIFO_Full => info_fifo_full ,
AFIFO_Empty => info_fifo_empty ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
end generate TDEST_FIFO;
NO_TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 0) generate
mm2s_axis_info <= (others => '0');
end generate NO_TDEST_FIFO;
end implementation;
|
-- Analytical based model of a micro-mirror
-- coming soon ...
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity feedforward_ddiv_64ns_64ns_64_31 is
generic (
ID : integer := 2;
NUM_STAGE : integer := 31;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of feedforward_ddiv_64ns_64ns_64_31 is
--------------------- Component ---------------------
component feedforward_ap_ddiv_29_no_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
feedforward_ap_ddiv_29_no_dsp_64_u : component feedforward_ap_ddiv_29_no_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1;
b_tvalid <= '1';
b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity feedforward_ddiv_64ns_64ns_64_31 is
generic (
ID : integer := 2;
NUM_STAGE : integer := 31;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of feedforward_ddiv_64ns_64ns_64_31 is
--------------------- Component ---------------------
component feedforward_ap_ddiv_29_no_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
feedforward_ap_ddiv_29_no_dsp_64_u : component feedforward_ap_ddiv_29_no_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1;
b_tvalid <= '1';
b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- NEED RESULT: ARCH00474: Functions can return user-defined types passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00474
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 2.1 (7)
-- 2.1 (9)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00474)
-- ENT00474_Test_Bench(ARCH00474_Test_Bench)
--
-- REVISION HISTORY:
--
-- 6-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
-- The various types are taken from STANDARD_TYPES without the explicit
-- qualifier, as is the resolution function bf_rec3.
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00474 of E00000 is
function t_enum1_func ( i : integer ) return t_enum1 is
begin
return t_enum1'val(i) ;
end t_enum1_func ;
function st_enum1_func ( i : integer ) return st_enum1 is
begin
return st_enum1'val(i) ;
end st_enum1_func ;
function t_int1_func ( i : t_int1 ) return t_int1 is
begin
return i + 1 ;
end t_int1_func ;
function st_int1_func ( i : st_int1 ) return st_int1 is
begin
return i + 1 ;
end st_int1_func ;
function t_phys1_func ( i : integer ) return t_phys1 is
begin
return i * phys1_2;
end t_phys1_func ;
function st_phys1_func ( i : integer ) return st_phys1 is
begin
return i * phys1_2;
end st_phys1_func ;
function t_real1_func ( r : t_real1 ) return t_real1 is
begin
return r + 1.0;
end t_real1_func ;
function st_real1_func ( r : st_real1 ) return st_real1 is
begin
return r + 1.0;
end st_real1_func ;
function t_rec1_func ( r : real ) return t_rec1 is
variable rec : t_rec1 ;
begin
rec.f1 := lowb_i2 ;
rec.f2 := 0 ns ;
rec.f3 := true ;
rec.f4 := r + 1.0 ;
return rec ;
end t_rec1_func ;
function st_arr1_func ( i : integer ) return st_arr1 is
variable arr : st_arr1 ;
begin
for j in lowb to highb loop
arr(j) := st_int1 ( i + j ) ;
end loop ;
return arr ;
end st_arr1_func ;
begin
P :
process
variable x1 : integer := 1 ;
variable x2 : integer := 2 ;
variable vec : rec3_vector (1 to 3) ;
begin
test_report ( "ARCH00474" ,
"Functions can return user-defined types" ,
(t_enum1_func(1) = en2) and
(st_enum1_func(1) = en2) and
(t_int1_func(10) = 11 ) and
(st_int1_func(10) = 11 ) and
(t_phys1_func(2) = 2 phys1_2 ) and
(st_phys1_func(2) = 2 phys1_2 ) and
(t_real1_func(10.0) = 11.0 ) and
(st_real1_func(10.0) = 11.0 ) and
(t_rec1_func(10.0).f4 = 11.0 )
) ;
wait ;
end process P ;
end ARCH00474 ;
entity ENT00474_Test_Bench is
end ENT00474_Test_Bench ;
architecture ARCH00474_Test_Bench of ENT00474_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00474 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00474_Test_Bench ;
|
architecture RTL of BaudGenerator is
constant SamplingRateWidth : integer := Oversampling;
constant SamplingRate : std_logic_vector((SamplingRateWidth-1) downto 0) := (others => '1');
-- signals for the fast BaudRate x 4
signal SamplingBaudEnable : std_logic;
signal BaudEnable : std_logic;
-- Divider_i = Divider
-- speed divider 1 not allowed
begin
-- 4x baud rate for Rx oversampling
SamplingDivider : process (Clk_i, Reset_i_n)
variable Counter : std_logic_vector((MaxSpeedDividerWidth-1) downto 0);
begin
--Counter := Divider;
if Reset_i_n ='0' then
SamplingBaudEnable <= '0';
-- sample input on reset
Counter := (others => '0');
-- set oversampling
elsif rising_edge(Clk_i)then
if BGenable_i = '1' then
Counter := std_logic_vector(unsigned(Counter) - 1);
if ( to_integer(unsigned(Counter)) = 0) then
SamplingBaudEnable <= '1';
Counter := std_logic_vector(unsigned(SpeedDivider_i));
else
SamplingBaudEnable <= '0';
end if;
else
-- enable is like a reset
SamplingBaudEnable <= '0';
Counter := std_logic_vector(unsigned(SpeedDivider_i));
end if;
else
null;
end if;
end process SamplingDivider;
NoOversampling: if Oversampling = 0 generate
BaudEnable <= SamplingBaudEnable;
end generate NoOversampling;
UseOversampling: if Oversampling > 0 generate
-- real baud rate
DivideOversampling : process (Clk_i, Reset_i_n)
variable SubCounter : std_logic_vector((SamplingRateWidth-1) downto 0);
begin
if Reset_i_n ='0' then
SubCounter := SamplingRate;
elsif rising_edge(Clk_i) then
if BGenable_i = '1' then
if SamplingBaudEnable = '1' then
SubCounter := std_logic_vector(unsigned(SubCounter) -1 );
if (to_integer(unsigned(SubCounter)) = 0) then
BaudEnable <= '1';
else
BaudEnable <= '0';
end if;
end if;
else
SubCounter := SamplingRate;
BaudEnable <= '0';
end if;
end if;
end process DivideOversampling;
end generate UseOversampling;
-- Blank out first half of the BaudSamplingClk, so that BaudClk is just high for the second half
BaudClk_o <= '1' when((BaudEnable ='1') and (SamplingBaudEnable = '1')) else
'0';
BaudSamplingClk_o <= SamplingBaudEnable;
end RTL;
|
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:04)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mpegmv_ibea_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3);
output1, output2, output3: OUT unsigned(0 TO 4));
END mpegmv_ibea_entity;
ARCHITECTURE mpegmv_ibea_description OF mpegmv_ibea_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register3 := input3 * 3;
register1 := register1 + 5;
register4 := input4 * 6;
register2 := register2 + 8;
WHEN "00000011" =>
register1 := register4 + register1;
register4 := input5 * 9;
WHEN "00000100" =>
register1 := register4 + register1;
register4 := input6 * 10;
register5 := input7 * 11;
register2 := register3 + register2;
WHEN "00000101" =>
register3 := input8 * 12;
register5 := register5 + 14;
register6 := input9 * 15;
register1 := ((NOT register1) + 1) XOR register1;
register2 := register4 + register2;
WHEN "00000110" =>
register3 := register3 + 19;
register4 := input10 * 20;
register7 := input11 * 21;
WHEN "00000111" =>
register4 := register4 + register5;
register5 := input12 * 22;
output1 <= register7 + register3;
WHEN "00001000" =>
register3 := register5 + 25;
register4 := register6 + register4;
register5 := input13 * 26;
register2 := ((NOT register2) + 1) XOR register2;
register6 := input14 * 29;
WHEN "00001001" =>
register3 := register6 + register3;
output2 <= register1(0 TO 1) & register4(0 TO 2);
WHEN "00001010" =>
register1 := register5 + register3;
WHEN "00001011" =>
output3 <= register2(0 TO 1) & register1(0 TO 2);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mpegmv_ibea_description; |
--------------------------------------------------------------------------------
--
-- FileName: i2c_master.vhd
-- Dependencies: none
-- Design Software: Quartus II 64-bit Version 13.1 Build 162 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 11/01/2012 Scott Larson
-- Initial Public Release
-- Version 2.0 06/20/2014 Scott Larson
-- Added ability to interface with different slaves in the same transaction
-- Corrected ack_error bug where ack_error went 'Z' instead of '1' on error
-- Corrected timing of when ack_error signal clears
-- Version 2.1 10/21/2014 Scott Larson
-- Replaced gated clock with clock enable
-- Adjusted timing of SCL during start and stop conditions
-- Version 2.2 02/05/2015 Scott Larson
-- Corrected small SDA glitch introduced in version 2.1
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY i2c_master IS
PORT(
clk : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --active low reset
ena : IN STD_LOGIC; --latch in command
addr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); --address of target slave
rw : IN STD_LOGIC; --'0' is write, '1' is read
data_wr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --data to write to slave
busy : OUT STD_LOGIC; --indicates transaction in progress
data_rd : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --data read from slave
ack_error : BUFFER STD_LOGIC; --flag if improper acknowledge from slave
sda : INOUT STD_LOGIC; --serial data output of i2c bus
scl : INOUT STD_LOGIC; --serial clock output of i2c bus
divider : IN STD_LOGIC_VECTOR(31 DOWNTO 0));
END i2c_master;
ARCHITECTURE logic OF i2c_master IS
TYPE machine IS(ready, start, command, slv_ack1, wr, rd, slv_ack2, mstr_ack, stop); --needed states
SIGNAL state : machine; --state machine
SIGNAL data_clk : STD_LOGIC; --data clock for sda
SIGNAL data_clk_prev : STD_LOGIC; --data clock during previous system clock
SIGNAL scl_clk : STD_LOGIC; --constantly running internal scl
SIGNAL scl_ena : STD_LOGIC := '0'; --enables internal scl to output
SIGNAL sda_int : STD_LOGIC := '1'; --internal sda
SIGNAL sda_ena_n : STD_LOGIC; --enables internal sda to output
SIGNAL addr_rw : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in address and read/write
SIGNAL data_tx : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in data to write to slave
SIGNAL data_rx : STD_LOGIC_VECTOR(7 DOWNTO 0); --data received from slave
SIGNAL bit_cnt : INTEGER RANGE 0 TO 7 := 7; --tracks bit number in transaction
SIGNAL stretch : STD_LOGIC := '0'; --identifies if slave is stretching scl
BEGIN
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
PROCESS(clk, reset_n)
VARIABLE count : INTEGER RANGE 0 TO 255; --timing for clock generation
BEGIN
IF(reset_n = '0') THEN --reset asserted
stretch <= '0';
count := 0;
ELSIF(clk'EVENT AND clk = '1') THEN
data_clk_prev <= data_clk; --store previous value of data clock
IF(count = divider(31 downto 24)-1) THEN --end of timing cycle
count := 0; --reset timer
ELSIF(stretch = '0') THEN --clock stretching from slave not detected
count := count + 1; --continue clock generation timing
END IF;
IF(count < divider(7 downto 0)) THEN
scl_clk <= '0';
data_clk <= '0';
ELSIF(count < divider(15 downto 8)) THEN
scl_clk <= '0';
data_clk <= '1';
ELSIF(count < divider(23 downto 16)) THEN
scl_clk <= '1'; --release scl
IF(scl = '0') THEN --detect if slave is stretching clock
stretch <= '1';
ELSE
stretch <= '0';
END IF;
data_clk <= '1';
ELSE
scl_clk <= '1';
data_clk <= '0';
END IF;
-- IF(count < div1) THEN
-- scl_clk <= '0';
-- data_clk <= '0';
-- ELSIF(count < div2) THEN
-- scl_clk <= '0';
-- data_clk <= '1';
-- ELSIF(count < div3) THEN
-- scl_clk <= '1'; --release scl
-- IF(scl = '0') THEN --detect if slave is stretching clock
-- stretch <= '1';
-- ELSE
-- stretch <= '0';
-- END IF;
-- data_clk <= '1';
-- ELSE
-- scl_clk <= '1';
-- data_clk <= '0';
-- END IF;
END IF;
END PROCESS;
--state machine and writing to sda during scl low (data_clk rising edge)
PROCESS(clk, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
ELSIF(clk'EVENT AND clk = '1') THEN
IF(data_clk = '1' AND data_clk_prev = '0') THEN --data clock rising edge
CASE state IS
WHEN ready => --idle state
IF(ena = '1') THEN --transaction requested
busy <= '1'; --flag busy
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
state <= start; --go to start bit
ELSE --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
END IF;
WHEN start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= addr_rw(bit_cnt); --set first address bit to bus
state <= command; --go to command
WHEN command => --address and command byte of transaction
IF(bit_cnt = 0) THEN --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
ELSE --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= addr_rw(bit_cnt-1); --write address/command bit to bus
state <= command; --continue with command
END IF;
WHEN slv_ack1 => --slave acknowledge bit (command)
IF(addr_rw(0) = '0') THEN --write command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --read command
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
END IF;
WHEN wr => --write byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --write byte transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack2; --go to slave acknowledge (write)
ELSE --next clock cycle of write state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= data_tx(bit_cnt-1); --write next bit to bus
state <= wr; --continue writing
END IF;
WHEN rd => --read byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --read byte receive finished
IF(ena = '1' AND addr_rw = addr & rw) THEN --continuing with another read at same address
sda_int <= '0'; --acknowledge the byte has been received
ELSE --stopping or continuing with a write
sda_int <= '1'; --send a no-acknowledge (before stop or repeated start)
END IF;
bit_cnt <= 7; --reset bit counter for "byte" states
data_rd <= data_rx; --output received data
state <= mstr_ack; --go to master acknowledge
ELSE --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
END IF;
WHEN slv_ack2 => --slave acknowledge bit (write)
IF(ena = '1') THEN --continue transaction
busy <= '0'; --continue is accepted
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another write
sda_int <= data_wr(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --continue transaction with a read or new slave
state <= start; --go to repeated start
END IF;
ELSE --complete transaction
state <= stop; --go to stop bit
END IF;
WHEN mstr_ack => --master acknowledge bit after a read
IF(ena = '1') THEN --continue transaction
busy <= '0'; --continue is accepted and data received is available on bus
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another read
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
ELSE --continue transaction with a write or new slave
state <= start; --repeated start
END IF;
ELSE --complete transaction
state <= stop; --go to stop bit
END IF;
WHEN stop => --stop bit of transaction
busy <= '0'; --unflag busy
state <= ready; --go to idle state
END CASE;
ELSIF(data_clk = '0' AND data_clk_prev = '1') THEN --data clock falling edge
CASE state IS
WHEN start =>
IF(scl_ena = '0') THEN --starting new transaction
scl_ena <= '1'; --enable scl output
ack_error <= '0'; --reset acknowledge error output
END IF;
WHEN slv_ack1 => --receiving slave acknowledge (command)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN rd => --receiving slave data
data_rx(bit_cnt) <= sda; --receive current slave data bit
WHEN slv_ack2 => --receiving slave acknowledge (write)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN stop =>
scl_ena <= '0'; --disable scl
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END IF;
END PROCESS;
--set sda output
WITH state SELECT
sda_ena_n <= data_clk_prev WHEN start, --generate start condition
NOT data_clk_prev WHEN stop, --generate stop condition
sda_int WHEN OTHERS; --set to internal sda signal
--set scl and sda outputs
scl <= '0' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE 'Z';
sda <= '0' WHEN sda_ena_n = '0' ELSE 'Z';
END logic;
|
--
-- AttackTable.vhd
-- Envelope attack shaping table for VM2413
--
-- Copyright (c) 2006 Mitsutaka Okazaki ([email protected])
-- All rights reserved.
--
-- Redistribution and use of this source code or any derivative works, are
-- permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
-- 3. Redistributions may not be sold, nor may they be used in a commercial
-- product or activity without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
-- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
--
-- modified by t.hara
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith;
entity AttackTable is
port(
clk : in std_logic;
clkena : in std_logic;
addr : in std_logic_vector( 21 downto 0 ); -- ¬ 15bit
data : out std_logic_vector( 12 downto 0 ) -- ¬ 6bit
);
end AttackTable;
architecture rtl of attacktable is
component AttackTableMul
port(
i0 : in std_logic_vector( 7 downto 0 ); -- ³µ 8bit (® 0bit, ¬ 8bit)
i1 : in std_logic_vector( 7 downto 0 ); -- t« 8bit (® 8bit)
o : out std_logic_vector( 13 downto 0 ) -- t« 8bit (® 8bit, ¬ 6bit)
);
end component;
type ar_adjust_array is array ( 0 to 127 ) of std_logic_vector( 6 downto 0 );
constant ar_adjust : ar_adjust_array :=(
"0000000", "0000000", "0000000", "0000000", "0000000", "0000001", "0000001", "0000001",
"0000001", "0000001", "0000010", "0000010", "0000010", "0000010", "0000011", "0000011",
"0000011", "0000011", "0000100", "0000100", "0000100", "0000100", "0000100", "0000101",
"0000101", "0000101", "0000110", "0000110", "0000110", "0000110", "0000111", "0000111",
"0000111", "0000111", "0001000", "0001000", "0001000", "0001001", "0001001", "0001001",
"0001001", "0001010", "0001010", "0001010", "0001011", "0001011", "0001011", "0001100",
"0001100", "0001100", "0001101", "0001101", "0001101", "0001110", "0001110", "0001110",
"0001111", "0001111", "0001111", "0010000", "0010000", "0010001", "0010001", "0010001",
"0010010", "0010010", "0010011", "0010011", "0010100", "0010100", "0010101", "0010101",
"0010101", "0010110", "0010110", "0010111", "0010111", "0011000", "0011000", "0011001",
"0011010", "0011010", "0011011", "0011011", "0011100", "0011101", "0011101", "0011110",
"0011110", "0011111", "0100000", "0100001", "0100001", "0100010", "0100011", "0100100",
"0100100", "0100101", "0100110", "0100111", "0101000", "0101001", "0101010", "0101011",
"0101100", "0101101", "0101111", "0110000", "0110001", "0110011", "0110100", "0110110",
"0111000", "0111001", "0111011", "0111101", "1000000", "1000010", "1000101", "1001000",
"1001011", "1010000", "1010100", "1011010", "1100010", "1101100", "1110101", "1111111"
);
signal ff_w : std_logic_vector( 7 downto 0 );
signal ff_d1 : std_logic_vector( 6 downto 0 );
signal ff_d2 : std_logic_vector( 6 downto 0 );
signal w_addr1 : std_logic_vector( 6 downto 0 );
signal w_addr2 : std_logic_vector( 6 downto 0 );
signal w_sub : std_logic_vector( 7 downto 0 ); -- t«
signal w_mul : std_logic_vector( 13 downto 0 ); -- t«
signal w_inter : std_logic_vector( 13 downto 0 );
begin
w_addr1 <= addr( 21 downto 15 );
w_addr2 <= (others => '1') when( addr( 21 downto 15 ) = "1111111" )else
w_addr1 + 1;
process( clk )
begin
if( clk'event and clk = '1' )then
if( clkena = '1' )then
ff_d1 <= ar_adjust( conv_integer( w_addr1 ) );
ff_d2 <= ar_adjust( conv_integer( w_addr2 ) );
end if;
end if;
end process;
process( clk )
begin
if( clk'event and clk = '1' )then
if( clkena = '1' )then
ff_w <= addr( 14 downto 7 ); -- f[^©ÌÌrbgª 7bit ÈÌÅ 8bit Å\ª
end if;
end if;
end process;
-- âÔ (¦ðܽªéêÅÍ 0 ÉÈé©ç ff_sign ÍCɵȢj
-- o = i1 * (1 - k) + i2 * w = i1 - w * i1 + w * i2 = i1 + w * (i2 - i1)
w_sub <= ('0' & ff_d2) - ('0' & ff_d1);
u_attack_table_mul: AttackTableMul
port map (
i0 => ff_w,
i1 => w_sub,
o => w_mul
);
w_inter <= ('0' & ff_d1 & "000000") + w_mul;
process( clk )
begin
if( clk'event and clk = '1' )then
if( clkena = '1' )then
data <=w_inter( 12 downto 0 ); -- MSB ÍK¸ 0
end if;
end if;
end process;
end rtl;
|
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity ds18b20_data_gen is
generic
(
MICROSECOND_D : positive
);
port
(
clk : in std_logic;
reset : in std_logic;
ow_out : in std_logic;
-- Test temperature value in, data_gen generates a new data packet from
-- this value and transmits it to the 1-wire bus
temp_in : in signed(16 - 1 downto 0);
temp_in_f : in std_logic;
ow_in : out std_logic
);
end entity;
architecture rtl of ds18b20_data_gen is
subtype data_t is std_logic_vector(8 - 1 downto 0);
type data_array_t is array(natural range <>) of data_t;
function calc_crc(Arg : data_t; NewByte : data_t) return data_t is
variable crc : data_t;
variable bit_num : natural := 0;
begin
while bit_num < 8 loop
crc := Arg;
crc(crc'left) := NewByte(bit_num) xor crc(crc'right);
crc(4) := crc(3) xor crc(crc'left);
crc(5) := crc(4) xor crc(crc'left);
crc := shift_left_vec(crc, 1);
bit_num := bit_num + 1;
end loop;
return(crc);
end function;
function gen_data(Temp : signed(16 - 1 downto 0)) return data_array_t is
variable byte_num : natural := 0;
variable bit_num : natural := 0;
variable data : data_array_t(8 downto 0);
variable crc : data_t := (others => '0');
begin
while byte_num < 9 loop
if byte_num = 0 then
data(byte_num) := std_logic_vector(Temp(7 downto 0));
elsif byte_num = 1 then
data(byte_num) := std_logic_vector(Temp(15 downto 8));
-- Just use some (valid) fixed data for the rest of the bytes
elsif byte_num = 2 then
data(byte_num) := x"4B";
elsif byte_num = 3 then
data(byte_num) := x"46";
elsif byte_num = 4 then
data(byte_num) := x"FF";
elsif byte_num = 5 then
data(byte_num) := x"FF";
elsif byte_num = 6 then
data(byte_num) := x"02";
elsif byte_num = 7 then
data(byte_num) := x"10";
elsif byte_num = 8 then
data(byte_num) := crc;
-- Do not calculate CRC for CRC byte so just return
return(data);
end if;
crc := calc_crc(crc, data(byte_num));
byte_num := byte_num + 1;
end loop;
end function;
constant RESET_D : natural := MICROSECOND_D * 479;
constant RESET_WAIT_D : natural := MICROSECOND_D * 15;
constant RESET_PRESENCE_D : natural := MICROSECOND_D * 239;
constant ZERO_D : natural := MICROSECOND_D * 59;
constant ONE_D : natural := MICROSECOND_D * 1;
constant SKIP_ROM_CMD : std_logic_vector(8 - 1 downto 0) := x"CC";
constant CONV_CMD : std_logic_vector(8 - 1 downto 0) := x"44";
constant READ_CMD : std_logic_vector(8 - 1 downto 0) := x"BE";
begin
data_gen_p: process(clk, reset)
type data_gen_state is (
idle,
reset_wait,
presence,
wait_reset_high,
read,
command,
transmit
);
-- Increment timer value and go to next state when delay is fullfilled
procedure handle_delay( constant delay : in natural;
variable timer : inout natural;
constant next_state : in data_gen_state;
variable state_var : inout data_gen_state) is
begin
timer := timer + 1;
if timer >= delay then
state_var := next_state;
timer := 0;
end if;
end procedure;
procedure new_bit( variable buf : inout data_t;
constant val : in std_logic) is
begin
buf := shift_right_vec(buf, 1);
buf(buf'high) := val;
end procedure;
variable state : data_gen_state;
variable next_state : data_gen_state;
variable byte_num : natural;
variable bit_num : natural;
variable last_out : std_logic;
variable tx_buf : data_array_t(8 downto 0);
variable timer : natural;
variable rx_buf : data_t;
variable rx_bits_left : natural;
begin
if reset = '1' then
state := idle;
next_state := idle;
byte_num := 0;
bit_num := 0;
last_out := '0';
tx_buf := gen_data(temp_in);
timer := 0;
rx_buf := (others => '0');
rx_bits_left := 0;
ow_in <= '1';
elsif rising_edge(clk) then
if state = idle then
ow_in <= '1';
if ow_out = '0' then
handle_delay(RESET_D, timer, reset_wait, state);
else
timer := 0;
end if;
elsif state = reset_wait then
handle_delay(RESET_WAIT_D, timer, presence, state);
elsif state = presence then
ow_in <= '0';
handle_delay(RESET_PRESENCE_D, timer, wait_reset_high, state);
elsif state = wait_reset_high then
ow_in <= '1';
if ow_out = '1' then
state := read;
rx_buf := (others => '0');
rx_bits_left := rx_buf'length;
next_state := command;
end if;
elsif state = read then
ow_in <= '1';
if rx_bits_left > 0 then
if ow_out = '0' then
timer := timer + 1;
elsif ow_out = '1' then
if timer >= ZERO_D then
new_bit(rx_buf, '0');
rx_bits_left := rx_bits_left - 1;
elsif timer >= ONE_D then
new_bit(rx_buf, '1');
rx_bits_left := rx_bits_left - 1;
end if;
timer := 0;
end if;
else
state := next_state;
end if;
elsif state = command then
if rx_buf = SKIP_ROM_CMD then
next_state := command;
state := read;
rx_bits_left := rx_buf'length;
elsif rx_buf = CONV_CMD then
-- Just start waiting for next reset
state := idle;
next_state := idle;
rx_bits_left := rx_buf'length;
elsif rx_buf = READ_CMD then
state := transmit;
rx_bits_left := 0;
else
report "Unknown command" severity warning;
state := idle;
next_state := idle;
rx_bits_left := 0;
end if;
rx_buf := (others => '0');
elsif state = transmit then
if not last_out = ow_out and ow_out = '0' then
ow_in <= tx_buf(byte_num)(bit_num);
bit_num := bit_num + 1;
if bit_num = 8 then
bit_num := 0;
byte_num := byte_num + 1;
if byte_num = tx_buf'length then
state := idle;
next_state := idle;
bit_num := 0;
byte_num := 0;
end if;
end if;
end if;
end if;
last_out := ow_out;
-- Update TX buffer data if temperature has changed
if temp_in_f = '1' then
tx_buf := gen_data(temp_in);
end if;
end if;
end process;
end;
|
--
-- USB Full-Speed/Hi-Speed Device Controller core - core_pkg.vhdl
--
-- Copyright (c) 2015 Konstantin Oblaukhov
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.NUMERIC_STD.all;
package usbcore is
type BYTE_ARRAY is array(natural range <>) of std_logic_vector(7 downto 0);
component usb_std_request is
generic (
VENDOR_ID : std_logic_vector(15 downto 0);
PRODUCT_ID : std_logic_vector(15 downto 0);
MANUFACTURER : string;
PRODUCT : string;
SERIAL : string;
CONFIG_DESC : BYTE_ARRAY;
HIGH_SPEED : boolean
);
port (
rst : in std_logic;
clk : in std_logic;
ctl_xfer_endpoint : in std_logic_vector(3 downto 0);
ctl_xfer_type : in std_logic_vector(7 downto 0);
ctl_xfer_request : in std_logic_vector(7 downto 0);
ctl_xfer_value : in std_logic_vector(15 downto 0);
ctl_xfer_index : in std_logic_vector(15 downto 0);
ctl_xfer_length : in std_logic_vector(15 downto 0);
ctl_xfer_accept : out std_logic;
ctl_xfer : in std_logic;
ctl_xfer_done : out std_logic;
ctl_xfer_data_out : in std_logic_vector(7 downto 0);
ctl_xfer_data_out_valid : in std_logic;
ctl_xfer_data_in : out std_logic_vector(7 downto 0);
ctl_xfer_data_in_valid : out std_logic;
ctl_xfer_data_in_last : out std_logic;
ctl_xfer_data_in_ready : in std_logic;
device_address : out std_logic_vector(6 downto 0);
current_configuration : out std_logic_vector(7 downto 0);
configured : out std_logic;
standart_request : out std_logic
);
end component;
component ulpi_port is
generic (
HIGH_SPEED: boolean
);
port (
rst : in std_logic;
ulpi_data_in : in std_logic_vector(7 downto 0);
ulpi_data_out : out std_logic_vector(7 downto 0);
ulpi_dir : in std_logic;
ulpi_nxt : in std_logic;
ulpi_stp : out std_logic;
ulpi_reset : out std_logic;
ulpi_clk : in std_logic;
axis_rx_tvalid : out std_logic;
axis_rx_tready : in std_logic;
axis_rx_tlast : out std_logic;
axis_rx_tdata : out std_logic_vector(7 downto 0);
axis_tx_tvalid : in std_logic;
axis_tx_tready : out std_logic;
axis_tx_tlast : in std_logic;
axis_tx_tdata : in std_logic_vector(7 downto 0);
usb_vbus_valid : out std_logic;
usb_reset : out std_logic;
usb_idle : out std_logic;
usb_suspend : out std_logic
);
end component;
component usb_packet is
port (
rst : in std_logic;
clk : in std_logic;
axis_rx_tvalid : in std_logic;
axis_rx_tready : out std_logic;
axis_rx_tlast : in std_logic;
axis_rx_tdata : in std_logic_vector(7 downto 0);
axis_tx_tvalid : out std_logic;
axis_tx_tready : in std_logic;
axis_tx_tlast : out std_logic;
axis_tx_tdata : out std_logic_vector(7 downto 0);
trn_type : out std_logic_vector(1 downto 0);
trn_address : out std_logic_vector(6 downto 0);
trn_endpoint : out std_logic_vector(3 downto 0);
trn_start : out std_logic;
rx_trn_data_type : out std_logic_vector(1 downto 0);
rx_trn_end : out std_logic;
rx_trn_data : out std_logic_vector(7 downto 0);
rx_trn_valid : out std_logic;
rx_trn_hsk_type : out std_logic_vector(1 downto 0);
rx_trn_hsk_received : out std_logic;
tx_trn_hsk_type : in std_logic_vector(1 downto 0);
tx_trn_send_hsk : in std_logic;
tx_trn_hsk_sended : out std_logic;
tx_trn_data_type : in std_logic_vector(1 downto 0);
tx_trn_data_start : in std_logic;
tx_trn_data : in std_logic_vector(7 downto 0);
tx_trn_data_valid : in std_logic;
tx_trn_data_ready : out std_logic;
tx_trn_data_last : in std_logic;
start_of_frame : out std_logic;
crc_error : out std_logic;
device_address : in std_logic_vector(6 downto 0)
);
end component;
component usb_xfer is
generic (
HIGH_SPEED: boolean
);
port (
rst : in std_logic;
clk : in std_logic;
trn_type : in std_logic_vector(1 downto 0);
trn_address : in std_logic_vector(6 downto 0);
trn_endpoint : in std_logic_vector(3 downto 0);
trn_start : in std_logic;
rx_trn_data_type : in std_logic_vector(1 downto 0);
rx_trn_end : in std_logic;
rx_trn_data : in std_logic_vector(7 downto 0);
rx_trn_valid : in std_logic;
rx_trn_hsk_type : in std_logic_vector(1 downto 0);
rx_trn_hsk_received : in std_logic;
tx_trn_hsk_type : out std_logic_vector(1 downto 0);
tx_trn_send_hsk : out std_logic;
tx_trn_hsk_sended : in std_logic;
tx_trn_data_type : out std_logic_vector(1 downto 0);
tx_trn_data_start : out std_logic;
tx_trn_data : out std_logic_vector(7 downto 0);
tx_trn_data_valid : out std_logic;
tx_trn_data_ready : in std_logic;
tx_trn_data_last : out std_logic;
crc_error : in std_logic;
ctl_xfer_endpoint : out std_logic_vector(3 downto 0);
ctl_xfer_type : out std_logic_vector(7 downto 0);
ctl_xfer_request : out std_logic_vector(7 downto 0);
ctl_xfer_value : out std_logic_vector(15 downto 0);
ctl_xfer_index : out std_logic_vector(15 downto 0);
ctl_xfer_length : out std_logic_vector(15 downto 0);
ctl_xfer_accept : in std_logic;
ctl_xfer : out std_logic;
ctl_xfer_done : in std_logic;
ctl_xfer_data_out : out std_logic_vector(7 downto 0);
ctl_xfer_data_out_valid : out std_logic;
ctl_xfer_data_in : in std_logic_vector(7 downto 0);
ctl_xfer_data_in_valid : in std_logic;
ctl_xfer_data_in_last : in std_logic;
ctl_xfer_data_in_ready : out std_logic;
blk_xfer_endpoint : out std_logic_vector(3 downto 0);
blk_in_xfer : out std_logic;
blk_out_xfer : out std_logic;
-- Has complete packet
blk_xfer_in_has_data : in std_logic;
blk_xfer_in_data : in std_logic_vector(7 downto 0);
blk_xfer_in_data_valid : in std_logic;
blk_xfer_in_data_ready : out std_logic;
blk_xfer_in_data_last : in std_logic;
-- Can accept full packet
blk_xfer_out_ready_read : in std_logic;
blk_xfer_out_data : out std_logic_vector(7 downto 0);
blk_xfer_out_data_valid : out std_logic
);
end component;
component usb_tlp is
generic (
VENDOR_ID : std_logic_vector(15 downto 0);
PRODUCT_ID : std_logic_vector(15 downto 0);
MANUFACTURER : string;
PRODUCT : string;
SERIAL : string;
CONFIG_DESC : BYTE_ARRAY;
HIGH_SPEED : boolean
);
port (
ulpi_data_in : in std_logic_vector(7 downto 0);
ulpi_data_out : out std_logic_vector(7 downto 0);
ulpi_dir : in std_logic;
ulpi_nxt : in std_logic;
ulpi_stp : out std_logic;
ulpi_reset : out std_logic;
ulpi_clk60 : in std_logic;
usb_clk : out std_logic;
usb_reset : out std_logic;
usb_idle : out std_logic;
usb_suspend : out std_logic;
usb_configured : out std_logic;
usb_crc_error : out std_logic;
usb_sof : out std_logic;
ctl_xfer_endpoint : out std_logic_vector(3 downto 0);
ctl_xfer_type : out std_logic_vector(7 downto 0);
ctl_xfer_request : out std_logic_vector(7 downto 0);
ctl_xfer_value : out std_logic_vector(15 downto 0);
ctl_xfer_index : out std_logic_vector(15 downto 0);
ctl_xfer_length : out std_logic_vector(15 downto 0);
ctl_xfer_accept : in std_logic;
ctl_xfer : out std_logic;
ctl_xfer_done : in std_logic;
ctl_xfer_data_out : out std_logic_vector(7 downto 0);
ctl_xfer_data_out_valid : out std_logic;
ctl_xfer_data_in : in std_logic_vector(7 downto 0);
ctl_xfer_data_in_valid : in std_logic;
ctl_xfer_data_in_last : in std_logic;
ctl_xfer_data_in_ready : out std_logic;
blk_xfer_endpoint : out std_logic_vector(3 downto 0);
blk_in_xfer : out std_logic;
blk_out_xfer : out std_logic;
blk_xfer_in_has_data : in std_logic;
blk_xfer_in_data : in std_logic_vector(7 downto 0);
blk_xfer_in_data_valid : in std_logic;
blk_xfer_in_data_ready : out std_logic;
blk_xfer_in_data_last : in std_logic;
blk_xfer_out_ready_read : in std_logic;
blk_xfer_out_data : out std_logic_vector(7 downto 0);
blk_xfer_out_data_valid : out std_logic
);
end component;
end usbcore; |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_10 is
end entity inline_10;
----------------------------------------------------------------
architecture test of inline_10 is
begin
process is
use std.textio.all;
variable L : line;
-- code from book:
type speed_category is (stopped, slow, fast, maniacal);
variable speed : speed_category;
-- end of code from book
begin
speed := stopped;
-- code from book:
write ( L, speed_category'image(speed) );
-- end of code from book
writeline(output, L);
speed := slow;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := fast;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := maniacal;
write ( L, speed_category'image(speed) );
writeline(output, L);
-- code from book:
readline( input, L );
speed := speed_category'value(L.all);
-- end of code from book
wait;
end process;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_10 is
end entity inline_10;
----------------------------------------------------------------
architecture test of inline_10 is
begin
process is
use std.textio.all;
variable L : line;
-- code from book:
type speed_category is (stopped, slow, fast, maniacal);
variable speed : speed_category;
-- end of code from book
begin
speed := stopped;
-- code from book:
write ( L, speed_category'image(speed) );
-- end of code from book
writeline(output, L);
speed := slow;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := fast;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := maniacal;
write ( L, speed_category'image(speed) );
writeline(output, L);
-- code from book:
readline( input, L );
speed := speed_category'value(L.all);
-- end of code from book
wait;
end process;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_10 is
end entity inline_10;
----------------------------------------------------------------
architecture test of inline_10 is
begin
process is
use std.textio.all;
variable L : line;
-- code from book:
type speed_category is (stopped, slow, fast, maniacal);
variable speed : speed_category;
-- end of code from book
begin
speed := stopped;
-- code from book:
write ( L, speed_category'image(speed) );
-- end of code from book
writeline(output, L);
speed := slow;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := fast;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := maniacal;
write ( L, speed_category'image(speed) );
writeline(output, L);
-- code from book:
readline( input, L );
speed := speed_category'value(L.all);
-- end of code from book
wait;
end process;
end architecture test;
|
----------------------------------------------------------------------------------
-- Creation Date: 21:12:48 05/06/2010
-- Module Name: RS232/UART Interface - Behavioral
-- Used TAB of 4 Spaces
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity uart is
generic (
CLK_FREQ : integer := 100; -- Main frequency (MHz)
SER_FREQ : integer := 115200 -- Baud rate (bps)
);
port (
-- Control
clk : in std_logic; -- Main clock
rst : in std_logic; -- Main reset
-- External Interface
rx : in std_logic; -- RS232 received serial data
tx : out std_logic; -- RS232 transmitted serial data
-- RS232/UART Configuration
par_en : in std_logic; -- Parity bit enable
-- uPC Interface
tx_req : in std_logic; -- Request SEND of data
tx_end : out std_logic; -- Data SENDED
tx_data : in std_logic_vector(7 downto 0); -- Data to transmit
rx_ready : out std_logic; -- Received data ready to uPC read
rx_data : out std_logic_vector(7 downto 0) -- Received data
);
end uart;
architecture Behavioral of uart is
-- Constants
constant UART_IDLE : std_logic := '1';
constant UART_START : std_logic := '0';
constant PARITY_EN : std_logic := '1';
constant RST_LVL : std_logic := '1';
-- Types
type state is (idle,data,parity,stop1,stop2); -- Stop1 and Stop2 are inter frame gap signals
-- RX Signals
signal rx_fsm : state; -- Control of reception
signal rx_clk_en : std_logic; -- Received clock enable
signal rx_rcv_init : std_logic; -- Start of reception
signal rx_par_bit : std_logic; -- Calculated Parity bit
signal rx_data_deb : std_logic; -- Debounce RX data
signal rx_data_tmp : std_logic_vector(7 downto 0); -- Serial to parallel converter
signal rx_data_cnt : std_logic_vector(2 downto 0); -- Count received bits
-- TX Signals
signal tx_fsm : state; -- Control of transmission
signal tx_clk_en : std_logic; -- Transmited clock enable
signal tx_par_bit : std_logic; -- Calculated Parity bit
signal tx_data_tmp : std_logic_vector(7 downto 0); -- Parallel to serial converter
signal tx_data_cnt : std_logic_vector(2 downto 0); -- Count transmited bits
begin
tx_clk_gen:process(clk)
variable counter : integer range 0 to conv_integer((CLK_FREQ*1_000_000)/SER_FREQ-1);
begin
if clk'event and clk = '1' then
-- Normal Operation
if counter = (CLK_FREQ*1_000_000)/SER_FREQ-1 then
tx_clk_en <= '1';
counter := 0;
else
tx_clk_en <= '0';
counter := counter + 1;
end if;
-- Reset condition
if rst = RST_LVL then
tx_clk_en <= '0';
counter := 0;
end if;
end if;
end process;
tx_proc:process(clk)
variable data_cnt : std_logic_vector(2 downto 0);
begin
if clk'event and clk = '1' then
if tx_clk_en = '1' then
-- Default values
tx_end <= '0';
tx <= UART_IDLE;
-- FSM description
case tx_fsm is
-- Wait to transfer data
when idle =>
-- Send Init Bit
if tx_req = '1' then
tx <= UART_START;
tx_data_tmp <= tx_data;
tx_fsm <= data;
tx_data_cnt <= (others=>'1');
tx_par_bit <= '0';
end if;
-- Data receive
when data =>
tx <= tx_data_tmp(0);
tx_par_bit <= tx_par_bit xor tx_data_tmp(0);
if tx_data_cnt = 0 then
if par_en = PARITY_EN then
tx_fsm <= parity;
else
tx_fsm <= stop1;
end if;
tx_data_cnt <= (others=>'1');
else
tx_data_tmp <= '0' & tx_data_tmp(7 downto 1);
tx_data_cnt <= tx_data_cnt - 1;
end if;
when parity =>
tx <= tx_par_bit;
tx_fsm <= stop1;
-- End of communication
when stop1 =>
-- Send Stop Bit
tx <= UART_IDLE;
tx_fsm <= stop2;
when stop2 =>
-- Send Stop Bit
tx_end <= '1';
tx <= UART_IDLE;
tx_fsm <= idle;
-- Invalid States
when others => null;
end case;
-- Reset condition
if rst = RST_LVL then
tx_fsm <= idle;
tx_par_bit <= '0';
tx_data_tmp <= (others=>'0');
tx_data_cnt <= (others=>'0');
end if;
end if;
end if;
end process;
rx_debounceer:process(clk)
variable deb_buf : std_logic_vector(3 downto 0);
begin
if clk'event and clk = '1' then
-- Debounce logic
if deb_buf = "0000" then
rx_data_deb <= '0';
elsif deb_buf = "1111" then
rx_data_deb <= '1';
end if;
-- Data storage to debounce
deb_buf := deb_buf(2 downto 0) & rx;
end if;
end process;
rx_start_detect:process(clk)
variable rx_data_old : std_logic;
begin
if clk'event and clk = '1' then
-- Falling edge detection
if rx_data_old = '1' and rx_data_deb = '0' and rx_fsm = idle then
rx_rcv_init <= '1';
else
rx_rcv_init <= '0';
end if;
-- Default assignments
rx_data_old := rx_data_deb;
-- Reset condition
if rst = RST_LVL then
rx_data_old := '0';
rx_rcv_init <= '0';
end if;
end if;
end process;
rx_clk_gen:process(clk)
variable counter : integer range 0 to conv_integer((CLK_FREQ*1_000_000)/SER_FREQ-1);
begin
if clk'event and clk = '1' then
-- Normal Operation
if counter = (CLK_FREQ*1_000_000)/SER_FREQ-1 or rx_rcv_init = '1' then
rx_clk_en <= '1';
counter := 0;
else
rx_clk_en <= '0';
counter := counter + 1;
end if;
-- Reset condition
if rst = RST_LVL then
rx_clk_en <= '0';
counter := 0;
end if;
end if;
end process;
rx_proc:process(clk)
begin
if clk'event and clk = '1' then
-- Default values
rx_ready <= '0';
-- Enable on UART rate
if rx_clk_en = '1' then
-- FSM description
case rx_fsm is
-- Wait to transfer data
when idle =>
if rx_data_deb = UART_START then
rx_fsm <= data;
end if;
rx_par_bit <= '0';
rx_data_cnt <= (others=>'0');
-- Data receive
when data =>
-- Check data to generate parity
if par_en = PARITY_EN then
rx_par_bit <= rx_par_bit xor rx;
end if;
if rx_data_cnt = 7 then
-- Data path
rx_data(7) <= rx;
for i in 0 to 6 loop
rx_data(i) <= rx_data_tmp(6-i);
end loop;
-- With parity verification
if par_en = PARITY_EN then
rx_fsm <= parity;
-- Without parity verification
else
rx_ready <= '1';
rx_fsm <= idle;
end if;
else
rx_data_tmp <= rx_data_tmp(6 downto 0) & rx;
rx_data_cnt <= rx_data_cnt + 1;
end if;
when parity =>
-- Check received parity
rx_fsm <= idle;
if rx_par_bit = rx then
rx_ready <= '1';
end if;
when others => null;
end case;
-- Reset condition
if rst = RST_LVL then
rx_fsm <= idle;
rx_ready <= '0';
rx_data <= (others=>'0');
rx_data_tmp <= (others=>'0');
rx_data_cnt <= (others=>'0');
end if;
end if;
end if;
end process;
end Behavioral;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_06_tofp.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity to_fp is
port ( vec : in std_ulogic_vector(15 downto 0);
r : out real );
end entity to_fp;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_06_tofp.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity to_fp is
port ( vec : in std_ulogic_vector(15 downto 0);
r : out real );
end entity to_fp;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_06_tofp.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity to_fp is
port ( vec : in std_ulogic_vector(15 downto 0);
r : out real );
end entity to_fp;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1385.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01385ent IS
END c08s05b00x00p03n01i01385ent;
ARCHITECTURE c08s05b00x00p03n01i01385arch OF c08s05b00x00p03n01i01385ent IS
BEGIN
TESTING: PROCESS
subtype small_int is range 0 to 7;
variable v1 : small_int := 0;
BEGIN
small_int := v1; -- illegal type name target
assert FALSE
report "***FAILED TEST: c08s05b00x00p03n01i01385 - Target of a variable assignment can not be the name of a subtype name."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01385arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1385.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01385ent IS
END c08s05b00x00p03n01i01385ent;
ARCHITECTURE c08s05b00x00p03n01i01385arch OF c08s05b00x00p03n01i01385ent IS
BEGIN
TESTING: PROCESS
subtype small_int is range 0 to 7;
variable v1 : small_int := 0;
BEGIN
small_int := v1; -- illegal type name target
assert FALSE
report "***FAILED TEST: c08s05b00x00p03n01i01385 - Target of a variable assignment can not be the name of a subtype name."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01385arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1385.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01385ent IS
END c08s05b00x00p03n01i01385ent;
ARCHITECTURE c08s05b00x00p03n01i01385arch OF c08s05b00x00p03n01i01385ent IS
BEGIN
TESTING: PROCESS
subtype small_int is range 0 to 7;
variable v1 : small_int := 0;
BEGIN
small_int := v1; -- illegal type name target
assert FALSE
report "***FAILED TEST: c08s05b00x00p03n01i01385 - Target of a variable assignment can not be the name of a subtype name."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01385arch;
|
entity access5 is
end entity;
architecture test of access5 is
type int_array is array (natural range <>) of integer;
type int_array_ptr is access int_array;
begin
process is
variable a, b : int_array_ptr;
begin
a := new int_array(1 to 3);
a.all := (1, 2, 3);
b := new int_array'(a.all);
assert b.all = (1, 2, 3);
deallocate(a);
deallocate(b);
wait;
end process;
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:00:24 10/18/2012
-- Design Name:
-- Module Name: Serial_Comm - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Serial Communication Program. Use a program like
-- "Serial Port Terminal" fro www.eltima.com to
-- establish communications. Configure to 9600 bps
-- No Parity, 1 Stop Bit, No Hardware
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Serial_Comm is
Port ( Clk : in STD_LOGIC;
Rst : in STD_LOGIC;
DataIn : in STD_LOGIC;
DataOut : out STD_LOGIC_VECTOR (7 downto 0));
end Serial_Comm;
architecture Behavioral of Serial_Comm is
--Embedded Signal
signal reg : STD_LOGIC_VECTOR (19 downto 0);
signal regtemp : STD_LOGIC_VECTOR (19 downto 0);
-- Signals and constants used by the Frequency divider process
constant Fosc : integer := 100000000; --Oscillator Frequency for Nexys3 board
constant Fdiv : integer := 19200; --Desired sampling baud rate (twice the input rate)
constant CtaMax : integer := Fosc / Fdiv; --Maximum count to obtain desired outputfreq
signal Cont : integer range 0 to CtaMax; --Define the counter
signal ClkOut : std_logic; --Defines that desired output frequency
--has ellapsed
begin
--Obtain a 2 * baud rate signal derived from the FPGA board oscillator
Freq_Divider: process (Rst, Clk)
begin
if Rst = '1' then
Cont <= 0;
elsif (rising_edge(Clk)) then
if Cont = CtaMax then
Cont <= 0;
ClkOut <= '1';
else
Cont <= Cont + 1;
ClkOut<= '0';
end if;
end if;
end process Freq_Divider;
process (Rst,Clk,ClkOut)
begin
if Rst = '1' then
reg <= (others => '1');
elsif (rising_edge(Clk) and ClkOut = '1') then
if reg(0) = '0' then
regtemp <= reg;
reg <= (others => '1');
else
reg <= DataIn & reg(19 downto 1);
end if;
end if;
end process;
-- Read correct bits from shift register
SendDataOut:
for i in 0 to 7 generate
begin
DataOut(i) <= regtemp(i*2 +3);
end generate;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:00:24 10/18/2012
-- Design Name:
-- Module Name: Serial_Comm - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Serial Communication Program. Use a program like
-- "Serial Port Terminal" fro www.eltima.com to
-- establish communications. Configure to 9600 bps
-- No Parity, 1 Stop Bit, No Hardware
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Serial_Comm is
Port ( Clk : in STD_LOGIC;
Rst : in STD_LOGIC;
DataIn : in STD_LOGIC;
DataOut : out STD_LOGIC_VECTOR (7 downto 0));
end Serial_Comm;
architecture Behavioral of Serial_Comm is
--Embedded Signal
signal reg : STD_LOGIC_VECTOR (19 downto 0);
signal regtemp : STD_LOGIC_VECTOR (19 downto 0);
-- Signals and constants used by the Frequency divider process
constant Fosc : integer := 100000000; --Oscillator Frequency for Nexys3 board
constant Fdiv : integer := 19200; --Desired sampling baud rate (twice the input rate)
constant CtaMax : integer := Fosc / Fdiv; --Maximum count to obtain desired outputfreq
signal Cont : integer range 0 to CtaMax; --Define the counter
signal ClkOut : std_logic; --Defines that desired output frequency
--has ellapsed
begin
--Obtain a 2 * baud rate signal derived from the FPGA board oscillator
Freq_Divider: process (Rst, Clk)
begin
if Rst = '1' then
Cont <= 0;
elsif (rising_edge(Clk)) then
if Cont = CtaMax then
Cont <= 0;
ClkOut <= '1';
else
Cont <= Cont + 1;
ClkOut<= '0';
end if;
end if;
end process Freq_Divider;
process (Rst,Clk,ClkOut)
begin
if Rst = '1' then
reg <= (others => '1');
elsif (rising_edge(Clk) and ClkOut = '1') then
if reg(0) = '0' then
regtemp <= reg;
reg <= (others => '1');
else
reg <= DataIn & reg(19 downto 1);
end if;
end if;
end process;
-- Read correct bits from shift register
SendDataOut:
for i in 0 to 7 generate
begin
DataOut(i) <= regtemp(i*2 +3);
end generate;
end Behavioral;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for ent_aa
--
-- Generated
-- by: wig
-- on: Mon Jul 18 16:07:02 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_VHDL -strip -nodelta ../../verilog.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_aa-e.vhd,v 1.4 2005/10/13 09:09:43 wig Exp $
-- $Date: 2005/10/13 09:09:43 $
-- $Log: ent_aa-e.vhd,v $
-- Revision 1.4 2005/10/13 09:09:43 wig
-- Added intermediate CONN sheet split
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_aa
--
entity ent_aa is
-- Generics:
-- No Generated Generics for Entity ent_aa
-- Generated Port Declaration:
port(
-- Generated Port for Entity ent_aa
port_aa_1 : out std_ulogic;
port_aa_2 : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
port_aa_3 : out std_ulogic;
port_aa_4 : in std_ulogic;
port_aa_5 : out std_ulogic_vector(3 downto 0);
port_aa_6 : out std_ulogic_vector(3 downto 0);
sig_07 : out std_ulogic_vector(5 downto 0);
sig_08 : out std_ulogic_vector(8 downto 2);
sig_13 : out std_ulogic_vector(4 downto 0);
sig_14 : out std_ulogic_vector(6 downto 0)
-- End of Generated Port for Entity ent_aa
);
end ent_aa;
--
-- End of Generated Entity ent_aa
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: nandtree
-- File: nandtree.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Nand-tree with tech mapping
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity nandtree is
generic(
tech : integer := inferred;
width : integer := 2;
imp : integer := 0 );
port( i : in std_logic_vector(width-1 downto 0);
o : out std_ulogic;
en : in std_ulogic
);
end entity;
architecture rtl of nandtree is
component rh_lib18t_nand_tree
generic (npins : integer := 2);
port(
-- Input Signlas: --
TEST_MODE : in std_logic;
IN_PINS_BUS : in std_logic_vector(npins-1 downto 0);
NAND_TREE_OUT : out std_logic
);
end component;
function fnandtree(v : std_logic_vector) return std_ulogic is
variable a : std_logic_vector(v'length-1 downto 0);
variable b : std_logic_vector(v'length downto 0);
begin
a := v; b(0) := '1';
for i in 0 to v'length-1 loop
b(i+1) := a(i) nand b(i);
end loop;
return b(v'length);
end;
begin
behav : if tech /= rhlib18t generate
o <= fnandtree(i);
end generate;
rhlib : if tech = rhlib18t generate
rhnand : rh_lib18t_nand_tree generic map (width)
port map (en, i, o);
end generate;
end;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_20_ch_20_08.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_20_08 is
end entity ch_20_08;
----------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
architecture std_cell of ch_20_08 is
attribute cell_name : string;
attribute pin_number : positive;
attribute max_wire_delay : delay_length;
attribute encoding : bit_vector;
type length is range 0 to integer'high
units nm;
um = 1000 nm;
mm = 1000 um;
mil = 25400 nm;
end units length;
type coordinate is record
x, y : length;
end record coordinate;
attribute cell_position : coordinate;
type built_in_type is (bv_incr, std_incr);
attribute built_in : built_in_type;
signal enable, clk : bit;
type state_type is (idle_state, other_state);
type speed_range is (high, other_speed);
type coolant_level is (high, other_level);
attribute representation : string;
function increment ( vector : in bit_vector ) return bit_vector is
begin
end;
function increment ( vector : in std_logic_vector ) return std_logic_vector is
begin
end;
attribute cell_name of std_cell : architecture is "DFF_SR_QQNN";
attribute pin_number of enable : signal is 14;
attribute max_wire_delay of clk : signal is 50 ps;
attribute encoding of idle_state : literal is b"0000";
attribute cell_position of the_fpu : label is ( 540 um, 1200 um );
attribute built_in of
increment [ bit_vector return bit_vector ] : function is bv_incr;
attribute built_in of
increment [ std_logic_vector return std_logic_vector ] : function is std_incr;
attribute representation of high [ return speed_range ] : literal is "byte";
attribute representation of high [ return coolant_level ] : literal is "word";
begin
the_fpu : block is
begin
end block the_fpu;
process is
variable v1 : string(1 to 11);
variable v2 : positive;
variable v3 : time;
variable v4 : bit_vector(0 to 3);
variable v5 : coordinate;
variable v6, v7 : built_in_type;
variable v8, v9 : string(1 to 4);
begin
-- code from book included...
v1 := std_cell'cell_name ;
v2 := enable'pin_number ;
v3 := clk'max_wire_delay ;
-- workaround MTI bugs mt037/mt038
-- v4 := idle_state'encoding ;
v4 := idle_state[return state_type]'encoding ;
-- end workaround
v5 := the_fpu'cell_position ;
v6 := increment [ bit_vector return bit_vector ] 'built_in ;
v7 := increment [ std_logic_vector return std_logic_vector ] 'built_in ;
v8 := high [ return speed_range ] 'representation ;
v9 := high [ return coolant_level ] 'representation ;
-- end code from book
wait;
end process;
end architecture std_cell;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_20_ch_20_08.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_20_08 is
end entity ch_20_08;
----------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
architecture std_cell of ch_20_08 is
attribute cell_name : string;
attribute pin_number : positive;
attribute max_wire_delay : delay_length;
attribute encoding : bit_vector;
type length is range 0 to integer'high
units nm;
um = 1000 nm;
mm = 1000 um;
mil = 25400 nm;
end units length;
type coordinate is record
x, y : length;
end record coordinate;
attribute cell_position : coordinate;
type built_in_type is (bv_incr, std_incr);
attribute built_in : built_in_type;
signal enable, clk : bit;
type state_type is (idle_state, other_state);
type speed_range is (high, other_speed);
type coolant_level is (high, other_level);
attribute representation : string;
function increment ( vector : in bit_vector ) return bit_vector is
begin
end;
function increment ( vector : in std_logic_vector ) return std_logic_vector is
begin
end;
attribute cell_name of std_cell : architecture is "DFF_SR_QQNN";
attribute pin_number of enable : signal is 14;
attribute max_wire_delay of clk : signal is 50 ps;
attribute encoding of idle_state : literal is b"0000";
attribute cell_position of the_fpu : label is ( 540 um, 1200 um );
attribute built_in of
increment [ bit_vector return bit_vector ] : function is bv_incr;
attribute built_in of
increment [ std_logic_vector return std_logic_vector ] : function is std_incr;
attribute representation of high [ return speed_range ] : literal is "byte";
attribute representation of high [ return coolant_level ] : literal is "word";
begin
the_fpu : block is
begin
end block the_fpu;
process is
variable v1 : string(1 to 11);
variable v2 : positive;
variable v3 : time;
variable v4 : bit_vector(0 to 3);
variable v5 : coordinate;
variable v6, v7 : built_in_type;
variable v8, v9 : string(1 to 4);
begin
-- code from book included...
v1 := std_cell'cell_name ;
v2 := enable'pin_number ;
v3 := clk'max_wire_delay ;
-- workaround MTI bugs mt037/mt038
-- v4 := idle_state'encoding ;
v4 := idle_state[return state_type]'encoding ;
-- end workaround
v5 := the_fpu'cell_position ;
v6 := increment [ bit_vector return bit_vector ] 'built_in ;
v7 := increment [ std_logic_vector return std_logic_vector ] 'built_in ;
v8 := high [ return speed_range ] 'representation ;
v9 := high [ return coolant_level ] 'representation ;
-- end code from book
wait;
end process;
end architecture std_cell;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_20_ch_20_08.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_20_08 is
end entity ch_20_08;
----------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
architecture std_cell of ch_20_08 is
attribute cell_name : string;
attribute pin_number : positive;
attribute max_wire_delay : delay_length;
attribute encoding : bit_vector;
type length is range 0 to integer'high
units nm;
um = 1000 nm;
mm = 1000 um;
mil = 25400 nm;
end units length;
type coordinate is record
x, y : length;
end record coordinate;
attribute cell_position : coordinate;
type built_in_type is (bv_incr, std_incr);
attribute built_in : built_in_type;
signal enable, clk : bit;
type state_type is (idle_state, other_state);
type speed_range is (high, other_speed);
type coolant_level is (high, other_level);
attribute representation : string;
function increment ( vector : in bit_vector ) return bit_vector is
begin
end;
function increment ( vector : in std_logic_vector ) return std_logic_vector is
begin
end;
attribute cell_name of std_cell : architecture is "DFF_SR_QQNN";
attribute pin_number of enable : signal is 14;
attribute max_wire_delay of clk : signal is 50 ps;
attribute encoding of idle_state : literal is b"0000";
attribute cell_position of the_fpu : label is ( 540 um, 1200 um );
attribute built_in of
increment [ bit_vector return bit_vector ] : function is bv_incr;
attribute built_in of
increment [ std_logic_vector return std_logic_vector ] : function is std_incr;
attribute representation of high [ return speed_range ] : literal is "byte";
attribute representation of high [ return coolant_level ] : literal is "word";
begin
the_fpu : block is
begin
end block the_fpu;
process is
variable v1 : string(1 to 11);
variable v2 : positive;
variable v3 : time;
variable v4 : bit_vector(0 to 3);
variable v5 : coordinate;
variable v6, v7 : built_in_type;
variable v8, v9 : string(1 to 4);
begin
-- code from book included...
v1 := std_cell'cell_name ;
v2 := enable'pin_number ;
v3 := clk'max_wire_delay ;
-- workaround MTI bugs mt037/mt038
-- v4 := idle_state'encoding ;
v4 := idle_state[return state_type]'encoding ;
-- end workaround
v5 := the_fpu'cell_position ;
v6 := increment [ bit_vector return bit_vector ] 'built_in ;
v7 := increment [ std_logic_vector return std_logic_vector ] 'built_in ;
v8 := high [ return speed_range ] 'representation ;
v9 := high [ return coolant_level ] 'representation ;
-- end code from book
wait;
end process;
end architecture std_cell;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_shadow_3_e
--
-- Generated
-- by: wig
-- on: Fri Jul 15 13:54:30 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_shadow_3_e-e.vhd,v 1.2 2005/07/15 16:19:59 wig Exp $
-- $Date: 2005/07/15 16:19:59 $
-- $Log: inst_shadow_3_e-e.vhd,v $
-- Revision 1.2 2005/07/15 16:19:59 wig
-- Update all testcases; still problems though
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_shadow_3_e
--
entity inst_shadow_3_e is
-- Generics:
-- No Generated Generics for Entity inst_shadow_3_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_shadow_3_e
end inst_shadow_3_e;
--
-- End of Generated Entity inst_shadow_3_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
entity PipelineControl is
port(
Opcode: in std_logic_vector(5 downto 0);
ALUSrc, Branch, MemRead, MemWrite, MemtoReg, RegDst, RegWrite: out std_logic;
ALUOp: out std_logic_vector(1 downto 0)
);
end PipelineControl;
architecture Structural of PipelineControl is
begin
process(Opcode)
begin
case Opcode is
when "000000" => --add/sub
RegDst <= '1';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '0';
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '1';
ALUOp <= "10";
when "100011" => --lw
RegDst <= '0';
Branch <= '0';
MemRead <= '1';
MemtoReg <= '1';
MemWrite <= '0';
ALUSrc <= '1';
RegWrite <= '1';
ALUOp <= "00";
when "000100" => --beq
RegDst <= '0';
Branch <= '1';
MemRead <= '0';
MemtoReg <= '0';
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '0';
ALUOp <= "01";
when "000010" => --j
RegDst <= '0';
Branch <= '1';
MemRead <= '0';
MemtoReg <= '0';
MemWrite <= '0';
ALUSrc <= '0';
RegWrite <= '0';
ALUOp <= "00";
when "101011" => --sw
RegDst <= '0';
Branch <= '0';
MemRead <= '0';
MemtoReg <= '0';
MemWrite <= '1';
ALUSrc <= '1';
RegWrite <= '0';
ALUOp <= "00";
when others =>
null;
end case;
end process;
end Structural;
|
--!
--! @file: exercise5_5.vhd
--! @brief: parity generator with automated pin allocation
--! @author: Antonio Gutierrez
--! @date: 2013-10-23
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity parity_gen is
generic (N: integer := 4;);
port (
x: in std_logic_vector(N-1 downto 0);
y: out std_logic(N downto 0));
end entity parity_gen;
--------------------------------------
architecture circuit of parity_gen is
signal temp: std_logic_vector(N-1 downto 0);
attribute chip_pin: string;
attribute chip_pin of x: signal is "A7, A6, A5, A4, A3, A2, A1, A0";
attribute chip_pin of y: signal is "C0 B7, B6, B5, B4, B3, B2, B1, B0";
begin
temp(0) <= x(0)
gen: for i in 1 to N-1 generate
temp(i) <= x(i) xor tmep(i-1);
end generate gen;
y <= temp(N-1) & x;
end architecture circuit;
--------------------------------------
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_shadow_8_e
--
-- Generated
-- by: wig
-- on: Fri Jul 15 13:54:30 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_shadow_8_e-e.vhd,v 1.2 2005/07/15 16:20:00 wig Exp $
-- $Date: 2005/07/15 16:20:00 $
-- $Log: inst_shadow_8_e-e.vhd,v $
-- Revision 1.2 2005/07/15 16:20:00 wig
-- Update all testcases; still problems though
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_shadow_8_e
--
entity inst_shadow_8_e is
-- Generics:
-- No Generated Generics for Entity inst_shadow_8_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_shadow_8_e
end inst_shadow_8_e;
--
-- End of Generated Entity inst_shadow_8_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Sumador32B is
Port ( A : in STD_LOGIC_VECTOR (31 downto 0);
B : in STD_LOGIC_VECTOR (31 downto 0);
SumOut : out STD_LOGIC_VECTOR (31 downto 0));
end Sumador32B;
architecture Behavioral of Sumador32B is
begin
SumOut <= A + B;
end Behavioral; |
----------------------------------------------------------------------------------
-- Company:
-- Engineer: StrayWarrior
--
-- Create Date: 15:00:30 11/14/2015
-- Design Name:
-- Module Name: TwoInMuxer_16bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity TwoInMuxer_16bit is
Port ( input1 : in STD_LOGIC_VECTOR (15 downto 0);
input2 : in STD_LOGIC_VECTOR (15 downto 0);
opcode : in STD_LOGIC;
output : out STD_LOGIC_VECTOR (15 downto 0));
end TwoInMuxer_16bit;
architecture Behavioral of TwoInMuxer_16bit is
begin
with opcode select
output <= input1 when '0',
input2 when '1',
input1 when others;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SingleWordVoter is
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
inputReady : in STD_LOGIC;
outputReady : out STD_LOGIC;
done : out STD_LOGIC;
stall : in STD_LOGIC;
error : out STD_LOGIC;
val0_in : in STD_LOGIC_VECTOR(31 downto 0);
val1_in : in STD_LOGIC_VECTOR(31 downto 0);
val2_in : in STD_LOGIC_VECTOR(31 downto 0);
val0_out : out STD_LOGIC_VECTOR(31 downto 0);
val1_out : out STD_LOGIC_VECTOR(31 downto 0);
val2_out : out STD_LOGIC_VECTOR(31 downto 0)
);
end SingleWordVoter;
architecture Behavioral of SingleWordVoter is
begin
process(clk, rst)
begin
if( rst = '1' ) then
elsif( clk'event and clk = '1' ) then
val0_out <= (others=>'0');
val1_out <= (others=>'0');
val2_out <= (others=>'0');
error <= '1';
if( val0_in = val1_in ) then
val0_out <= val0_in;
val1_out <= val0_in;
val2_out <= val0_in;
error <= '0';
elsif( val1_in = val2_in ) then
val0_out <= val1_in;
val1_out <= val1_in;
val2_out <= val1_in;
error <= '0';
elsif( val2_in = val0_in ) then
val0_out <= val2_in;
val1_out <= val2_in;
val2_out <= val2_in;
error <= '0';
end if;
end if;
end process;
end Behavioral;
|
-- $Id: tb_nx_cram_memctl_as.vhd 433 2011-11-27 22:04:39Z mueller $
--
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_nx_cram_memctl_as
-- Description: Configuration tb_nx_cram_memctl_as for tb_nx_cram_memctl
--
-- Dependencies: tbd_nx_cram_memctl_as
-- To test: nx_cram_memctl_as
--
-- Verified (with tb_nx_cram_memctl_stim.dat):
-- Date Rev Code ghdl ise Target Comment
-- 2010-05-30 297 - 0.26 11.4 L68 xc3s1200e ok
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-26 433 1.1 renamed from tb_n2_cram_memctl_as
-- 2010-05-30 297 1.0 Initial version
------------------------------------------------------------------------------
configuration tb_nx_cram_memctl_as of tb_nx_cram_memctl is
for sim
for all :tbd_nx_cram_memctl
use entity work.tbd_nx_cram_memctl_as;
end for;
end for;
end tb_nx_cram_memctl_as;
|
-- $Id: tb_nx_cram_memctl_as.vhd 433 2011-11-27 22:04:39Z mueller $
--
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_nx_cram_memctl_as
-- Description: Configuration tb_nx_cram_memctl_as for tb_nx_cram_memctl
--
-- Dependencies: tbd_nx_cram_memctl_as
-- To test: nx_cram_memctl_as
--
-- Verified (with tb_nx_cram_memctl_stim.dat):
-- Date Rev Code ghdl ise Target Comment
-- 2010-05-30 297 - 0.26 11.4 L68 xc3s1200e ok
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-26 433 1.1 renamed from tb_n2_cram_memctl_as
-- 2010-05-30 297 1.0 Initial version
------------------------------------------------------------------------------
configuration tb_nx_cram_memctl_as of tb_nx_cram_memctl is
for sim
for all :tbd_nx_cram_memctl
use entity work.tbd_nx_cram_memctl_as;
end for;
end for;
end tb_nx_cram_memctl_as;
|
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
CASE_LABEL : case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end CASE CASE_LABEL;
end process PROC_2;
end architecture ARCH;
|
-- whole_design.vhd
--
-- Created on: 17 Jul 2017
-- Author: Fabian Meyer
--
-- Whole integration of 16-point FFT communicating over I2C.
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fft_helpers.all;
entity whole_design is
generic(RSTDEF: std_logic := '0');
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
sda: inout std_logic; -- serial data of I2C
scl: inout std_logic); -- serial clock of I2C
end whole_design;
architecture behavioral of whole_design is
-- import i2c slave
component i2c_slave
generic(RSTDEF: std_logic := '0';
ADDRDEF: std_logic_vector(6 downto 0) := "0100000");
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
tx_data: in std_logic_vector(7 downto 0); -- tx, data to send
tx_sent: out std_logic := '0'; -- tx was sent, high active
rx_data: out std_logic_vector(7 downto 0) := (others => '0'); -- rx, data received
rx_recv: out std_logic := '0'; -- rx received, high active
busy: out std_logic := '0'; -- busy, high active
sda: inout std_logic := 'Z'; -- serial data of I2C
scl: inout std_logic := 'Z'); -- serial clock of I2C
end component;
-- import fft16 component
component fft16
generic(RSTDEF: std_logic := '0');
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
start: in std_logic; -- start FFT, high active
set: in std_logic; -- load FFT with values, high active
get: in std_logic; -- read FFT results, high active
din: in complex; -- datain for loading FFT
done: out std_logic; -- FFT is done, active high
dout: out complex); -- data out for reading results
end component;
constant FFTEXP: natural := 4;
-- OP codes for I2C
constant OPSET: std_logic_vector(7 downto 0) := "00000001";
constant OPRUN: std_logic_vector(7 downto 0) := "00000010";
constant OPGET: std_logic_vector(7 downto 0) := "00000011";
-- INTERNALS
-- =========
-- define states for FSM of whole design
type TState is (SIDLE, SRECV1, SRECV2, SRUN1, SRUN2, SSEND1, SSEND2, SSEND3);
signal state: TState := SIDLE;
-- INPUTS
-- ======
signal byte_cnt: unsigned(1 downto 0) := (others => '0');
signal sample_cnt: unsigned(FFTEXP-1 downto 0) := (others => '0');
-- send buffer for I2C
signal tx_data_i2c: std_logic_vector(7 downto 0) := (others => '0');
signal en_i2c: std_logic := '0';
signal en_fft: std_logic := '1';
signal start_fft: std_logic := '0';
signal set_fft: std_logic := '0';
signal get_fft: std_logic := '0';
signal din_fft: complex := COMPZERO;
-- OUTPUTS
-- =======
-- receive buffer for I2C
signal rx_data_i2c: std_logic_vector(7 downto 0);
signal rx_recv_i2c: std_logic;
signal tx_sent_i2c: std_logic;
signal busy_i2c: std_logic;
signal done_fft: std_logic;
signal dout_fft: complex;
begin
process(rst, clk) is
procedure reset is
begin
state <= SIDLE;
-- reset counters
byte_cnt <= (others => '0');
sample_cnt <= (others => '0');
-- reset I2C
tx_data_i2c <= (others => '0');
en_i2c <= '0';
-- reset fft
en_fft <= '1';
set_fft <= '0';
get_fft <= '0';
din_fft <= (COMPZERO);
start_fft <= '0';
end procedure;
variable byte_cnt_shift: unsigned(4 downto 0) := (others => '0');
variable byte_start: natural := 0;
variable byte_end: natural := 0;
begin
if rst = RSTDEF then
reset;
elsif rising_edge(clk) then
-- only stay high for one cycle
start_fft <= '0';
get_fft <= '0';
set_fft <= '0';
-- divide frequency, only enable I2C every second cycle
en_i2c <= not en_i2c;
case state is
when SIDLE =>
-- we have received something
if rx_recv_i2c = '1' and en_i2c = '1' then
case rx_data_i2c is
when OPSET =>
-- receive data from master
state <= SRECV1;
when OPGET =>
-- send results to master
state <= SSEND1;
get_fft <= '1';
when OPRUN =>
-- run FFT on data we have
state <= SRUN1;
when others =>
-- do nothing, ignore
end case;
end if;
when SRECV1 =>
-- disable fft until we get next number
en_fft <= '0';
if rx_recv_i2c = '1' and en_i2c = '1' then
-- received a byte
byte_cnt <= byte_cnt + 1;
-- multiply byte count by 8
byte_cnt_shift := shift_left(resize(byte_cnt, 5), 3);
byte_start := FIXLEN-to_integer(byte_cnt_shift)-1;
byte_end := FIXLEN-to_integer(byte_cnt_shift)-8;
-- write rx of I2C to din of FFT
din_fft.r(byte_start downto byte_end) <= signed(rx_data_i2c);
if byte_cnt = "10" then
-- we have received 3 bytes
-- now enable fft for 1 cycle to read this value
set_fft <= '1';
en_fft <= '1';
-- reset byte_cnt
byte_cnt <= (others => '0');
-- inc sample counter
sample_cnt <= sample_cnt + 1;
if sample_cnt = "1111" then
-- we have received all samples
state <= SRECV2;
-- reset sample counter
sample_cnt <= (others => '0');
end if;
end if;
end if;
when SRECV2 =>
-- wait until fft module is done
if done_fft = '1' then
state <= SIDLE;
end if;
when SRUN1 =>
if done_fft = '1' then
-- wait until fft is done and has finished writing data to memory
-- the start computing FFT
start_fft <= '1';
state <= SRUN2;
end if;
when SRUN2 =>
if start_fft = '0' and done_fft = '1' then
-- go back to idle mode, fft is done
state <= SIDLE;
end if;
when SSEND1 =>
-- wait for 2 cycles in this state such that FFT module
-- is ready to read data
byte_cnt <= byte_cnt + 1;
if byte_cnt = "10" then
byte_cnt <= "01";
en_fft <= '0';
tx_data_i2c <= std_logic_vector(dout_fft.r(FIXLEN-1 downto FIXLEN-8));
state <= SSEND2;
end if;
when SSEND2 =>
en_fft <= '0';
if tx_sent_i2c = '1' and en_i2c = '1' then
-- if we have sent the byte process next one
byte_cnt <= byte_cnt + 1;
-- multiply byte count by 8
byte_cnt_shift := shift_left(resize(byte_cnt, 5), 3);
byte_start := FIXLEN-to_integer(byte_cnt_shift)-1;
byte_end := FIXLEN-to_integer(byte_cnt_shift)-8;
-- apply current result data to I2C component
tx_data_i2c <= std_logic_vector(dout_fft.r(byte_start downto byte_end));
-- if we have sent 3 bytes then go to next result
if byte_cnt = "10" then
-- enable FFT for one cycle to get next result
en_fft <= '1';
-- reset byte_cnt
byte_cnt <= (others => '0');
-- inc sample counter
sample_cnt <= sample_cnt + 1;
-- if sample counter overflows we just sent last result
if sample_cnt = "1111" then
sample_cnt <= (others => '0');
state <= SSEND3;
end if;
end if;
end if;
when SSEND3 =>
-- wait until also last byte was sent
if tx_sent_i2c = '1' and en_i2c = '1' then
state <= SIDLE;
end if;
end case;
end if;
end process;
i2c: i2c_slave
generic map(RSTDEF => RSTDEF,
ADDRDEF => "0100000")
port map(rst => rst,
clk => clk,
swrst => not RSTDEF,
en => en_i2c,
tx_data => tx_data_i2c,
tx_sent => tx_sent_i2c,
rx_data => rx_data_i2c,
rx_recv => rx_recv_i2c,
busy => busy_i2c,
sda => sda,
scl => scl);
fft: fft16
generic map(RSTDEF => RSTDEF)
port map(rst => rst,
clk => clk,
swrst => not RSTDEF,
en => en_fft,
start => start_fft,
set => set_fft,
get => get_fft,
din => din_fft,
done => done_fft,
dout => dout_fft);
end architecture;
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
STATE_21,
STATE_22,
STATE_23,
STATE_24,
STATE_25,
STATE_26,
STATE_27,
STATE_28,
STATE_29,
STATE_30,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
constant U_STATE_21 : std_logic_vector(0 to 15) := x"0121";
constant U_STATE_22 : std_logic_vector(0 to 15) := x"0122";
constant U_STATE_23 : std_logic_vector(0 to 15) := x"0123";
constant U_STATE_24 : std_logic_vector(0 to 15) := x"0124";
constant U_STATE_25 : std_logic_vector(0 to 15) := x"0125";
constant U_STATE_26 : std_logic_vector(0 to 15) := x"0126";
constant U_STATE_27 : std_logic_vector(0 to 15) := x"0127";
constant U_STATE_28 : std_logic_vector(0 to 15) := x"0128";
constant U_STATE_29 : std_logic_vector(0 to 15) := x"0129";
constant U_STATE_30 : std_logic_vector(0 to 15) := x"0130";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
--signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
--signal reg7, reg7_next : std_logic_vector(0 to 31);
--signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
--retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
--reg7 <= reg7_next;
--reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
when U_STATE_21 =>
current_state <= STATE_21;
when U_STATE_22 =>
current_state <= STATE_22;
when U_STATE_23 =>
current_state <= STATE_23;
when U_STATE_24 =>
current_state <= STATE_24;
when U_STATE_25 =>
current_state <= STATE_25;
when U_STATE_26 =>
current_state <= STATE_26;
when U_STATE_27 =>
current_state <= STATE_27;
when U_STATE_28 =>
current_state <= STATE_28;
when U_STATE_29 =>
current_state <= STATE_29;
when U_STATE_30 =>
current_state <= STATE_30;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
--retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
--reg7_next <= reg7;
--reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: join_1_stress
-- reg1 = numberOfTestsToComplete
-- reg2 = * numberOfTestsCompleted
-- reg3 = * function
-- reg4 = thread
-- reg5 = i
-- reg6 = joinReturn
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_attr_t * attr = (hthread_attr_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
-- Read the address of numberOfTestsToComplete
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Read the value of numberOfTestsToComplete
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
when STATE_3 =>
reg1_next <= intrfc2thrd_value;
-- Read the address of numberOfTestsCompleted
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 4;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
reg2_next <= intrfc2thrd_value;
-- Read the address of function
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 8;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
--joinValue = SUCCESS
-- for( i=0; i<*(data->numberOfTestsTocomplete); i++ )
when STATE_5 =>
reg3_next <= intrfc2thrd_value;
reg5_next <= Z32;
reg6_next <= Z32;
next_state <= STATE_6;
when STATE_6 =>
-- Do the comparision between i and toBeCompleted
if ( reg5 < reg1 ) then
next_state <= STATE_7;
else
next_state <= STATE_13;
end if;
when STATE_7 =>
next_state <= STATE_8;
-- createReturn == hthread_create( &(data->threads[i]), NULL, data->function, NULL );
when STATE_8 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_9;
when STATE_9 =>
-- push data->function
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg3;
next_state <= WAIT_STATE;
return_state_next <= STATE_10;
when STATE_10 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_11;
when STATE_11 =>
-- push &( data->threads[i] )
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"0000000C" + ( reg5(2 to 31) & "00" );
next_state <= WAIT_STATE;
return_state_next <= STATE_12;
when STATE_12 =>
-- call create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_6;
next_state <= WAIT_STATE;
-- increment i
reg5_next <= reg5 + "x00000001";
-- END OF FOR LOOP
-- for( i=0; i<*(data->numberOfTestsTocomplete); i++ )
when STATE_13 =>
reg5_next <= Z32;
next_state <= STATE_14;
when STATE_14 =>
-- Do the comparision between i and toBeCompleted
if ( reg5 < reg1 ) then
next_state <= STATE_15;
else
next_state <= STATE_;
end if;
-- hthread_join( data->thread[i], NULL );
when STATE_15 =>
-- read the value of data->thread[i]
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"0000000C" + ( reg5(2 to 31) & "00" );
next_state <= WAIT_STATE;
return_state_next <= STATE_16;
when STATE_16 =>
reg4_next <= intrfc2thrd_value;
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_17;
when STATE_17 =>
-- push thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg4;
next_state <= WAIT_STATE;
return_state_next <= STATE_18;
when STATE_18 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_19;
next_state <= WAIT_STATE;
when STATE_15 =>
-- Read the value of numberOfTestsCompleted
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= reg2;
next_state <= WAIT_STATE;
return_state_next <= STATE_16;
reg5_next <= reg5 + x"00000001";
when STATE_16 =>
thrd2intrfc_opcode <= OPCODE_STORE;
thrd2intrfc_address <= reg2;
thrd2intrfc_value <= intrfc2thrd_value + x"00000001";
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
-- for( i=0; i< *(data->numberOfTestsCompleted); i++ );
when STATE_17 =>
-- set i to 0
reg5_next <= Z32;
-- Read the value of numberOfTestsCompleted
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= reg2;
next_state <= WAIT_STATE;
return_state_next <= STATE_24;
when STATE_18 =>
reg6_next <= intrfc2thrd_value;
next_state <= STATE_19;
when STATE_19 =>
-- compare i and numberOfTestsComplted
if ( reg5 < reg6 ) then
next_state <= STATE_20;
else
next_state <=FUNCTION_EXIT;
end if;
when STATE_24 =>
reg5_next <= reg5 + x"00000001";
next_state <= STATE_19;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
-- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_compare
-- ============================================================
-- File Name: lpm_compare1.vhd
-- Megafunction Name(s):
-- lpm_compare
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 6.0 Build 202 06/20/2006 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2006 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_compare1 IS
PORT
(
dataa : IN STD_LOGIC_VECTOR (30 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (30 DOWNTO 0);
AleB : OUT STD_LOGIC
);
END lpm_compare1;
ARCHITECTURE SYN OF lpm_compare1 IS
SIGNAL sub_wire0 : STD_LOGIC ;
COMPONENT lpm_compare
GENERIC (
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (30 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (30 DOWNTO 0);
AleB : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
AleB <= sub_wire0;
lpm_compare_component : lpm_compare
GENERIC MAP (
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 31
)
PORT MAP (
dataa => dataa,
datab => datab,
AleB => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "0"
-- Retrieval info: PRIVATE: AgeB NUMERIC "0"
-- Retrieval info: PRIVATE: AgtB NUMERIC "0"
-- Retrieval info: PRIVATE: AleB NUMERIC "1"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: Latency NUMERIC "0"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "0"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "31"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "31"
-- Retrieval info: USED_PORT: AleB 0 0 0 0 OUTPUT NODEFVAL AleB
-- Retrieval info: USED_PORT: dataa 0 0 31 0 INPUT NODEFVAL dataa[30..0]
-- Retrieval info: USED_PORT: datab 0 0 31 0 INPUT NODEFVAL datab[30..0]
-- Retrieval info: CONNECT: AleB 0 0 0 0 @AleB 0 0 0 0
-- Retrieval info: CONNECT: @dataa 0 0 31 0 dataa 0 0 31 0
-- Retrieval info: CONNECT: @datab 0 0 31 0 datab 0 0 31 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare1.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare1.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare1.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare1.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare1_inst.vhd TRUE
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package ethcomp is
component grethc is
generic(
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
mdcscaler : integer range 0 to 255 := 25;
enable_mdio : integer range 0 to 1 := 0;
fifosize : integer range 4 to 512 := 8;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 0;
edclbufsz : integer range 1 to 64 := 1;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
rmii : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahbg : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0;
mdiohold : integer := 1;
maxsize : integer;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--edcl ahb mst in
ehgrant : in std_ulogic;
ehready : in std_ulogic;
ehresp : in std_logic_vector(1 downto 0);
ehrdata : in std_logic_vector(31 downto 0);
--edcl ahb mst out
ehbusreq : out std_ulogic;
ehlock : out std_ulogic;
ehtrans : out std_logic_vector(1 downto 0);
ehaddr : out std_logic_vector(31 downto 0);
ehwrite : out std_ulogic;
ehsize : out std_logic_vector(2 downto 0);
ehburst : out std_logic_vector(2 downto 0);
ehprot : out std_logic_vector(3 downto 0);
ehwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--irq
irq : out std_logic;
--rx ahb fifo
rxrenable : out std_ulogic;
rxraddress : out std_logic_vector(10 downto 0);
rxwrite : out std_ulogic;
rxwdata : out std_logic_vector(31 downto 0);
rxwaddress : out std_logic_vector(10 downto 0);
rxrdata : in std_logic_vector(31 downto 0);
--tx ahb fifo
txrenable : out std_ulogic;
txraddress : out std_logic_vector(10 downto 0);
txwrite : out std_ulogic;
txwdata : out std_logic_vector(31 downto 0);
txwaddress : out std_logic_vector(10 downto 0);
txrdata : in std_logic_vector(31 downto 0);
--edcl buf
erenable : out std_ulogic;
eraddress : out std_logic_vector(15 downto 0);
ewritem : out std_ulogic;
ewritel : out std_ulogic;
ewaddressm : out std_logic_vector(15 downto 0);
ewaddressl : out std_logic_vector(15 downto 0);
ewdata : out std_logic_vector(31 downto 0);
erdata : in std_logic_vector(31 downto 0);
--ethernet input signals
rmii_clk : in std_ulogic;
tx_clk : in std_ulogic;
rx_clk : in std_ulogic;
tx_dv : in std_ulogic;
rxd : in std_logic_vector(3 downto 0);
rx_dv : in std_ulogic;
rx_er : in std_ulogic;
rx_col : in std_ulogic;
rx_en : in std_ulogic;
rx_crs : in std_ulogic;
mdio_i : in std_ulogic;
phyrstaddr : in std_logic_vector(4 downto 0);
mdint : in std_ulogic;
--ethernet output signals
reset : out std_ulogic;
txd : out std_logic_vector(3 downto 0);
tx_en : out std_ulogic;
tx_er : out std_ulogic;
mdc : out std_ulogic;
mdio_o : out std_ulogic;
mdio_oe : out std_ulogic;
--scantest
testrst : in std_ulogic;
testen : in std_ulogic;
testoen : in std_ulogic;
edcladdr : in std_logic_vector(3 downto 0) := "0000";
edclsepahb : in std_ulogic;
edcldisable : in std_ulogic;
speed : out std_ulogic
);
end component;
component greth_gbitc is
generic(
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
slot_time : integer := 128;
mdcscaler : integer range 0 to 255 := 25;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 0;
edclbufsz : integer range 1 to 64 := 1;
burstlength : integer range 4 to 128 := 32;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
sim : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahbg : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0;
mdiohold : integer := 1;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--edcl ahb mst in
ehgrant : in std_ulogic;
ehready : in std_ulogic;
ehresp : in std_logic_vector(1 downto 0);
ehrdata : in std_logic_vector(31 downto 0);
--edcl ahb mst out
ehbusreq : out std_ulogic;
ehlock : out std_ulogic;
ehtrans : out std_logic_vector(1 downto 0);
ehaddr : out std_logic_vector(31 downto 0);
ehwrite : out std_ulogic;
ehsize : out std_logic_vector(2 downto 0);
ehburst : out std_logic_vector(2 downto 0);
ehprot : out std_logic_vector(3 downto 0);
ehwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--irq
irq : out std_logic;
--rx ahb fifo
rxrenable : out std_ulogic;
rxraddress : out std_logic_vector(8 downto 0);
rxwrite : out std_ulogic;
rxwdata : out std_logic_vector(31 downto 0);
rxwaddress : out std_logic_vector(8 downto 0);
rxrdata : in std_logic_vector(31 downto 0);
--tx ahb fifo
txrenable : out std_ulogic;
txraddress : out std_logic_vector(8 downto 0);
txwrite : out std_ulogic;
txwdata : out std_logic_vector(31 downto 0);
txwaddress : out std_logic_vector(8 downto 0);
txrdata : in std_logic_vector(31 downto 0);
--edcl buf
erenable : out std_ulogic;
eraddress : out std_logic_vector(15 downto 0);
ewritem : out std_ulogic;
ewritel : out std_ulogic;
ewaddressm : out std_logic_vector(15 downto 0);
ewaddressl : out std_logic_vector(15 downto 0);
ewdata : out std_logic_vector(31 downto 0);
erdata : in std_logic_vector(31 downto 0);
--ethernet input signals
gtx_clk : in std_ulogic;
tx_clk : in std_ulogic;
tx_dv : in std_ulogic;
rx_clk : in std_ulogic;
rxd : in std_logic_vector(7 downto 0);
rx_dv : in std_ulogic;
rx_er : in std_ulogic;
rx_col : in std_ulogic;
rx_crs : in std_ulogic;
rx_en : in std_ulogic;
mdio_i : in std_ulogic;
phyrstaddr : in std_logic_vector(4 downto 0);
mdint : in std_ulogic;
--ethernet output signals
reset : out std_ulogic;
txd : out std_logic_vector(7 downto 0);
tx_en : out std_ulogic;
tx_er : out std_ulogic;
mdc : out std_ulogic;
mdio_o : out std_ulogic;
mdio_oe : out std_ulogic;
--scantest
testrst : in std_ulogic;
testen : in std_ulogic;
testoen : in std_ulogic;
edcladdr : in std_logic_vector(3 downto 0) := "0000";
edclsepahb : in std_ulogic;
edcldisable : in std_ulogic;
gbit : out std_ulogic;
speed : out std_ulogic);
end component;
component greth_gen is
generic(
memtech : integer := 0;
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
mdcscaler : integer range 0 to 255 := 25;
enable_mdio : integer range 0 to 1 := 0;
fifosize : integer range 4 to 64 := 8;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 0;
edclbufsz : integer range 1 to 64 := 1;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 31 := 0;
rmii : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--irq
irq : out std_logic;
--ethernet input signals
rmii_clk : in std_ulogic;
tx_clk : in std_ulogic;
tx_dv : in std_ulogic;
rx_clk : in std_ulogic;
rxd : in std_logic_vector(3 downto 0);
rx_dv : in std_ulogic;
rx_er : in std_ulogic;
rx_col : in std_ulogic;
rx_crs : in std_ulogic;
rx_en : in std_ulogic;
mdio_i : in std_ulogic;
phyrstaddr : in std_logic_vector(4 downto 0);
mdint : in std_ulogic;
--ethernet output signals
reset : out std_ulogic;
txd : out std_logic_vector(3 downto 0);
tx_en : out std_ulogic;
tx_er : out std_ulogic;
mdc : out std_ulogic;
mdio_o : out std_ulogic;
mdio_oe : out std_ulogic;
--scantest
testrst : in std_ulogic;
testen : in std_ulogic;
testoen : in std_ulogic;
edcladdr : in std_logic_vector(3 downto 0);
edclsepahb : in std_ulogic;
edcldisable : in std_ulogic;
speed : out std_ulogic
);
end component;
component greth_gbit_gen is
generic(
memtech : integer := 0;
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
slot_time : integer := 128;
mdcscaler : integer range 0 to 255 := 25;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 1;
edclbufsz : integer range 1 to 64 := 1;
burstlength : integer range 4 to 128 := 32;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
sim : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
ft : integer range 0 to 2 := 0;
edclft : integer range 0 to 2 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahbg : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--edcl ahb mst in
ehgrant : in std_ulogic;
ehready : in std_ulogic;
ehresp : in std_logic_vector(1 downto 0);
ehrdata : in std_logic_vector(31 downto 0);
--edcl ahb mst out
ehbusreq : out std_ulogic;
ehlock : out std_ulogic;
ehtrans : out std_logic_vector(1 downto 0);
ehaddr : out std_logic_vector(31 downto 0);
ehwrite : out std_ulogic;
ehsize : out std_logic_vector(2 downto 0);
ehburst : out std_logic_vector(2 downto 0);
ehprot : out std_logic_vector(3 downto 0);
ehwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--irq
irq : out std_logic;
--ethernet input signals
gtx_clk : in std_ulogic;
tx_clk : in std_ulogic;
rx_clk : in std_ulogic;
rxd : in std_logic_vector(7 downto 0);
rx_dv : in std_ulogic;
rx_er : in std_ulogic;
rx_col : in std_ulogic;
rx_crs : in std_ulogic;
mdio_i : in std_ulogic;
phyrstaddr : in std_logic_vector(4 downto 0);
mdint : in std_ulogic;
--ethernet output signals
reset : out std_ulogic;
txd : out std_logic_vector(7 downto 0);
tx_en : out std_ulogic;
tx_er : out std_ulogic;
mdc : out std_ulogic;
mdio_o : out std_ulogic;
mdio_oe : out std_ulogic;
--scantest
testrst : in std_ulogic;
testen : in std_ulogic;
testoen : in std_ulogic;
edcladdr : in std_logic_vector(3 downto 0);
edclsepahb : in std_ulogic;
edcldisable : in std_ulogic;
speed : out std_ulogic;
gbit : out std_ulogic
);
end component;
end package;
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:v_tc:6.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY v_tc_v6_1;
USE v_tc_v6_1.v_tc;
ENTITY tutorial_v_tc_0_0 IS
PORT (
clk : IN STD_LOGIC;
clken : IN STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aclken : IN STD_LOGIC;
gen_clken : IN STD_LOGIC;
hsync_out : OUT STD_LOGIC;
hblank_out : OUT STD_LOGIC;
vsync_out : OUT STD_LOGIC;
vblank_out : OUT STD_LOGIC;
active_video_out : OUT STD_LOGIC;
resetn : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
irq : OUT STD_LOGIC;
fsync_in : IN STD_LOGIC;
fsync_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END tutorial_v_tc_0_0;
ARCHITECTURE tutorial_v_tc_0_0_arch OF tutorial_v_tc_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_tc_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT v_tc IS
GENERIC (
C_HAS_AXI4_LITE : INTEGER;
C_HAS_INTC_IF : INTEGER;
C_GEN_INTERLACED : INTEGER;
C_GEN_HACTIVE_SIZE : INTEGER;
C_GEN_VACTIVE_SIZE : INTEGER;
C_GEN_CPARITY : INTEGER;
C_GEN_FIELDID_POLARITY : INTEGER;
C_GEN_VBLANK_POLARITY : INTEGER;
C_GEN_HBLANK_POLARITY : INTEGER;
C_GEN_VSYNC_POLARITY : INTEGER;
C_GEN_HSYNC_POLARITY : INTEGER;
C_GEN_AVIDEO_POLARITY : INTEGER;
C_GEN_ACHROMA_POLARITY : INTEGER;
C_GEN_VIDEO_FORMAT : INTEGER;
C_GEN_HFRAME_SIZE : INTEGER;
C_GEN_F0_VFRAME_SIZE : INTEGER;
C_GEN_F1_VFRAME_SIZE : INTEGER;
C_GEN_HSYNC_START : INTEGER;
C_GEN_HSYNC_END : INTEGER;
C_GEN_F0_VBLANK_HSTART : INTEGER;
C_GEN_F0_VBLANK_HEND : INTEGER;
C_GEN_F0_VSYNC_VSTART : INTEGER;
C_GEN_F0_VSYNC_VEND : INTEGER;
C_GEN_F0_VSYNC_HSTART : INTEGER;
C_GEN_F0_VSYNC_HEND : INTEGER;
C_GEN_F1_VBLANK_HSTART : INTEGER;
C_GEN_F1_VBLANK_HEND : INTEGER;
C_GEN_F1_VSYNC_VSTART : INTEGER;
C_GEN_F1_VSYNC_VEND : INTEGER;
C_GEN_F1_VSYNC_HSTART : INTEGER;
C_GEN_F1_VSYNC_HEND : INTEGER;
C_FSYNC_HSTART0 : INTEGER;
C_FSYNC_VSTART0 : INTEGER;
C_FSYNC_HSTART1 : INTEGER;
C_FSYNC_VSTART1 : INTEGER;
C_FSYNC_HSTART2 : INTEGER;
C_FSYNC_VSTART2 : INTEGER;
C_FSYNC_HSTART3 : INTEGER;
C_FSYNC_VSTART3 : INTEGER;
C_FSYNC_HSTART4 : INTEGER;
C_FSYNC_VSTART4 : INTEGER;
C_FSYNC_HSTART5 : INTEGER;
C_FSYNC_VSTART5 : INTEGER;
C_FSYNC_HSTART6 : INTEGER;
C_FSYNC_VSTART6 : INTEGER;
C_FSYNC_HSTART7 : INTEGER;
C_FSYNC_VSTART7 : INTEGER;
C_FSYNC_HSTART8 : INTEGER;
C_FSYNC_VSTART8 : INTEGER;
C_FSYNC_HSTART9 : INTEGER;
C_FSYNC_VSTART9 : INTEGER;
C_FSYNC_HSTART10 : INTEGER;
C_FSYNC_VSTART10 : INTEGER;
C_FSYNC_HSTART11 : INTEGER;
C_FSYNC_VSTART11 : INTEGER;
C_FSYNC_HSTART12 : INTEGER;
C_FSYNC_VSTART12 : INTEGER;
C_FSYNC_HSTART13 : INTEGER;
C_FSYNC_VSTART13 : INTEGER;
C_FSYNC_HSTART14 : INTEGER;
C_FSYNC_VSTART14 : INTEGER;
C_FSYNC_HSTART15 : INTEGER;
C_FSYNC_VSTART15 : INTEGER;
C_MAX_PIXELS : INTEGER;
C_MAX_LINES : INTEGER;
C_NUM_FSYNCS : INTEGER;
C_INTERLACE_EN : INTEGER;
C_GEN_AUTO_SWITCH : INTEGER;
C_DETECT_EN : INTEGER;
C_SYNC_EN : INTEGER;
C_GENERATE_EN : INTEGER;
C_DET_HSYNC_EN : INTEGER;
C_DET_VSYNC_EN : INTEGER;
C_DET_HBLANK_EN : INTEGER;
C_DET_VBLANK_EN : INTEGER;
C_DET_AVIDEO_EN : INTEGER;
C_DET_ACHROMA_EN : INTEGER;
C_GEN_HSYNC_EN : INTEGER;
C_GEN_VSYNC_EN : INTEGER;
C_GEN_HBLANK_EN : INTEGER;
C_GEN_VBLANK_EN : INTEGER;
C_GEN_AVIDEO_EN : INTEGER;
C_GEN_ACHROMA_EN : INTEGER;
C_GEN_FIELDID_EN : INTEGER;
C_DET_FIELDID_EN : INTEGER
);
PORT (
clk : IN STD_LOGIC;
clken : IN STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aclken : IN STD_LOGIC;
det_clken : IN STD_LOGIC;
gen_clken : IN STD_LOGIC;
intc_if : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
field_id_in : IN STD_LOGIC;
hsync_in : IN STD_LOGIC;
hblank_in : IN STD_LOGIC;
vsync_in : IN STD_LOGIC;
vblank_in : IN STD_LOGIC;
active_video_in : IN STD_LOGIC;
active_chroma_in : IN STD_LOGIC;
field_id_out : OUT STD_LOGIC;
hsync_out : OUT STD_LOGIC;
hblank_out : OUT STD_LOGIC;
vsync_out : OUT STD_LOGIC;
vblank_out : OUT STD_LOGIC;
active_video_out : OUT STD_LOGIC;
active_chroma_out : OUT STD_LOGIC;
resetn : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
irq : OUT STD_LOGIC;
fsync_in : IN STD_LOGIC;
fsync_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT v_tc;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF clken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 clken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 s_axi_aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF hsync_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out HSYNC";
ATTRIBUTE X_INTERFACE_INFO OF hblank_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out HBLANK";
ATTRIBUTE X_INTERFACE_INFO OF vsync_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out VSYNC";
ATTRIBUTE X_INTERFACE_INFO OF vblank_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out VBLANK";
ATTRIBUTE X_INTERFACE_INFO OF active_video_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out ACTIVE_VIDEO";
ATTRIBUTE X_INTERFACE_INFO OF resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 resetn_intf RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn_intf RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RREADY";
ATTRIBUTE X_INTERFACE_INFO OF irq: SIGNAL IS "xilinx.com:signal:interrupt:1.0 IRQ INTERRUPT";
BEGIN
U0 : v_tc
GENERIC MAP (
C_HAS_AXI4_LITE => 1,
C_HAS_INTC_IF => 0,
C_GEN_INTERLACED => 0,
C_GEN_HACTIVE_SIZE => 1920,
C_GEN_VACTIVE_SIZE => 1080,
C_GEN_CPARITY => 0,
C_GEN_FIELDID_POLARITY => 1,
C_GEN_VBLANK_POLARITY => 1,
C_GEN_HBLANK_POLARITY => 1,
C_GEN_VSYNC_POLARITY => 1,
C_GEN_HSYNC_POLARITY => 1,
C_GEN_AVIDEO_POLARITY => 1,
C_GEN_ACHROMA_POLARITY => 1,
C_GEN_VIDEO_FORMAT => 2,
C_GEN_HFRAME_SIZE => 2200,
C_GEN_F0_VFRAME_SIZE => 1125,
C_GEN_F1_VFRAME_SIZE => 1125,
C_GEN_HSYNC_START => 2008,
C_GEN_HSYNC_END => 2052,
C_GEN_F0_VBLANK_HSTART => 1920,
C_GEN_F0_VBLANK_HEND => 1920,
C_GEN_F0_VSYNC_VSTART => 1083,
C_GEN_F0_VSYNC_VEND => 1088,
C_GEN_F0_VSYNC_HSTART => 1920,
C_GEN_F0_VSYNC_HEND => 1920,
C_GEN_F1_VBLANK_HSTART => 1920,
C_GEN_F1_VBLANK_HEND => 1920,
C_GEN_F1_VSYNC_VSTART => 1083,
C_GEN_F1_VSYNC_VEND => 1088,
C_GEN_F1_VSYNC_HSTART => 1920,
C_GEN_F1_VSYNC_HEND => 1920,
C_FSYNC_HSTART0 => 0,
C_FSYNC_VSTART0 => 0,
C_FSYNC_HSTART1 => 0,
C_FSYNC_VSTART1 => 0,
C_FSYNC_HSTART2 => 0,
C_FSYNC_VSTART2 => 0,
C_FSYNC_HSTART3 => 0,
C_FSYNC_VSTART3 => 0,
C_FSYNC_HSTART4 => 0,
C_FSYNC_VSTART4 => 0,
C_FSYNC_HSTART5 => 0,
C_FSYNC_VSTART5 => 0,
C_FSYNC_HSTART6 => 0,
C_FSYNC_VSTART6 => 0,
C_FSYNC_HSTART7 => 0,
C_FSYNC_VSTART7 => 0,
C_FSYNC_HSTART8 => 0,
C_FSYNC_VSTART8 => 0,
C_FSYNC_HSTART9 => 0,
C_FSYNC_VSTART9 => 0,
C_FSYNC_HSTART10 => 0,
C_FSYNC_VSTART10 => 0,
C_FSYNC_HSTART11 => 0,
C_FSYNC_VSTART11 => 0,
C_FSYNC_HSTART12 => 0,
C_FSYNC_VSTART12 => 0,
C_FSYNC_HSTART13 => 0,
C_FSYNC_VSTART13 => 0,
C_FSYNC_HSTART14 => 0,
C_FSYNC_VSTART14 => 0,
C_FSYNC_HSTART15 => 0,
C_FSYNC_VSTART15 => 0,
C_MAX_PIXELS => 4096,
C_MAX_LINES => 4096,
C_NUM_FSYNCS => 1,
C_INTERLACE_EN => 0,
C_GEN_AUTO_SWITCH => 0,
C_DETECT_EN => 0,
C_SYNC_EN => 0,
C_GENERATE_EN => 1,
C_DET_HSYNC_EN => 1,
C_DET_VSYNC_EN => 1,
C_DET_HBLANK_EN => 1,
C_DET_VBLANK_EN => 1,
C_DET_AVIDEO_EN => 1,
C_DET_ACHROMA_EN => 0,
C_GEN_HSYNC_EN => 1,
C_GEN_VSYNC_EN => 1,
C_GEN_HBLANK_EN => 1,
C_GEN_VBLANK_EN => 1,
C_GEN_AVIDEO_EN => 1,
C_GEN_ACHROMA_EN => 0,
C_GEN_FIELDID_EN => 0,
C_DET_FIELDID_EN => 0
)
PORT MAP (
clk => clk,
clken => clken,
s_axi_aclk => s_axi_aclk,
s_axi_aclken => s_axi_aclken,
det_clken => '1',
gen_clken => gen_clken,
field_id_in => '0',
hsync_in => '0',
hblank_in => '0',
vsync_in => '0',
vblank_in => '0',
active_video_in => '0',
active_chroma_in => '0',
hsync_out => hsync_out,
hblank_out => hblank_out,
vsync_out => vsync_out,
vblank_out => vblank_out,
active_video_out => active_video_out,
resetn => resetn,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
irq => irq,
fsync_in => fsync_in,
fsync_out => fsync_out
);
END tutorial_v_tc_0_0_arch;
|
architecture RTL of FIFO is
begin
process (a, b)
begin
end process;
process (a, b
)
begin
end process;
-- Violations below
process (a, b
)
begin
end process;
process (a, b
)
begin
end process;
-- Smart Tabs
process (a, b
)
begin
end process;
process (a, b
)
begin
end process;
process (a, b
)
begin
end process;
process (a, b
)
begin
end process;
end architecture RTL;
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY About IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(799 DOWNTO 0)
);
END About;
ARCHITECTURE About_arch OF About IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF About_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(799 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(799 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(799 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(799 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(799 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(799 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF About_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF About_arch : ARCHITECTURE IS "About,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF About_arch: ARCHITECTURE IS "About,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=VHDL,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=3,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=About.mif,C_INIT_FILE=About.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=800,C_READ_WIDTH_A=800,C_WRITE_DEPTH_A=600,C_READ_DEPTH_A=600,C_ADDRA_WIDTH=10,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=800,C_READ_WIDTH_B=800,C_WRITE_DEPTH_B=600,C_READ_DEPTH_B=600,C_ADDRB_WIDTH=10,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=22,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 60.4532 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 3,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "About.mif",
C_INIT_FILE => "About.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 800,
C_READ_WIDTH_A => 800,
C_WRITE_DEPTH_A => 600,
C_READ_DEPTH_A => 600,
C_ADDRA_WIDTH => 10,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 800,
C_READ_WIDTH_B => 800,
C_WRITE_DEPTH_B => 600,
C_READ_DEPTH_B => 600,
C_ADDRB_WIDTH => 10,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "22",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 60.4532 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addra => addra,
dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 800)),
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 800)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 800)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END About_arch;
|
library ieee;
use ieee.std_logic_1164.all;
entity ent is
port (
clk : in std_logic;
i : in std_logic_vector(7 downto 0);
o : out std_logic_vector(3 downto 0)
);
end;
architecture a of ent is
function invert(x : std_logic_vector) return std_logic_vector is
begin
return not x;
end function;
begin
process(clk)
begin
if rising_edge(clk) then
o <= invert(i)(3 downto 0);
end if;
end process;
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SsEHSCy5J6+9cUVgehpdS4KIK44CgGkXWJWf6qkg4yM3EQQaIDqY9rMGKYr+5S4d3YZpzxKRSRqT
i9qUM6R8DA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UPmxVEbWtmOW5vvoB6jgGEd6qKVh3m1UNGzpWJAfZR88dyUsFq36QPNO7HPwROrPf9Qwwd4yDY38
ZeXqQncy5huiqSyYv74aKKOJtpqKl4S576tesrVlgr+yXD//GHJJ30riuG7hSb2AVCZLU+7WpFiu
PYTyIF6awlIwEVc1HG8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y4FTH6UQqxVUSfCCsS84jdQqcw44ahe7vTAKYZCCsBnr6YRt6EO3plNczdfF+PV60icYRgeUNMJo
pYYK/qsTWVyc2TfR9U1WECdadksBjsoKFcgklpp6cp3BgwiU8qOcvAUYgllmBcAYLR10rd2A87CM
acw/LZdKoFUeRg8Jo2vRklFwDFS0SYaFF3BSH8GvyNR2M/kq5jwGtCFO0HJBvCETEKb2aT8z4cxt
pawEtadqWGBvwMiWog2OrWwbWuacUqI4dBiXXfE7Obn8sm+GEzqzl93jyVz5P0tGaYyCUdvd9Rb2
kPhTXRrMugN9fVELq52A9C6IXO3GHcJk9qXkJA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
350JsG5VekcPsJ8a7bIEMj6IcsG7iwCPButxrsIt5gE4fMOF/Zt6znTQCd36+4MKI+pYQwP6oypb
Y5+8zK65bAuJ9pd3TrFDc51ZTE5VO1ahcJscbXzH6NaxwuHEQA7/PWa87VWEkZTeQeHuBquzw/WD
OyZJBykLLeQc5XGe5o4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QTmFNnFTV7n6GaQKqo5sD10Erew9ecQGeSPlqbGYVrmMQ2K5xPmY8qBMaZYlbia+DtYqt4aQwVgP
plNeexpuUHuROm0gwRG+nfbl1YPj9M/P3yKT+t9eqgUCWwsjJpNcpIIjr0Z+IbYTrPxTNtkMKwIN
oB9ilJaTcahjZCk0JPO3SvWvNVocDm0biJpzGnoWow7Too3TjQJOmjfpai/do7zCqgijXiW2zdIS
WS1fKAtR9RugWcM5zgz0lwdUYqMAXwS07Anyd7Dbzdjfyp5U75uKyDjFviAnn64TT0vKLZiUqMxX
QqzlVxdI0Z2A5G/EkQqVIht9FM4EK/efBvfsrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58768)
`protect data_block
UrmT5L3Q6dCEcDIsuqq1ILPd9OSwNcwz349vKpDiuJmCg+xwe9wWXTA1BLpeVAh5Lhrr/GYa40D8
p/cAj8Hknmzujz0Lo+4+72SNfQX5kSd6aHQiJ80rulQOLuoMYm0QtzNg9DxBArlvCq6wyYk7euwA
xQWLv0wCF114cg5jf/2hmv93PPMjJl4mVI56hRqZRfk0mIYIHyZkhU6ROo7qRY/4HU+GPZ5tECjC
tILi97eHNyqdMiX6GGu0wRxApzlS5OJRIAZCyBMZ99UzKB7yMmzDFVEQ3hbrvXxkDyvuHgg/ut2I
dGbFQx+QKuNVLd+a5Ns9RZ7BCRl3HWq5XYDGOttRpZ9uzURXHKZiBpcM/T+midZ3E1jbN0fnaxFO
0StokZzeVKfkNTPC/GmiE2ie5yDL3br2iYnxS1U0VSi0Np4Cwz399qsLpT/8ndzeFhWqJqGoOGHe
hPs88cYMXaB3Q68AAKmWhSsdAL+Q/CR3q6tI/danBFtMkzf97lnLqi895dTNev6xC0gglTcxfta8
FWVgzxYbQzckbTRNCy932qqVfs4gY+NczUgXhD68sFZmLACCHcA9sRrPPct5Ok11NcfymL8Nuem1
3duSFL/gnssCS4S/FEO5KFGLh9HJDPgaOM0IKOm+Eux3DCGr/+KH1Fwdl8QDhwYwTnpL2Q0eUlTt
2w0ReWVbk0UlnvqXEGowiI+X5hok6ZYSqsVxs5lK3J/98yH90Yet064Ejw0XBfaSwPzwJ/a+TyoU
LrKECjRiBujiA/r+V9LKinNmQTV9V2+IUitJGEpPr7yL1a0mCMJX5MYbYfKcSx3zM0YUGT1yuJqx
u8LQwr4Yjde1UTtAfYAEbnvwPFb4tpQFVKby+MoWqJMlpbIE5uopDw1Ht/hSYDwNLr1EWqTOdAy1
1Zl/G2YEgog0IrVWrX0ll1QJ20VFyDwnnMoNuCx5soNb8bsRd5zNhzGQaDE9hhQE3tKzgD1ju63A
EUs37saTRIlcpxw+FPswuAH06L+UmREFPCxoLXKCLVZxDLu5coS32PYkWl1BzzdSoqGzzyUgKdtb
JdKqbWOgmo7ERKMX7lTGfMrE5E21UDCWwhsSmJcrspQtqsZqjD3dMohKT+IpD2cL/1V2Gy7xasFe
2K7lTWj8U/QBbMaCVlXCupqC2zvvpRghM3eNxG5K8fFOp7r0NPHNGS7+PjQ+g3kujb6xEAACpyAV
1S4QdYToM+Q1MdeXXzyZWyldqL0jRfPIxn9qXP+tNxXfuwE7k6g7NtjN5avCQN3/D4bFtD6p15af
rTmRpfznQ//12s4A5VgXy2/XetfT/ioI3we0LPJXpDj/8k3/1TP1yazkW/JSfaEAf+CQZp3ipeVi
PYeQAEqd3TJORpYzHyZAkf9HUxD2lvUthtSFWXpF6sBSbe74D/F3vZlKxU3rpLguE9Ak/zdZb6+x
iZMksKgTbQY72H7Bzg6xULwpWjLDcViH2JmCxOzREDdgeLKNtkS18wPOAokT1DwlDHZurFbA1gDl
z2l5ZPaWx6iZTFeE6rXk7rQC9gOtkGpc2ReOl5MxyX9Qz3EbaaU2hZvCGETmr8a1sIdCuKMC7V6e
Ujyk11m6LLvfmZzCVLfk58Dv/ztH0VmGzA9JTmhSwcOcHKErt5zwraHc2dMedTydLA4GurmdiIgr
p1uF7C/KP6p5wrLHVfId7VK/v/F/lTIIRZYDnod3ipjdTiPDuQa+dd1Z2MIw3pXw8LX/KVnFBTVG
1VAaGeUv/Jaj34KB1ogsCllzysSMO/TODTRPdNXnRdIZhwwhqSwUQgpdGG3s0yzzjXgRerpP9J7X
xEjMfArVc+cUK9Ywz1UHK8KUJ10F7zUivFnNE2E9llc9ALVNV5pL+3cAwIFi+k8/JUc92XGbVjtt
4+Pu8OAjVb/4dEwRdFFX1IR+NUfkqQg4PM8SHc0m3R/QPP9yNS//+B4LYqKuKXRrOC3eXTkCAOvC
rgsiYxJ0L7O2S8kDIQyAXrQISATskKFUVJVvom6x/s1s069Yfc0oGO6/yD2RzR16Os8JQbZouslr
2ER7SWPmwJWrujd6XfmSOp35i2iLKsGjq+IJ8cT+jYlw0M+rwMG9bfNwd/4b4OnhRa+M36r0/+TV
8oJB91HxDw6MKZjl6pkmRbXuIrMqyg+i+kOGMCXb8uhTaceJbukWcULPeibTDi5neVYhfSZSs+QB
FwPPS8pkI0kpDaH5roz87Vz8/q70QysPK6bODDxJk91NIBm8ebIGA2G4SMi0gOOX2vsYrQ6Gpzn5
xez28Sl0222biw8EOj6hQs6XgglepN1QTY3r3HWMkQR2YtzOAjgMiyIYP/eiLaXDio1qcD+j/zQz
T5v7fP+AiF2hkEnBXISAIq4nOz5kBxaQ6R0+Jouar2Nz+NW7PEQV9oov2okk/bA8pJVnvEtOR7f+
8ulaCHStR4qGHJ672q951+2VKx1Xc2aMdIeRfnmbd8dYNuDjf/4n1XzQ5lhIIiCco/kGavW8+2/0
Xkfcej3ZxpjDI+0MqiiKLDXWFIgdk0IQGEQVDpNNxj9Z2cjPZp/kHmVtWExRkj1Ykh330+27a+bX
kbI7idpKKvhA+eeSoEwjS0Hb8jBFpyRzBkrzIew3QnGEexM3NOWrBZaJWNtkAWiH6uX5zM2oO9pL
SoWYsOOUUasdIHeiAae0BAkePqpnJFlVNaTbNU+1/E996NiL4ysmkHrDHq4iYzTwWIrO22pr4XbA
0brAEBM980CR6eX9pH7e4lOgON4yAApn6DKFTqYewtzFtA6XRlDkp3vr5e3pXuFBPeIJyjgQDg82
Qc+1Zs9GFSrjOVowpw9Mm/x6Abee4rsMW8zuEKEegQOgvPsL+vnr6vc7EOHjwPkk0esXKwzUXmii
5vvr1cNzM084Oq88sTiEpMAnNr/v8FebVW/BjA0d2Gl/b7BADmS1xD+y/fAZ4iiy0BeZDf0jjfCV
2RwlctEKr6kM+BVFf82CRoF1FD7jaPFTqISQeM0incEBScbmwcQNVJVDJch7bcuTXtV217sKjTkj
KvdVkNjfJMJdzsCILPPNH/j+uucfiEpjEjGzsZgSwziL5sqevNSXW3c0+B4Gf0S4sY3Sk534h32j
y9E6F3xEb7hdxtHnnTnIZbBNSJBT2a9J9Ae3lcyZj5BPb2vvXuJdNGp478WYONdY+56ARTE6KxM8
2Ij+RqmUvF1fehzMwV165VGW0222ebzbNQFV72H1GUmi5lgdOL+doNR00rF8FqZpJOD8g9/J6DhC
L/aq6rDHX0IjN4Pz8fpeHHcBmugPq2WiudZH1aMv+K35Q9+C9nIWmatnSGoda4B0+544XBam+/V9
Pj6/Yy2WKrAqrRa7YgTtmu+7Zd/zf1n5AIepkMB+EvodfcetJPO0AN50t5XTH0aZYdzIezqaQ3Cd
fzqGxmj+66uifC4YEXTkCoCPAGHXKfoH8pkEYzHa4Yul+S9ujscg19anuNvs08i64fQNajxM4b82
mAp4fNPAyJTof9ycaeeh46f2o5ZEfobvXHQcxku12wQt6/OZ5OgNdWWeKyuf7J8gGiJH6xG13ceb
Wv+JPjbKb9bk5Z7eoTaJ3Lh6v8oxbJzlan9hunKVGZdI554zTKgKHPUhxT0I3M/OdP7it+peBOUc
2u7XyWAIanBw25xGls3uoAvMkDtliqp4ULC4s17ye8LmaMY6xQXgx55jc4j6Sdu1ECgZjHNNt80Y
wlLagEimfsxAbBIEIA7Yf6arVgzf45fsa4pWTcd1gHTjPV4OUyCYfi9Cqkjd/uVF2ouahnNuRTDx
pmTlOFtNL8fuWdD++elExnHhe/SP3ipYwnD2dujDB9W191RcBi5GExapga5Ao0z0a5QgyOGaxFqM
yHm8fVKP0MJQWk/4WysMlUvx4zdQyzkUiFZIildvEhzWdEySgu/xzdMqnuHRni96deV71QAE3Jv6
IFE1Bb0gc6z9IlLkkVT1Yp0fHHm686Q4HtTwMb4mKFLdV3f1ET4VpgFLOLyZdVIO38m4AxpJYQAu
v5Q8HXSvkYcPno1qDeiNawLmutVTfdxYRdjd9+j+dzLGWJdCa4E6VSNxneV1p3z4OMBesdRrTNAp
KVOmyl8bWXjiPrkl8GNxsNWcZQ7OsJ+cUKu7EyOhrxCE/8/GlhR15u3qLDxYsYq1jm9wq2Fv82ip
aDMsYHADIKT6sw8Tr9rbSvxtDuJxtRSJiQVR2SAX26S/B0GsA64ZHYDRdWUM+mRRUuX7H74TZFtv
MMaKuda9oExR7jfRn/um2ci9jonyb3+dUzOzUsWBARwSn/yzFxqeyaPEb5uJLCMWU1zk/RgWwFCT
zKYvTRPNHPVYIpT3ULtUefBY5cZwTYZvbYub0Ezm41jgf1UdV8cXa/MEyGOp+TF5Y0yMhgxloH9Q
eAe21DYz0TBapgPItvsXJEaJ2f4wYZZkErVv9lDhg9L+ufz+bJXCSziix20JKE5SvAs39zLXGLHq
nfQRb99Lq5ycnjt6e6EvTjt4H1zKHPPPM+90qmyB4wlHZp9fjmmx8+p3nENUig7kxg8ZOn5szvMw
X7CAwDNCpq+G1BvGNJlHEWQNzgAcIOyABM/TyVQM0pX+9xUCkLTVS6sAPUMAO9aAfwsJb8AI36gC
Qc4JrfZt5UvThrTkHCZJqSNkqS0FEY5viTRQbSmWm0haggXTu40bq3EjiWdpy8Nt19Pzr0xaOQ30
cvm+e2NhV6GibluV5H5rluO7vMo4QV4QsKy4AforoOHna/MlD+UuRC5CWjrfkrUuLer6mUjtz1QB
2/Jx5yl3vIbfyQEQb/Z/HHPkrK/h5cpLyrrlk2iepUkw4ep7YGP/9hXc4KkX3aJZM57kT+Ay9wbv
aOQko8FudBS+D5TTZwuRGH/FhE9ZP5reqbffatUHtfNyvlw6c2ZQFuyX8dYLivXz6kG3rKLhzQzL
EF2WpUYxDWVgLIfdkNxJJFanTeFAohefLxj5OFpQqLNd7+xftAvc0L4urTrX3CRBNpHEYrgVFtc1
RBEby56ysOz2/td7lz2+17uFRecB5oI2FM41kSN9OrsszncarbBvLM7wiI0DqMDiFFgM9R5cYXwu
f3d7dicGdJTQ8IDWZWfOZHXcqDlrY3XxoWmfu4/0QJQOnPoTmRvEThBZQ7J28exYu0l+iiVyZoLz
xJscsXuvnMzSYOI/RQh+m1rbf+El8o2EqfW/upDFnx9yo12yCWx0A6/hfsMtyP7ABiCTmPIHzpJT
3ciO1nc0LfhZKV1fm7sW00ft8fj6r1qGuj30mGulwxt6K1lYT46N0VEFqXysO59bVE5eodgTrm3b
xKlZBuMu9T1OdT8Wgi56SC3fPaymurWrfqoNOg1dcvowjOYAAT6KD1Vjkjs/GJqxFTYqbavgMfLm
VMgwuqbynOANAlkQoGfnwQqhmj/msd2cg6wVLn9Hu2DoNoyf/hh34CLVhweWDX+TDeovKGJDYgI5
hPMBr9IPqIbIOwZ1L2aOceq+tkhGFtSat7ig0ujoYvRhCnl5SI3DH5c2++pljWO8WMPemMUW7Bco
8ZBQQpFcScFZ0ZdQiHJT/6Vnrf+j5hhrOvU4eaL3JdptJ7+iOmKuCTo8LMIC3py1yfWLKE7umF6z
gIyORFrzWBC1VnjPKqe7/L6k0wqqMZ3LaH/kEMJ9L2bWe5tfSTQym/8H7gXJCGI6/sQEIN6cQO1w
iYE0C8HyinLXkyFQ8FJl94ovCPAOnTr5JYz+8RGnpNBqbpOEbRiLlehBVpFVKQoUGFEXjL9zf+fU
F4optHTqM6GZh+ODAnv5f5JU5MkGWkQ2Ycn4DR2UjS0mptqkCpCG12wQg17ulYOWKR5oQzgWpptf
EKKtExa05q3+/FT6Sv+aSgl6t1VoS/zzetH7mhDaPo4M32navLR0XgaoKTOCn8pgOctSEYBO6kpy
uCA+HAm39u73O8FUlLrXcE9SQxA9dXrAwFHnuceyMUzXRUlq53aIROjiEq/l3bTSqzIDP5D0ElVt
7IIR6kdqX6G3HoLNInZ+n/Wc78MgQyC3KOvF21FD+4Pj3zMQr8ggDPbTmLK9buWgPm7CbqCCujgG
Qy2153jeNrFhXO3YgLbYX7qdalZzaE9pj9QVCTaE9nvI9XonPvA3MWPcsmrOquuqDJurqo18HLmK
WnQUfVTbmwgizQsAQY0JL9nhO0eADLsJ5kO1t4olqxaeHksM4OTzqz01y5Nwl12k/B7TbmC8mi4z
aUHBUE0ZH6pjM/oOC60gakFF6jiLV4vff1KIEx0nfjw6ki3FzBDn2Q1aTd5xTSZymbxceofifEfw
Ch6EFKJv/EOR4Z4asl2ZfQwjVxnrwrfykRHXf3BI2/K+c+mE4zk00owk1vXiI8+1m1bF1i9r+IuV
ZUbTjt23r2/xIT8E1FE8rb6odppKNNuvB6JQjCRWhprleQ11PxiIN4YYVaNY+0KGaOCIHo91yBTY
X/tPp/QZgwo1YVEB/LWg2hnEp0dtU4Rn6e+6CgdpNiVusBPHp9WxVqZUoxsXVwhBmFxdN0nBNCYh
ITVso1puccISQPu6eVoHKqFQhrIZcrGTAe05kqGbBO+sypxTUBF1LizAIh4ZU9LEVh3mKnwMrwkX
sVSlscsNHlfN+9ZQj1f8efqq6AdvB8Lga0zZOLsWIm++bf91D145f9H1QukDe+ldtbCQTz4J+iQo
EtqI+jGFjOoQzlpBuIt0c9oeN/u0zn+xf+vDLmjlxh324zkAoF1W+8aPx30/CC5hZKR+SnTjUa7J
+JC0uNX6gsciDMpoxxEliqucrnFEsFIWgyTEzGRujeWDW6fIJ3guK640W1rsu0tR248bJQjIPVk1
6H6jRR7+fg95HkXWFrQsjMH+MW2mLFq2K2Vsr+cuZHLgoPPQJnzRKYve8W/KRUVlt3jqBzhIFSCQ
JtNi6N6Z8eJfRgh7mNbFDQTG+JBqjXKwAJk+u6nEHRZaWmFxf3DgK6pnVK/QeoHemkgderWsseMs
x+cOlY5R0vtpqSGzuca3zIgrBJ3z0a+U2wH1CscW5s4zPtmcuiqZpoxbOEKMCc8d/PdGBfzTrFO5
nMXFWJQDznyGhFxDKkozhe41sWtIov5DztCtWBSgKK1CTMU4qwBx52JUJEOxbYNGoNuA+VAKd5wd
0WjKTqyhge0JPFV1yoi+yAFQgpr3pkb5oY+uCJeRDFQ61TxTld+UEODEF3LhbMEmXfbgmD3NYaeh
mO2lzrRZHZ1xhsIfEceVkzdQwMEW4Rx5Nhbas40IfaLPVdfZH462nX2V740dFB39JiHDLDH1oNqp
r6zGinqza7XnXZUSbcoi5cjpnYTERLsnLSSH1fUmo/Sw1QrEX84a4mozR5GW8L3gI1dcLDMwPYiM
fsIlWZMt1p/m1n57ysnecz/KiPfAyYTZnpxkzCzjLjCxbm84DA9DEYuHkMyTGzSxzbWmY+Md2htA
UL6zQCsdq70Q/ToN1p9OaV2qB6iicojj1gMVMt6KkE73pceG9uBc8TLMlighzWhIngIXqSzh8cI0
rWm1icG+icAHrOoJbzTVg8tjgNqt/FxV4a0U2RHBiRtBICTK2yW/UFAS4W/XUeeFrZnoNjAooT7k
zOXkYVIAjDhVSFegdYbUj6eegyb1AYbnKVg0ghJJR6x/50hkK8kLDQzusYra6qAlR3pHMQLRMpgc
kwgAgoUVio/wDBCNTruSdpncBr3WdAxVGHnx/TEHEyXwXvLlx4FEO/3WuUgPKl/vxHah5PWWGoJf
abaPGZaJAUDcvNuy7sG2csGAMnv/DHOM7HSN+N2mc+mcWvdct9bKzvbk8qEyuPYyzu+gZhvqFIy5
Sbi5p8e9veyvKgfg3itWGxJH7rNY8JwqlSUXIhNQFhHpvN2U0pfmzs4f/Z9/Y/xLUAg3rrbQ6Hfn
6r92XtrHwgbDGDAwlwzGHikr3OxSdlZYXGzp+jB/rGhYWk1vktCVtKV1dbiQnYEqGF8WnmhGxlZU
FlnWBVAzFVwYR9jfAdixsNrCcynktHIkbUNbE34eEvP/EW4ujAltksdrc8dXq8QP80FAQInTyosG
pPtpYkKIueZXI5t69SXzJCKOXRBJQv74CzfSXD7T5nhKRvV2T6H0x9MAqAkpxCzHDvhKtjqmMzr/
up8gjsGVKcRzrWAe/V/9axRF/Lujo0UQjMMMAlq5TJd0UXBDkyciQF9CM2cueubVKVxBaMHsw91y
iZmigDQR80IXCr8EbPOd4JXy/5IoFh2YOASmJsU4NFSe8BCv7GzqO3ha9JyesZ/fDrnLbH0er4LV
3B1IwDBgFmRktqAzd5oDeuyJ6OkbbNAgvd9+wgzoHc3IxvWh86oAOFCA+d3oervcSqsMfQbjpBTN
ClHvfQ5ppZ8WZFKpTjVdBfANqyKTpXa7un2/bxq9YhBGSsZhtS7T7pslQXwEuGKlcNYxTZNnkOOV
+X/NAVP/U1injSZoeJE9YCS/3aN6NKQPl4IrGKhN8ucYtkbSOg0UTp2mBp+1mN6DH9gJMnCdOwkW
IeMG8rxrtwA1KhjZqlAaj8rRubixjOZPylgDxNd1QJitgSg6HXMRZPzkD4j9oeIrRCtc1JVQncTI
9mZPjvgqBMv4KYjRm6eJSCdD7aMBR4AaVqY62dYKf41WEJTMWvOBQ6mfAVeSKs3W4EqhbKISVgpN
JuC/4OqzxZh5SUqpYrPaUvPFJNct9LRa8MzSKbllm0XfFWvzgnouzlev9bzwCQzJtT+r4N+LlvgO
ToJw+ya0BlJm5whWi99J6igseSp3dDnHY9HRxBjfKdU9/7Ny8ucpoBrW076R7iuq5gxoAD+o6okh
kSFYFEFhyrHdT8o9KzBNsxTcDGZw50f01qaE387TUhe2o3QdeYSj5/am6Y2MLpAbq1AnHBhoHTbV
Mv6LZmsqbTyW/Z/lu/TU4MwmJrKfY7m088OA5yST18VNTtvtDYeuMQHpq0RUWLtd5GOuW2t9o2Ax
bkoicU5OiS22vhc7X0dStXpcb4tYyuDGoHbiYlevblNwA7WQzfdsrvBmCeLJyBku1cYGHBqqZ/OT
Zg2NCBm+jP+2oOn90mwB0vYOLrcych28uxk+WXgiA3SDlIOl/APhFPSMQlB2zHIEnLSIooxp2wz8
F+SgAhMRmlOvYgng1kkAdFYG/J8X9/LEmJS81EGMPWrWwQCjXZliYRS8RpKW+HJVV6rFNvLP7Dyc
V6K6V2hjt+KD+MpjE207LPA7IDY9lF5LNZyYqzOsDak8i8n8nWMfllqbEf56PgmdHj5JXdwBNrdH
STDX78+0ghw6Lsen64aByZzQWyiEAspq3lIjN+NQsGx/J9GxDddMxZx2adz6lje4p0BHmoQEircZ
cnZmAODj/pWGH/JqJ+ARiN4UT5Jwhx7ANTccoxPCnm230HYDoeLdqBdixSR+dYqUdTCBh6PX6oIg
O5Y+RuuubGdUtDzMWSETPtIennF7GxZ2Qq3q4buHZ4n77sGPyvB3XM4tFkrsj8zDT5sR6aWCcKxL
ko7ck5dnT9EdOEHbFmyK+sN4287gPBEMYmuCvKG00LRqy1qtkaXeoOP2glmh5bgycPTYhXMHp5BF
Z+XYnwExIW37rGiDgM2wJLIPRPrGcagj05ihvIRGj3iWVgW8MLQadqMvWKmwdOCVMT0RZlE82IMj
/LQK+HmHVPY5GgO55ZcIN6lv3alZ6iejU0iPZHM7KMicbTTEEymysJNsW/sUbMxB2hhn37S+DROR
xGMdvPf633wzIQ8pmvWEv3rEPc+GKYHVhER9KcAbzSd0mxnoHmKqf4jN0Bfj7yjVczaK3HJfwjE9
IKpa82N/6/tCSrU15ZmIMF8OKjwOjQ3Zb3uoP7+i3Ca/gzqkw2yp+3wxqnuhuJ/OGkEyfRnBMwH2
ntv8i6OAofgbYcUW+Jihcf/DddQ7yqO1eajPAmanO0x9ioEZZmfJ49Av2QyHCIsIWhr6J0XcQ91r
FbX0RQn79L/P3UBZ/Q2KU8kaQo4eRGXdnAeZL/rN/1uO/t01Z/UkNZSK4mBXa3yFbNRabtvSBVgy
YrqzieYBgb9wuiebrWn61p9/bO6FtEuH25FBHY8H8KavUlx2Noctu+aiijAPEI/FhrO2nujd63OC
gTAKBRWfaW2Gp4SGyLdehgj7PEVBv67wLEGDLRt2OFDidk1CVYdJwR9ZxlhigjDBef9ue2XA1zU6
NrReQDoJ9rRdgSGxnp7Zvn0dCuE00LU0HbImvliKEmfVzB3CheesLYqjFHrVxxh4XRpsREUwTW9A
4wOEKraKtzGwY1F2O2GLiGsJgcGVPh4mkJkyPWJi9flVfIzqdfcGBP7iic8OBzcuea+W6r9PpUK9
n9vlolLymYL7yWCXY9ToS01aaUs6X8wEgvZZqCCc7GGBbhUSIdhnwAbmraMiF4SkYsNZHoFNm3m8
ju0CrvHiUx4yyJayR4n+QEo3CdlHPRDyCrbSs37HlQdcFpW278r9tjAIEj+9940aPd6QDrQiDsKf
nZvF0U4CmaSOOTCSFzHFGpcU2cE8CSIzPWOMkya6sNfJpQA7GOnN9Z/W+PDZ/lSQqLibUDqvBfLI
3xxZst23I9VKtT0SuCGwdIuAAUpPnusmiC0XK9x4IzGsz6HzCt6vGF8A2j/R/fwQemsGk4Icay3l
/t/L2QWKuL66jDlEMnckbGF0nsdJ/C+NkiwHdMc0COdkkUu8grqbmXg12iQsUk6RGsp9UGXpKpGk
6fI5z69wiXkaOUC1lkwwYAADglk/H1wN33vqYNrwldO2UwVAQEUZQJq9H/Jxqidqf1yHnGY4TZuy
3ruC2H2m51kwfY3cEPnzHKafVpXCuB+6IsBFgGouRe6azkgLIlB98xZuP7+h8LCKmMAzkeOExCPy
JiyXHPZd9Z6TdU720qry/H3iMr+TOGNJ9Oc+Hy0drSouqLhWTKYqbn/R8cA3gpKwuzx8cBJ+zUup
Zwx/MW5LDsrL+TfsEGkNSxC8TMjSXouEKNWvZ7I9zbhk8FVfBHI0PTiPtpN8yvso75fUD6ycz3mr
2qhPKi8cZ+qjnmaIXYFTo+cC0stXyCdgG6WrGLrJkTOMDolytVkTQdS4PkyJXXPOGt52T34PxDbW
YDLAL/KcZ5KqTaTpws6hym1jWk8GC4XnyiBMUUfib2VdjNnOenkZx8vk37KGGr1v5quj4rZdtlgn
Ei0K+EsYwKQUjXCV5RJTi8pVsEN5ZllaUk+WgCI+TILccw0SzejQNZ4bfWWKOYKjS+GhyJO3RVjG
29Xe/Kjb5Xz4VwalpdQORqFSC9dTctoXuG3LDeKbbeUE16tqtCM5cYowMeoEN0vParxTPgQatNXr
e93SlyXaF/QSTdKcacEjRLnzk9P2L8xwQoc/UAhuuuoVjQHfmqir3lwrEoOR7coFQIuUa/KDcYbU
sVvIePnCN+yxKBCbOEPU4V/V+BVmYbwO4P+YPKsLbASoAvnR5v32IGKf+lM+7vtFQ2bhe0YJkn/z
GOCT5HvVZRWWAfKaFaoov80PICKTmEQhVRB8bTj4u4zb3vIg0Nk3JZ+ScV4XnInF27Eg6UkuvAxr
nSSjPVGRzaeWdgQrt41VC+rPc7m6h/5ArilF7aAcTXigU5TJVMPOiivm/81ICZOzRBPeus6UIYon
3MpgCH+yGs+wgBuBoStxd0YZydLjpKWlsw34uVSnWebu/ztVxGz2euAelBDPXofUpJi5QsSs2oPa
FRBV5dU8pMdIrFQQ6Bufar1OaEPhFRxIR5OLCJ94x17vyXLEanpeTpf6iXpvTtjbj4aTra0sVphN
CbkBtLQh8/5dPxnKTMFEjuf2FEW7MIzXSsGU3tCNAR/QKRfIebxEXDjnRRVknaP2s7/KWcTqLCts
uw/hwXkSMNc3JGiIjzn+x737AZNvGln7h7B6C+ptlXtY9/UukMiy7dhDPdLV6P9XaVT0RZpvr12g
UiAzFE4Ic3g8vxGU5UKQH9UzXn7BgM4KU9pB5VyaalpWzKjnGjNoLD06VyXJrM28rS0YeHyPMTQQ
arktvXhQ3RJP0+070daU1ocLDN9EX62FuCFq4UOze1scM6zeV/hXBF/kdN4w+2HX0BnEn0HG3cjT
rVHOps+ACuAfl7gTHSJdrHUmptd6P8mrWq/Zov1jkEUxAf1KFUs/eNCqcvHyV6/gVL6jnumTCfor
M+G6iSDHj170J5QBsXsHB92jGkV4Esnlt2fMEiKAxyZO4XbfTuFEgJg+qdG1MvShbf1WdzyCUSKF
badacg386jCaWWfXyMGPd3hYSUKhme7euBlI5MnKoocEFYqbJ+y7vVDYBVrebZRSVpOoEzusfwn/
8MuZwqAcIj8wDl0Ljf9gw5zdrIaS/BbVOdFOMvt6RYAtt7h+PFMtSOVzKsuBfRowKzPfwHVHHNlJ
QAD/momAh+KH0bNstSVtEChKVvFa0ntwreLD3d9dTbTdzuvKn4kaA8JjZaqWYIACr7As9XVolhyL
rFa7rR7+obE8cQ//+pTwswesdJC4Po5cTmC+jRxfCpf3PbNOO17Jmw/e07uI/WxF1+Jpf3/+/uOa
r+9GpQJySBHi3iAiHCTXDKScZCD1TxNS+ZRB5qWyumXWd3mMDHTHhE7Vl8Odwg9m6aRMHso/VH20
hVYGDBafNcL08Tirfj0L4mVJ4Yh0jQzrVLGu5lMLvsoJrywOwbLMp9CW9MYWN2NYIHCHFvFvWEyM
QG6sfcJFpQFomE6Lk8oBVVPbCLJr8WRFfiojSwpOMtwzp1ZgV7AK7lG0MEL4NzW9tXUNdHwR8eqQ
YfACz/dwWH6qpU5amPAM+jp2ra/1hCVKX2iJixPl4EsUStkYRecfOqYK8QYfA/04wdgoJWq8jXXi
eG6r7kZ04S0j/sqqvIBq7E/4q5Cd79Sin5YOT8apqIrHuafwwbANVk7+TyVEhqu7YSKBPdu36u50
ypUrRYFtkYBczfBnuTZ4Fh/GXKQ5vKxUUj/F6Zz7vX+BNx7cPLCb7u0Sx0QMfQ54x+CIM3/Dc13f
HUN7EILt8kUQrlIoIJzGtTQJBPEv4Q9TGeu5i2SuXhepyxdPkiHlepSWU6LNkT2dA6I/Ra2cwm01
MXETiHNk4WErgm22aPMUT7OglssgNEBZQf9eX0xCXtSM5XEgV0YkeCx34tbp2dqb1LzgN1hPqIPk
ubBIOjuV/5v5aTtYol3tXjBagHQWzL8s8XYgDcYLJeaIwqqlE709vwfmwJqWcgFH4t1UojDanjpE
9npTE4CDy+NtjzJfOkuXifnQh10Jv8x3Mt3AVHPjtkL41aF2hAoqWc4Y5e4uv3eKiOu+N5dL+Dt6
KTFih4AVi8NAoOVWNc4aNYCcCAAdjvHujS/2YTSf7xNMC54iQ5IFlv7O06jW5jS2i4xBTGcGpI58
ZW2CQELsaY7Q9MSPH8fYxLyzvQwQvuc09uxQCgDq+HDamEShuWKgsN03CNgi9Mc0c8fNoaCR+cwJ
D1u8LHT+vkiR/T9etoL0S36gqJwomGci7Q2cUkvEf4G2JKrXIOWILCfALlE8y7qhMkwiCA9pdnJr
74LLD2g2xOuKHPWWOp+U051OFLBeG2kmZ06gBSgcA7D6ZtTtgc5CWCsv37dhLQVgWX7K8eKY59Fo
t0D41m+n/UpD0cmPtTJ6T2tFGjXxRnOIm5iybLwveT8/D2T2TUbReSA9tKJhye4nNOClRdqLVOO0
ukR9M+jCKjLkayS+Xxlk4IzBMNrcrJFpkK0WzKQon6kFuBJusmKd+zLWhvqlMsJdRvyB+wQdWP9k
ija0Se1qco5msY91sj2FYq/VH1qbKWW85m/ycH5qdKDR0+KEI0L4VVaIM0Hyg59RjLkaI/AyfgdT
oQIu8CKG1c39ZlPmW4kBxOS+Q2nKtj9CutpuaMAR+PS8eNj74SDEuYU84JSb5K3+coo3fo+cEaxq
/8MeajZJ6EjbBwiDaFLKXAq240MD3R50CRAnH1nib3rX1uZhMPfEMXMCJUGSg080aw18QXobzi6G
16BlwXu0U8xPCKwAfOlWc9bSxc9anh1dSbq1T6GH+rVgSWEqkuw3vo723UP0+zall8UHGgl6441P
8TGv7bN5unlG7I3qtMiJ4JK/JrwGbI73HRrNzAGwpA1zDyGYQdqz1FF0n+uG3Q9XBljWQdP3LqFe
iwBYMPWQVmvtukbQm3jiAw+re+gKnyFGE8PQVn4TwDohYvTmt5nJANN65a0nWN5cqdY7uIRlQUQX
yB0edOqNOWZDVEuda6MTJcVMEIXvfs7AGt0AvZYwOVUnQNDiBUdFL4hJFPrGcaQcou4NtCdz9vj1
JOYcK6SKISKbGBJ2maxyRomz6Sp0cJRCyuyXzmHdpEetp2MThXZPOnTnmePWKKrc43T79RSJTuVB
COv9w31kkAn91GrFFllDNEZgwzlSoVf1c6O19xK/amYJtjMa6p+Z+WEiljEt6Q0lcvsPSSXSWsSF
JGq18CfMba6Afi1sL3QbFmuPqaLKGqdB6ySF5tdDlpyffEefhTJ8KzUDFaOObH8uv9dAt6Jql+yy
zQf2lmeKEv4rviwGbUhs7RMfV9oDuRXGL3awIiEhrr2lTCG3JnVC9LaHI9FmiDcjwG1fntmslQZ0
s/5qwOE8oYqTHMgbb+agu6ewkAeaNY9HYBDeX4CWSiMmrFT7LjB1Rpec5zxVL0AX9a5K/ijnHQW3
1xG0coBpPsNDk9SOTj7bzcYNdB90HwaauqIWjsIosEUx9l8DjDBU0PETqsbIU+jSezyCRP2QGw1A
PYW9M3KhkS7UAx6Yc0nDoxYApLD4gezX8ZJ71Ie3HJO1ZVNip3gMN+OCrpgtlJFXUhFvoq0OHq7B
cK1pg6NURb7duzAT3CMuOQB+ptgjxOQ9F9RsFY0xQz/XODkUt4Cu/ghGznQjPoOchqM8VQOlvfpz
u6E7DX/0Fiwu4xYPjXiGOwUGAT8CnoB5zAKqb4dEO8yr7SEb+qHfzaYD0ltS3zGnLGuWC/azHKNn
wYusQU07J3+DL5V37Zwb5UyO9lOUeyT3gIvRINoACMhIwgCqTDOsxY7oahrGsa8KUv7UarnHD8+y
/enYC23ynZxvkA6rjrK5MhL76tESqGXPR7SxGBUjsHTkLCEFca3Z1ZezvQQ91Dxq8/uilt0MkOyS
cYitzbRCTkHIdbcbpq0u0eNUKCNCWTqnUEQrkR6+e/Ha6LR9dCzOWd3fdX6rbm5rkZX8Zv2nwEi+
UVesC0ATbSsVgnUlhxW+tI0PmoKXDe1cvTMQa7rKn6m2z+oyMXtNlLYmQiogAHVWV0j536/qMNoK
f5BrM+BHoemO4UGrH2do0TZESwm4Ft2xr0iaLItKZeTKh84Sb1fyWVN7aLUqVX2vlY90WipFq8yK
NPfRJwJ6fuZt582fujAdKVlg/v+LmWiZBL7J3XS+5V1WIfVy96UPDuYcjjNuDvsdG/x3k9yxjOqv
Z5q/jwywyzF/ar42WJX3/qRAIkBodxsALTcOE+++xboaytccCjmH/hBl5lGUmi1ly0d3HMBSXtpL
dxvKUjRg/WPbLmE6Q38TrITkk74L5CmuksoWz5ffelLmq/2+7xrrJetbVReCOCbWsinc5a3UfzHo
IBbrTA1GDBxzEpBbawNNNzrGJndfPTFBoYw/mvPOEIIpJfHMNWlb33iwKpHnL7Z5FzOYtPARRlX6
IscvJjcc/TEDSFc/MJURHcxtpYIwN9jzx3TXtb3hzJAle/9juo8p2WkGUkkTCd9DVgE7LJHvDyCO
lSQMylEOqgWNLk8y7i9b+ky8OJNv9XfG54eeAhu0MKmOazZMspwnHJiYF+Lw5sY+d5vv0nlA2IRJ
EqbhCZZgvshrwZxpb0rU+Ea7K4yen28oxg7QPHyBy3VsUPrDv/D13WWR54P8BKpYiVNcRRlTM7sZ
4abzFLrz85p6PmCUlnJ1B+EMKbWlTd28vUWumWPSSGVydAixg6UAu83kY2tRDk/4bSckTXFnypeq
rJTnmO7wf9RQSzKFssFCwOBlJstPXs4YVyFtegQftmVUiKPeUVewdAEGLc5EW8omOj2wJftKpHNx
Lm772tloQGfDhslm79VZT5os3aqxiKHB8FzGLk2INYpF2YmrcT83h7CD8b/BwZgDg42Vto6b7gRh
4wQ/pRTIu03mYLpmGmg2jl1YsTaBRzFonPl/yWkqLWZlSJj8Fiv7AOGVs+/30nMB1jZM63X4Q1Nc
242i2GesjDGuLJKJ0SJmpPbm+UEhc+2Jm5Era1GbmceS/6J/W3UtR3q8e3SnwsjOU4A67AYNTQ6a
zr+IR5foH2qb027T5/r7CMFoTkYR1e1bPX/NyGAR4pT8XNlKmqnty7NxX/wByyLhnNeJZIPTSedw
vj3i09qZKkPS16fKmMYruSlRZtNCbFSc72mbp1jeYcukxAoLtAq44Z9ZEIKK3aslpkybGbNL01Ut
WLuM8nEYycarRXCTwl0BVHNpgumY4VM7hnktAPOaf30n8hye+KI6Ls+H0Hv5rALX6sImNElcu2tY
5YN0O5CxUTZbW5TsXNukyYvKyoKsDyWwu5d0T8iR/LISymbdp3tYTaATCZ/uf0U256s5YKS7AGH8
fCHXx1c+zrhdCztul+4LxlHtHA18qiL947a3v4w0WgbdJ+U2gbKm4cDWhti7X3WA6xTJoLM4kZp+
n2E+MsaXaueO4A7dDLgqc1uNgeo69n6qW2CRdd1N8H1kugyDf4WsdWmBqTCOSY97vi3SX0hw7sHJ
lbUBnES66eDOMuX9aF+8oWxqBBYx8rgVwurdyOliOXGYgAOs3ZBLcswx+TlFpFfCYjilrsqiYstq
N0xpiGWHb2r52dp/p/RXxF0fpPr3/miaMoNWL6bnsrsBrjlLtCDFfryyeXueTvEDZNThRqjqJpqM
/21WvFZE+CvIktTHyT39TrAzoBPPb7SZa30ghK1Q5j0EJX5jJbbKCCzB+tFkimthOBwPYNdiiYh7
iSD4PN+0C/UHYgSUqKtz5sDe9cDCbx46rap905Ne27PCp/Q+e2PEDLnQ/OWLEuVrBAfG9wgRsMcm
PORuguzs8cfEY2g5pUZaLiHxXl8NYL/uG6AuP3pWnL0vR7eXaEWVYetXx/GfCqsczjymnJIU2fD/
v7fiHH+asvoGHonl3y8dbIX+cofz+/1ofhwVK/1jx3g/ZZIHPtKwM3Wf3hpnc9nVW/BVhSktguj/
Qalz5z5vNebj+RXNw58uDy5u0CykpSLYaP3iL7Wn0Mxhb8IvfTciuVr/d3INJOoUvDghzMLyNBJc
kIULVuKXA/0JBVjH2Nk7F4yqE/rh3iSkhMhXvaY7BwmG1iVrOtagdMVG8QaqhDkaXDzaywqsMz9h
DvPiffMFggdJKIjDuXAGsaxY1AWVnwMS89R7Y725NJaZxKtzAsDECxqfgQFxD0XfI6LjEzlGFZH5
PtOhZXnfBgfFwzC0T8f5TQ56TWzkj29iqfdIeAuOK50E5hXL0R2sLdvyrHtDGHrZrTT5ogAlD+aW
Nf+JyJgaV59WrDm/YHK5c1KyFr1C2eaEUAQSj4D8xhCU8Tux+DDbQJeAjq/aOkbMorXSt3MBaeSQ
E+bIq5ffQOYaUXdbNXQr3RnjLTrUTYdQ9GNcRytnKZWkMhq/GPZp9s9/NJUxGR47xha1gmYcpSt/
Bqh6WNjiuKiGUW0T7dSnBFKV5HQgxfjmxW9IvK8gUBtqDiuHhqRGW64J6/qu6dJu4m7CNeaOqJzG
rZ2bjkSvAriS73CV4YUw1HUXjV8Q4XjzYTRdRkrdFi+5mKjeQpWszZLDtU4fkH57Zharz5szf5fZ
feRpQioBnyiURxAi/0ho8H92tCO5JbpKnocfRhg/6j18NKPOKV29ocLGrbYlBOBfTCjhk2AGgQXI
MAR3aaQYaHr9ge8y/uvteoKoZpayzUydOtQ1q7zpa1vVFHiW/iOkkfCda5y7QP8zFnM3G9om2jcU
rl4odZITD5UEe0aMFtqKgSekMFBBw/S3uC0KqLeamsktte7VG6XDeFqrAEIv51rlzqUK7zLlkijB
iGw7AgJxgRUbDqa/uLzn5XPt5jHIZcOxE7OBEvLFxEuyRnmrVAnZoz6O4WLVqV/ZIhgK6YZp8gdR
qe6hyAojWYnZK70oyOODuD4xq+Qj1CYiB7UEVFz4KAQWA8Wpr9d1nh70h/hSCAcqknvIdv/nZneQ
nqSBnbTeBDPu/ldpG2r6JI6vD9HvE/6zAnkqgXVvcPFih5OhJebd9EX0j7qL8KEZkWdSQXRIoMA9
ROIL4tmLk+LxMrJQAd7e+3KZku9w5yZQkaYLFmGuaF8bkh4zbqpLRSQ2UYGPfnDoKo80TA4jMomR
eTYl5E6qAq+/LGVr4li9JulR8BnOTwoKWcScVtXECjOL+wUKHOkUf1zKvw/MyZfq1/pmszCm8sDs
tTWR9tlROo/ogFgOL1JkdGljPx7bmDosKRuLKWnw9iTN99B7RYVMq1uJyAl3xMvDertQLdlM4z2V
yd6cfIgqHRxL0hooEeXAcbhGq2IGwDu4fAL2QLLRuIKOvjM8W1QDkXmWmKOdsnRzysJ7QBMwoOji
B2HFdtnbdPaoT7ewX/G6DXKlCMviDLMB8xsG0e26FeCOqdV3tl2pFGzKEf39UOPJHXgUrj1o0sQ+
3yVI3ArW5KzhdjB08XBbaJzPujXfq1EzmIDTzAazynBLEVKzzF0T4BEJyjfRDZpaDXTnkox+8ZEp
9bjSTrjiGokEuoak+CjYajAIo6LV2Feegjiat7C6jaqPKqRPTDOJrYnIde3DUAMX9fZnxj3VKQOT
VzBToMv9XFjdbj9lZaTrQRYpZv5z4+vipLVSg96LLWmqte0zAi610rwcDGwNeSYTBj66wTeRdFYr
IZ1aHsOwvaUkGL/STdFe+JhfeEw0WumlMbYhrddVg6ZW3uvJDZKeOB8YIfZ6vSIY1O3VydxQno7q
B2K9y85j6uwT+iTLyxPfPVJMac4M0w+CzrvjgnXPHRGiHwEgigY3gSTNR6JPbyYyL2aWtRfKsbLu
KCgtcTnTSAMtswyJ/l4y1C5UbGg4wIuX3TB4+Pv6FYOV9bPbihVMew0DoVd3u4Q41hYLXyU9mDOS
Yi9zxCFxETQJUL/3jnnGqZJH8nbmfGARpHfgvfII0yAhFckWQNHZxgi1A+crKEOwRyrFwZX5S70L
gyAAMqnkZP6FZaig/XBT/FOtLo4HQssdtO71xMMFgi9Jh4w+2tsq55B+cDviZ9+K5gC2BJRmnuEy
72IxpUK/gH/jxBli/tlq3z5Xlwg82eEEJpB1jehARRmOEgHkaiiMDrCrY1+iWSRXQfYEiPPvwLB+
qnTmajbAVRa3kD33StiuKD3h3Bpceg75qdCV2qqYU6vl81MR3lv3ia6+ofJ5rVRr8M8lsH4Z0orW
et1oXzFvvYa5nSH2NMHDEqAa3t4CSzRp/T6JAwnxcQJG6IgtyLecNBMpdoYGxqL8hkxWZ2T+P3qH
SVf9GoaShr1ThiofvACRCH+2XLNBnBkE+VWNEfcf4xgIpRB0sI0TrdjA4jBANq15AGUyz8Kr6EsJ
zLD4semYFxvVmrUGeaKyqhve5bSuJ445xne8Yn+T2adXYdIGmA6FR+LCldvoViryp6bxMYMHHSTP
sQ+9Fw0OtTSRodWXzHWxdoDSovaNq2blt70raEF1t0/HyW1jzZxBjQLQlzuoOyYbInWurZ+PVA4w
EuPqhyIoq0NQdaPur7V1uCvxg5yXsOLC0r2puq0IzFEz8IFvCQ6bLEuQ4xDqp1iCPemQNX+bEW3w
gxXpqs5LXv/1hsYV9EMzcvhbxeXHXyjCjbRxB9KAjv6DbUhjFI7N4UI4ogMhpsyL1ZR8pKH75RMZ
CxPiYADCIPWKHZn4VMf1qkAeJyX31JUidNGL5L6MCIf5I2XHsDpLPIV3XYWVtK7nfsb95ZIQgAGj
XROWNxdbIZwznEufFLdvGQNRxHdLvkq2zDaoLEkFbXgMbAIIrw8zaxYzYSwfjDSJTPTgvapXFZVI
dFK0nbQRZXcmub3gpuXnn4Mm4XZYD2+r+B/EzK8omZiNsxYthkPs6POo/NLVnr/U4/Kz+GD5mB1q
PEwtGnLy4BOnKkMHoDTluztIpSzhAV3bSvT161FbZ3nNPA8nofajfm6MB9OkdG5FXaEPILOXXJfY
gO0Op0GXkaX7AUG+MBWz8w37CzZedkCJeTtl65d5zIS26xMR2nIjhSgZkA5+n1Njk9Tz6DR2jDyd
0XFoRNGYcZM8zCoiIoT3b3idh48W+ZbBjA3jReXJs1nT6TUVSbbZpt3QYS6zJjXgS+HKyTjM4Wcw
/wJeO7ECS2HYryt/X+PdhyWZaIPTtF7WJjHS8xdogEaQBM03ouJnVq4BUw6qKabOTloc5H+vnr9U
gcwiJY+vXn72kXBkeaqFAXwWCqIgJk6aL9XCiyIHnQhck5JgAPeaWecvMRIVNIs8csyqfqd87zuZ
FLqZcZHonZwk9JDbIf6B08sBuB0l7hAevOse87/xLPoHFbxSpsBoUH+yXnO/IAb+OmJsU6Bd9o7h
r1oPXL3IN9eUD9Z9zLqRrglzhKKLKUHEla2sawRFq963ASsP+kwSfvCXlJx2wxZ4nRVELPIEnxCB
r/ti3hcxVUhDrCaXYaA2K/T0vgpCZHbpk2WGtj2vPqBpunTZ+R8WESzeIStY15Ap1hVt/yOkGmN0
xZrlUwAdCpQpxExCoJA5DcjEDfJKxGptKB0nW09+6JdyDWpA+Yu++N9qjP8gRWVodpBPlnRMSDpN
8E8044wuh9pUC0oGLZB4Gp20ABo7/wB6mQD04+24Xjs5IQirkcWRaKDeFMVMOUv/ZFiDu4FB8TXn
xoABYPhgl9cPiLxluH2+SJ/XqwHfvZ50jmQTcoxajaAFiOnnhyRCIlsIhVahIRdCJMhCiCQYo3Rc
g1GVQgbdS46L75ct8LpE8ejaqliMJk1Oj5dAKda7pL1NGH3YZ5KbjML2YYheG93PIATu9DYsBMu3
og7jJYC0MEhzVjz44mOHUJwizdId6Lnj8V9lyhvzp8xcDJe75rmZB+iIkRlGskU+IFVSxaPbI+EK
3vjsB2Pkx4dabLqo6V6Cgk0kuGBSEY4cDHGRqLZTsxoi2XnOH7OrjL0oLpQidDhSCctHgvHsg7Dq
sDCZZzlogiZeJWN0Im/sorw6Buncwjsdb+rW+I9XYb6nBZZkzWMp4AaK2znfrteSC9S+3c7+RPw2
eBypftEiVxv0fmYs4+nmE9O0ocHJEmlAQblnbJx7nfmBOJWlmSJ9AfB/HajYFpCv9dXzN3/Hn2lP
oZk3XoZW1yP0IaOtAPLUR4RetiYnyfaOp3UmK19/ERIqozGta1Fntkxlf4bAGPYPm2yjrsoVPYjF
zGDkgVrgHrMyrUjKxlSSoRTZ9bboqCLTRlAYv7m7AOfLXIpBQHlEj/CLiOrEJJPCvTZyNTZMFJWp
RgcVAGwjS2JoVklsMUGF9ebeTTIzwsLr230nQ4C5KL7XUidaobajtJVR3eleuFrRKpUQBgfGE66R
QauPLZacBPwLI229PC+6h9bdLnnbfxC6nUc+wsByU41W7KJ6L/w01xZiazhERsaOPneMRHF4BdFK
9bX4tKl+6iDsDRM+6YbyNZjtskGiVIpAcblNkAXc47eX3dgenyPMLKINPJYGfVVNDpzuE0nOoW9L
roz3VoMNiiKGQoWzoMi+pkLX6zevUixdUz/w03xb1qVQoKEqvhlP5Ilf5cpLc3xaLpG9hB46EjN7
1GvNppeOh3ivNgiqyEHIHNiCMyAAZ/c4B6C9/wXYRMaTL/cPmS2ara13GQ7jhSaOASptxRdoVKUC
4DPeu2lPfWXMxFaM1ivhvCiYD6SPhqRDb13oUf03QdfIqZm7IxX/4iR+9goJ+g3Vqm22cNdVKLCD
EJgRVmbxnQkFIFU/9TwPN5avygwB5aV39nIGZAKJ/yqgH+6EnssfUxNFVUZHcaboZ+UdvXvtP2PG
FdjAxY4hRx15uYArVt5SdFU7RMImAvzMNpv5Yj32kjMIm8M5Ksm4EGi2yZAJXi53I8Sy7Jet78WB
c7sAvuuznevi8cGNefRXZw+5sv2oTnFlxDC1TvZh6ErevcxgIqINCi76sXHsZGEOSYU4ysY6lJww
XQo5gezVXpZyZ8UHS28k625v3XJBCEgkZzBGvarARpYXqZU73ckFMCtxvdOVBHVadDhWaibzqB+x
yvppGiN5ye+QL0UwWzt13t5vhJyWS/x+awIkUkmQS8S592DtKu1AWyoyq118a+B2LoCxSxMsIMOL
R9v8ReW8VP50KLtXVGuCU16v/TbthpK87QMrzEvmI6Qy9zKq+DArG7+yhtSp1uMRsP1hoYBXId9o
xxArHF+Jv5leelSfRk6AX7FoP/DXlUtE9119j6/3KNnGzoyERd0qedWyJOBBgnc8M7wPquBq7HaU
m51dqtGHRuVTy7yoZ6m4Z/SBQVIGeqnHMA/9ErwkrsI7ISgy3lHzQaxCNnm61191pYZUg+gH04GB
Vgq0sJftE2+/rJJcumALBUnJEoqRG8R7HZCIbvwVHqcYeAMxYX1pRPpSza8B8co+wk1TI1C5tm3y
z2s208lASN90e2rwVM9o3/srsDfgKsWKrPboijEE5ylDErdFuXseOEABe8Wrw5mtvKosX/i9AMY0
aaZcy18VUdZ40MtpkR8udNmuyXdzqfgUDGfM0MhhrouQquPR6pUePyu+OILYwKJfOj2VitTNu1IY
Tkv7zmfU/OGsK5bpqZilNitQTPq3xQHBqOLC4SF2UIsb/IgfJt4XDbWxVtV+fDIqW10ONOXkrtQt
Ih6ncjpSYH+FzS/U/rKYW6SDT3ul1LE0hZm32u3nZA5W4tB87OuKSvmShQ7BDwTisbvOkUpDFPKW
u8R6Djo/wosatvORsrFDmh1TKiFnE+ZzI8lWgfQl7ftd0K9UraOFmwfMMIa1ukhA2USl9OmRU0z+
/5xfwMPlvdaQCog6C2b3IU03fSdksO4/fry+Y+AtsAFoXq3ZfPEaxE9EdJ6l5yKbPPRB31JBuhss
nPTQ86YMtNhFcVbacTy1BSRUFm4aTpkXcw/RiK/b1AoLd0bn9nIDRmO40/i4Gy1KP1NL5aDb2NEe
CyIZqJ5kdosEb964c6KTl8MGH0XxbeqGdMrnIkVmeIMgcU+jWzuNRJaoI/0s5CGgTY5+5e/kVIth
Oh4liPH2o64DFT6RrRFGniJ51FazDdawukeMhWWeEaTIUjpyyb9uPFS8rLAOhdI0Gq5p/8GMkAqf
pfMgBKKw+4zG7yOKDxhFYOxb5igPkGHJ4JDJNvHSFUfOQqL56XydcvCi/hqV6r+xaEwJ8GhVbnMw
/3PPwZXymsa/kmoW+pDedfX9B/vfzOGRuJi4xj0N2YRfMUM+Y3N1gpPCZGMFJq9K5PtGGk61MOtD
keNE8wKCkLejsyJRIdylxa7tAmjLSlpGquLxc1Gp3vYv888cQwKS/xZtft6JKYDYXv1TzNfwsRdv
bE+aR/0FvwFhBThdC5/eELuQIgfvWW6cCo4do47lNZhCjDAyYdyjtgvdLI80HHuo8miOJarhfOt8
y2hBoeCL2VhaOz93t9c3cjZPkzAtNFJ/hLwn1Ssb7VoIR+lNDaJrAYwTRfCrJ3cKJKlR0LUdm6+7
1BwanUEdiwn9v8UXsLGGpGfF2//G+4JAB4Di3G0iC9K9OThXTHZL5dlGA8n1eYHnTtGU/syE0Epl
k2TD7TLzDoDRfJaWKuKN5ORtwCFuT683IR/dEDK1e/MGU/4eU1yRkJmiPGWqU4/97cIfwvVlllXx
nalEnWFMGnMMluAvR2kID05XE5zqL8vsj7N0iWE47/3QeYgONpIAkIVAARbrFLv3p03ZXVlVGPf3
HSEvO6H3bDA6nmwQYHYiopihD9rx5zImbw3m+O7dV3R0iZAVqFYAT/CBmm7djTvDT6giAfNhIhyM
8Y/3cBBoW6W3/9Ika3VAFbhag0zzEfKU98zAgvSqa++NsZKSLEdAYs6j1WannDnYA6Xujyk28MMU
h6gpNQP5sannC0QKJ3Qtdcis92ZbglYH+r2dGKUMkXCqErucDm5SmoSGlz6kNQmG/vMCwraEzRnc
sJaw4ApppWlvWbDVShcA8owZsC0HUeHF0OUU/Ibpoqwm8BCVl8pv31HHZyeqsiVRRZ6I00gCkKn1
pZ+agdz6AK2pipDR0DGkIBGPBuPLIJPEM8dU8CXBnDZmxkfWugI6W7oARhPEHe6/5xD6m/7zfMVa
W2VR3mQmi3oEQ2g29lHKaNuxElkWr9rfbFSLKVoqaZA5vkZVQGe9La9Ggm9lDALlKjeaVUhatdev
1mYdvhg5uJaOYuwYsoueuZVfzoeDltdK36hujIjq2matLnxlJieTfAYfdTx4/jh/+eVk+DIIkJGL
C8Wkg02StuMUmAtCwzSWm/D0oki4gYmFKbZzUcPPSlgtKOZr22akzvlWTa2Zc8yPKUwqO1dfxJAO
W67sF33waGoZgz+HxOeJu4geatNIHARNcAUgdsTL01WPjgDza1HIvf1pYWQdi2SDpn1Svi7Giii6
lnwNoPlrv1wRXEdggl2sjUpwjZOIuUf8TaL1lWqi/ba9TG91X2gebRu7vmh1h8U5yg4ojnpih1I9
oOgHWI9cjJcGZnMdqJeRvIWqtGijgFS0re3ys+eUDzdVJl3aLUXcQXAP1D09WSYQexmYztj5cvWV
3mf00ekAYQVZSy5mBs+yynyagfgrWfxthEgi/UQpHXEjPUYl3bkf6N1PpcQH7UhPw5NSLWJyTtHE
IWcskWQxVRnDgLJkqHST0AHsrKW1SwKLOmMjjUeg8bS3PvG3lcV1+BK0GsO6SijtQclLVoNExqFN
bjf4ANDUctSFsVx4EJMdkcM9NC/DLVwTIJFrQdH/cNvM/1jD7781I1NCT6gBkGJSwknnTNoK1SBf
QWelwfLnZAxdugWFu+ubTvNK88FNT4wG3aqi8zTnS91X25VZC3B99r42m8BJ2YFCNci4QVjru6st
RUmAFBxMfLTcuYtB6FtDUFAIeVftneSogl0vLx2mhlPQAqCFEUXcO2Zj94MV0Out1YIb+WmnXh9G
8xs3NTdQMalYJELeXcloapT5nU2dnRrHxhETABeT3w+XeCdoPk+PExVuI94PKbhAvvrqh5gQ8Jyl
K7126WJmRKyc9T0KKy2qbUdRrWdCZ3VDMoO0VE3ZI0i0znnfAC0T67kOu0UXTaNJWEYJLXO4A5hP
+UNqdEBEKw3mdMkmb1mmHgJeMbLttDbVtbVL/dyF/Rlwh2TE+kVRp/1kPZwdpQbTgjqHCs0dVAf8
WcZ3Kscz5cvtRMoY/pJk1bmtTCr9dFPlShnn80pfZFpWUI7s1GmpWo5bFUkP6RXgBzbjBGqu2S3s
Ln5WuvHHKyNqNho04Ac2JgWZWNY+gJ6KOyUEGodJ1/Lh6LuPnC2oLFlBLjChlSYw+e9ty+VyRwbx
zzsLMIbWM5OlbDiVbo1R6hgqK7yGfikl15w3+NSnyxqWo1jr6i1Q/ub+l5/+1gxzuUErqbq+inz7
zMl2oCRVdRPfgaNLtti96J3rfymJjeRoywYoBG2BNRUxO/aqtqJmb6T6dmYZ1lAGbTkTTloeUpHA
bYlPsVOAflAbuw0qwXypM1wWlN48TDg0Aoa0UgrDL8y5aYOcBOlwrKF3Kf4DQOsup5ZzEJv3yc8f
uJN2zF8KPYGgplt27xat0ojdx74AzpoCBxUoWTfy2qgDLrc0NWQ9G8vIcGGfX7Hsqp8XiC9ELQOk
tFEO4dPC6C4A/7W/tNLptVuLc8u7sRlsxcnSkSgQ692lHTZKrBsDN1zpKT1DwwtymIhGTPJQNTpL
IEOibbYO1qSKmsq7wif7NVZuwssRiYLJzuJIO78LFU994gnKnb94rZ7T3QCTL8GEW9+hLg40M2ia
Z6JHqTVgD1VRZiGLjlc6gVxqv6JDe1tsIkbPKCV6tW8c+6b12HQkDr1oViAamX7v05/yRxBVFEXI
H3TNUPf2qr0WqXhbgbNN6z0yJWZNDrWMNBxHx2ZSpfpAr7rS/v5sDhBGs8eKRTpuxkUlV7kZkhue
lvLe23Fmvx6nL0x2AoBLNwcazhh6a/IB30uiBgV+xYRz/5/OW3mg+BmGD1Cj5KH6R0SQJUXllo4X
f5jt60bwoa4yQeXFDjXTcqypk5c9ybekiGfbGG5tawl15J0hGxOe5jUxtIgZropCcY2FSvUlT5jh
gJtlyMy5t8AQjhGDQ1bcHjLAmiMNcivp6vwsyWmuFSUq6e+5/l7WdvKE9AaA9kamsJ4niRvv9sgL
gDFjCSJHywY1287/GHWG9PtZH7rH2dSkKQoBfFugGez1VlaKVWsmo8MgvydisJDAmM23KwyP+UMh
/2EgZMHmhhCq5IqbbxEoLJLndVpPKVaFK+nlpsiIh5NFvsFNy5X0CPhuS5+dNZgUtUXtr6iNFFBP
9DbdJM0m6pX11y5r/nFUxkPTGA/f3W01BP2JwlmthSvKiV+GUJBg2mNPnxae5qAsY95+0EOsK95Q
EbMLUB44FsAH/opn+gmPSyI4yn5+N0PvP361fScNYMBRiEtXNf5p9FzQ8LxiTqt6wnaE8DJSjpsd
re4IDcbtd0Dzl7DRJaePEW+ueacqGh4HAVHm9B+YtSGd97EcdbuO9TM+1Lej98VckOAw+tRxbgd7
rnhURbM2IpWpTuRpHu4udc+qczEp4qNr6brZSy2dmdsVDke5InGdS7BVJJqu6KMR0cFTR0I6K5Jx
Twzc7N1Rq174SRVqdnq9hCupHXZ89JmoNxTXMk+4TfJwtgXes+/EeGrj9jBlaHJQNriJs47+D4Tf
2DqSWnQkii532maP478mplKpob0SidEt8Xtv/3NzNdPYslGiZj+ejjoDcOUHNtCOkOE3hsipP6jv
Ij7h7N4xjXZrynwv1fCY1f/7n3SgBBksy3+I1InEkt0La/qb/5YX/R7T73E1nfW0uBUNihqs+s7t
1C6sV2AhrDVQp+n4ozzlVWdPUAPZeEa/e+swBZfLxkC11w7BrwKqx5R+07ZhIBV8XJm06irzklBL
FePRJ4i/H729quQS/9EC708s3kYzgobIbN7aaEEjAGt1QaksSit2v/rqUv8Brm61jPfzAvahjiZX
oZnBmk+l2IphtQcwTZl4sWr+miigUpTiZ7AbQHr2AuR6fRQ6iXPgBqk+J7i6aRuf3Z69LooZuBFZ
mNFrYmOQ0dzP+xnW+RnpbOKHAElmikBBJGJ2z0crCugkCWvz9627zn2hp4fYI8pqQs2I60NyF7Zb
HnvZPXAvmQ7iUOa5u639UdohpLTqOJM7hjInaRE6ZQLNYx7B2zxYiBAacd7g0cXp4VaDqWF8iwkY
nxV1Cjgh1suz2vTFQQ+T2dxeniThvZaaaMHRoyPkxUI3VVEspj1O98Jq0A0dVqzUJ0FOwX4O4DGM
UEoTIPoEaih3gay0hLFceCZfVcVa9J69Xt4MYDkmS9A1+5UcnyLrRgeyW2tbqsE30UFtsxgv1kE2
Mk7+stuwX1Pkik5zclRCmkFto/quFNsvLbrtZq+1CvqbA01fYhl+fG8Oh4cbuGrdudTVhnhYonxi
TMtPvReaHFxGROfhkzCyymybVKyIRKFzyoZqciXHwD4ENiIUSeE8+k1DlzoZ90wSrwyY8pYqIMqw
MzAj2nn9AKPB+r2byHeMAqUPpktS41ZT4sh1XDj/pqwEqbvfx4CYIQTFwQgZ/w+N2DJGIRg0JpNr
bK6u5MaOr3gXXQPphrAXt/lllQNSF/SmcNLcYlDcrslg/IY9i0WXxpZxIDP6Ms1alQCpPHjKXSgW
VsnW6+qqjPpO/8NWTZ43mHq/qTpMTDuR2ctCfc+8QrnOI4iXdzUXZzYoD9QGJ1p2LdtILQnspsqc
w3FOT4Y5J3y9vK4x5SdYN32Xjpcvp5RBYa2QQzHAvzDjxZDJxiE1KDrKKE6/K7myOK60EXGkA6ZC
HtvXcbluEaTJ9QX6c8QTC2xKYgYiVMgC90fYvkwa3qPC1y+ksrySGnu/pPoAIZLZAXJzKcSrFAM+
7NBAzFAc2QikLsT1a+7zj6cgA7Fr7K2BRzobUvU9gwMfINpLg42Pl/vZ3cFIllAHxs3qZMrRfAma
/uKBBogBaa3rVVcYdEADkXpw0BYhiDF9g+zH0Q2wfDTwe2MVbmucbgM+afccrr38H5QUoZmVUokQ
W+D0KU3LyoVjLWHZVz89/xVAvZ/GotlTSG8kU3kgPaB/IpVb21FmDL6+kQ3oDiCAc+Dt2YMfallE
ajSytDIb951/ezbyXsWT44pkEGN2Hy8kT4jQdrWy+8YDTvtJazxUZGKNFUNLqfgvxOSmzx+ekmuL
kNGsSDfLHU+tYdBj9WkLzy5HvIsQBq3ZFJF1Yt6puErHpIK1vHd+vlLv69EfWQE8eejdvuq431z/
T5BkKUFUE6G+I8aJFod2YHjynFUeV4LZC9s7XyEKdYYO2N4+7XB3zxoCZR8moodHPPIMdB+96RRi
QykSM7G6HFoj4w1tsA5S4Q6EDvnYIDGVbStmy/UJZjgnrVVFCY9pNUm/kmJUguqlDjxLycu/yQ4r
XKLPbHSEsP29nkUUbWPdOWCBUpMOTA0pRBQ/a0kCKdIAm+g4Z6/RIzSkdjhNXl/zCbHqoyP2yVWu
AvDhUmBNxospljOL80WT3/ofqqp9bc1AwyFFD1NomRPBymIf6/4rILSCQDNAecN7pGcWWULuwe3z
2akh+F3foNGMBJJn8DGrgzwjnhyqcxx+cxFMirzdLuCEpKiCSTu9ET8tDRy6KGyYdloShRvb3f++
cCxGs3myhqHmVO+oJzoftjTYKT87u2TIop1q9UgCOFhCNQnfSXaKbOvJsCb5sJeXDQZsZnc23AXb
9gnr3U6frKhAeWa7S5+R1ctkqBBzfVFpRt3u8vo3a2JkdoA8zW3wxUXx8YFDhJN6+dtrCyvnyydU
oMmT9kgx1GpHcblk3viOtg+KCYNULqBQBc7mJVX6kmDK3VYF3wiOYQ69CPLDtJuXQt82eR8TBhZm
tdSnBrgB1z7v8ydTzyNfLSHJqm0bvQLbRJXuYnsZ/m71omEVbwcU2tIW9pGDbJAq8MZm2OIdhRNw
41/nk5R7NgxnqjhTaUGlDkwU9TwARr3h1xO+Y4oSOq/rwdzKShMrpxJuyqp7IVExXvqab1LvS6Kq
AK+yqeZxWIVygTY2xSQ5xemMyRb9MzGQ+9GSN4wF7dXIEfaJsD7VRRFFRRiiNkSNyIVvJMXTWtVJ
cA4YQIXp+QjSyRj5fEQOWjBVGsOxceZoL1cyUutZgkRPlwiKx7DufjJ1D3xoXHQyR3oTqh1NvvFK
8Y42xBRTk3IxK4soW4bB/mBawMwUIqtZupai1szTC3/r75i1sOGjRmHoY3Z2NedtDmtcH8WMMgzX
6arxlvN4/XgcD1r3p9GKHdahk0iNqXDEZeulpEAPElNEeniqu/xQMCn3ogePqBW0tr6o6rajliv4
llBKjb9psZqV1lkRpbZsPAefOUZotDtr20y8a14/bLgJA2/E/Z1rXsBqogfKOI+mdiH9Xp2BKbnC
DGycqL5rTzFhv/TdX144EB+gf4mmLz13lvzW4yy/SekQNrjp73zfXTCZ86LU6xARRUys7f40kL4G
hJBqGnO4Jq8WxGDgJedFlpgyOPlQchDxTwI+crrTPATvK45q7rdnECboUdSJENiswMruL4HQlU4a
ZvfyiGlVlETZ1hIQBDw/9aSwtt7+VRhdvEtebOW+iPz4KtA0ivNLCCrpOb8H62p/mU0w+SVMbq0w
sDs6qwS6+14ArhlcJqXjy2QytJOcxrZ5D512XYrOXi29NOsoNpBTdki9dg2MftbGXjzSKCpu2ZBs
j2ip80K78jLJWn+7fGmwE+vHQ3EQvGSUtrU9zybirQTEfl00kfWynthXqRvk3Fif2+2mZQaSD3yn
tbgGjP5uOjB4I46LLPSfYBzv8KCUniOc9IGFIRpbVo4lOW2bcVOZuehXwrwLsTezUHssHihFlZXw
ye4rRSOTe+BzkVhyrLYjIwQ/byfJyng1BeJE8npbq5P+929zWLGNix4GI5US+6R5B3HVgiyx1qMT
83H/ihClGhe8zEuaS9iCKlogZydfh9mZhpqxBhe/768YCuGss4CjJGB7NcTVBwYZDRE0CrtEslCY
Fcx5Ub+KpVChaJIvMJ9ik+1bH0GQI4fKztTTbVdEU7lw2UslES2D3FYd97hm4Af/8tt38dD4fO6C
zvVbn5ydOj5AsMnLj2s+JbFgOml4z+0rQk2sb3hROYW1Hnnn0yLZJZ3r5+F2YTeitfoTpqzDp6wg
X+2E4x+aOk0+nTwZ7ZF7KSKK+sbin8l4hp7HrzoKjU2s51QC16ihNKhnZtO6QCltszv2mHM+iKjP
zUTW1CqlaM8ZZt3uN1NVaWwEySHhO0UJ5Us+VoawANg4+PMk3H/rPfbhTNlv/RTdXeeY/AiZd2En
hKQ2Y06DU0Yju0BLhjgbJE8AoGIe6GFM/g//ekxzc6OtfnEzv8FcMXg7CosBFFwSJvHLaMiU6LnW
NOvrzxsBJ3VsN02xKEF4KZHi96xGrQY7tfgIuFesEKsCS52r11VvdWgZMCEeT/cG4/mgnDbclV+m
AXB3HSnpJpkbvzLdblAAcalyKoK7h8AAxifU/QaAucw/fwfDkQp/GoVbSfbe7wpG7tvHg7NIYU3D
vn0K5Zh1nIE5xd03zdKJ24N4bl/jEYcDCZEZEOA02EIF4udQMoyjum7rgBj/SIWatJoxIiLyulLI
uqkIR77zpHZtZnRkPlIzBIvNkrC0Ps4XmVWMqnnFxPiozLykqQ+0T5Kab8sRbbDLhrk8AwLkSUaz
eI8lqKIs9ZdEmsH3ShTEF2WUzi7Et2IpDLreZxEPkbF4iCsBU+kQyN9pxSrmmPXzjVq2mxTJfAnE
QIZe+XQU2bTxEeB3amD1P49vyAn3xDup8qs6+AoTcmAbdoYJnEQnV7NBWnQVgFVz79a9Kf7W2wUx
8LWVPzlejPdFGIe7rqz3dY8eGcFGuoQg6QKsCULCSBawgbp6clrAZUGL11pREJDenkBoihYzpJOc
kszzR7lHr5nrL43fS/4hevP4NXZSRXnQrndi9AKVG/rRnh+rvkXp04Umx3EkQ2MELzpsQT4irXEX
SHtxmuBj2ZJkakdFQkjBC9BnZDssJRDecsqTIj18kFIIY2y2ydwRHIyCCRr5Sucduu2+rEOY1gqP
GeFNgJTWf88iYQPNO3pi1pxcbdjyORpSGbK341QwTO5B2pqIUpHZkAQVF4gZFKhmGFLIo3j6bykl
jS9meHfGZEQx7RZomRkMmhOA353DGomAzuEY5yK9sOua1RimDoRCyAltS6IOOAaHagR2beHiqiwI
CCPnStUo9hwn6ljbrqwdIIHE28y8FAhHRMr9OrsWVOoJFqqmdl92+ILNgpPmbM8tv652+Y+Wb5mi
rqpf1LY43sIwILsb+apATo0Z3B7t0qvGcVsg7uRPwqDLWrTVhUgtdDNSDIz9puY2wvcrNQrKeK2R
xTY4r9IrlKDeW+V3nYEkZ4ytdwMk6ZXehHosNa8DJfMK+VRWaVsZnF2seB/g5b9G061HxptFU/E+
kSCm1kw3XZpHcKLjqDCcJdZDeWBOHQ/p2LM+kU16jkS8Eh1mSHc27eXCzVQegLGaz4Nkd9XkZYqe
oFAHfAEfJtlSoSEvr63aKA+QSTuLMVc7IpGYOl64syRpoLl/T4C1Tvg8WMXUB/6j1kQyBhrpwuUO
zHfMwkwheiolb5XgN3rZcPA/vlwp/zy4L/7TrQrH19HbFs++XsBIWX9hQokFv8RcHRPeLoj/6nyo
10nuRPLIZD7Y1tdWoN5wAe8w/+8y5suyL3YAnbokuvfu8jLpXLy/RnTx9kUGAO7u/t4ojeHQZSpg
8UxCr9Y+TXLW8bOzX8ig6hw18RvfVBB/b9X/sFAjSPMve61nomb6pyrx+lVs0M46s3vmX89PDIoF
/lzzi2hPqbSebRML42jPfSRxUqB2BtFilnRgPdiwDjvmKrMrXp3BbTqTYOLHOZyuTaanqEVma4Hi
aSimSTS4w79v3VXAp709/GbuOaOAdJQwZvbSwj4KFz2dHfFKE/G7dKSTCYiFbD/xsIuNAAxn/7tM
fQQZ7289VR4o2hFn9p4eskDAch5C/hDrDQJAxMvMuIB4uPIkB3LB0v18nGdupV3vxT9bSMxVgzKB
KEf3gd4sa88O8WVzMOCtiMyBysVWWt7JVamtWsfjLxBbTzPGnsxm2/HwsHHQVZ/INX0xICqgAuLx
ACsc+fkusOfXwJVEHgc/c1PAzF+N3rMuYLi6IPsAwCNK3JVYKlhRmreRO/EYZxXbIa9zpWCNxTbV
EcO0q/hfi/Uoqk9ubedXw91GqmUIzOrRTbNrWYY26xhVcSDH7mfwPCIRCsVWKV9BjvnSLulAg8Zr
XrfV21PLCHhBr2YzlRjndpv0q2lacOcuD4WMCRGo0LGYxWER+ItpfkEyQKGW90FndFUcSoy2Lb5/
0Qet6+aQT1Rvh5HsK9XYrIUMZ3Moj8eGagr2g0keVrtRGB2T1jA7hNHBkfsAneUCN6sl4xRF1GTs
riNgSjOzTTvmEQymAqm22gpXqKBBaPdYLATFIsPU3QeZTz3167pAAXZtvp6+3lunWNqFMqmhcyJU
IDXUVXblXYVmVO3mi5Y+/9DaplRa3yxYa6tgmsmtwi9Qb4aZSA7kMR/C0/6hBCilesTQiK6u1c+V
CDJZ5WY/uMz3mZAycRHBRHiH/LEiaURDYopKlioyhQXpIsVpbf47vhgKXRwjuwPdfIvJwMne1B4e
N7m1XOZ1j3fEaVs27CKFnh9u7YgGoLehBMp5SWDn3RDGY7vSIbrG3bTfjQnnY36Phy3SpFWnQPRa
db85Ki0n+tt6rvs5gR8ga2z/SOrgQCd2JwBxsxc0kZt/LehMJ/+gvpXA0GPkjb79uQ/tTJTwUaF1
ZoToHTEwVQJJssqU/SSoePNVNO6H/kjdmPW9k77CAEt6UECoJl/90hz0V53FxCLa4KyrFUShNfLR
clEXSU3pWfFVUgpgyiLMRm6BUfPaTnXfqRax6nG9Zj99h/Dukf1Gz2/AML/iQ7BdxhOgsw80ehmd
D/cP63b/oxRtTqVnJwrzO6ptmJeNQ5v8mwEqPlr7Hvzm5fL/g5SGOzREtoiDZdy7NNLwxxDdhi1+
qOiQATuMvjaC9EyLYwZmxdpJHqlMtDqk6UYK2hORRd42XOzTaRAgddKlZ6fMuBCqLTrkiy2SS44o
l4DDfZ93VXv9vPGGBWoEDx5xz2iEPM5sLXALirKvbKH28ffjmm6facetZ4lk0FCfLaPCIuLtanW6
h+EiEzghr81aiZX1QLMNqbq+sIpdokDA5JiaumHeYedUfXeTnzJfwJIPRhaXY8gVigbxEn2K5YWB
ktlUTgoko1EL89ineCkTn7NeW2LG4ypZaa6F2zsKQ1xTum+J3cSA2gNvXnFDB8+6BzMCQcsrGRgh
T38G1BXQmq39Yd/5AkuEjGXJVRDUl0TR73gcYVFRlX/1pM8pdZt4/sFHh3lthXrSej2oCHQfrMFV
jdMzM/sldszBohvyYGOndDyDWaifmbE7x1bzHfC2hzGxCoxZ+8yQKF0MO5Q6y+XXHLuXJjsmfinE
4UgqlC5khYrDutu5ke+54Gh+cpiKoaih1QQistCe/nNDach7n3ZYtJS4xtm4EWZr2/IWX+YZ3YQX
ZVcyU9tyNdNALJByREZVnbqsJmB7PMh4H6DS94/nlIJkfJsxlCPD4pUdJ6JAOHgQRoCmbtS1ii5z
twKbCPk4gfvgofBvuJPeccFl5MlsYXcA+HpzB3iJHpudN7bLsWlB/zciR/06xjNrasXC5OGKnZDd
tdyAamE0xTyBNtkdXItpAt2LB0dh/VeK/oNnW26gxArQktv7B2FUydPv+Av1+H2wFiqgiZDlad3L
UWI33ku3zFLElZNDB5fnC0yZEtoXysrBJ8oHxkR9ZBmHfMEcjfR31ixsCVD+YTohsQRzPUvNvDcW
LPc3rFbA73GQ85ZwmJzNGaes+s6wY/40BBi1lu+vFd97334xEyQSjd2PqUBqohzDee20aHMmGGNt
nF+/hILKpzY2zULXS+1TQEJmdgxw+FqUSWZDRDe4WXKnakUbdS4dUQg29P6RSpZvArCFGTGMT5A+
NTuueGj48HYHvo9jDOeUOn5gVnZ8hp7QmgHtA0cWphxE2fqiaJKpAxNXjMMd/zoYDZ2WvlPvdAu9
Oybkm8J/EkR4jPyieYdwCiw6kXKI9u00T1IE9X7JzbWbgnWA/TMxsNUXBz56xFS6wOK7S+570ayO
4bmIw03aErqPSm+bt7t1d+03XwRGmduKD0B1qn9lyvMQihrEOZ/SwcswxRw4p8AxgQwufbzuBzP2
LKF2mU+ti+Vt8W2rKMzYsjwmj8xyjXTDzpcFCurvczVd8hP8c+ZBGUHbDp/vzOmQVAFglnTCLOts
gBkmkYTuk0AsIrT6UkUkeMhn9+K8rt5eocCvl10xNEOwqOT7UeGshgslPScg2xDkovgRLjDpVk60
z2S9OzjtlZFfdFZsRq33qMXI1RF577uLgn6NwMvaz5yfCMBdfJDNCbWjMCYJVLKn7gXvmrwSYKqT
W9YGEKtFjaCRV1KaDasgB5fD0TYjCY/zMhlq+SVnL1G+z6y5VsKzdRF5fmLv+JPWUNzdHIbNK76J
IskjDulkA1jVN0AMj1QdESU6tXMjbkyD2208sOI/Dml/SEOPoMXX/vVLpjiyOoQGZqRgjJctNxiY
MefMAVveaHRsjMsn95Jie7884gqG+h/drGCP1giKgERm4utj8h7WS0VRCXeFZ+cFfr5rh7LdS92U
wv3JYhRk8ZcrJ4Kn/joH5E59E+7eGXTRGZN9FkV1hkia0wOPI1+TUQDUuCeQYZ/NpKIDJTia7mPU
BBdh6YwpIPtd6cqxYdN1airpXj1M9MQslFergVoOP8OR5CFwvXX0hZDS7V04bm3YYJ4PXhU9+ajP
uYbxBFEzyACRIV/chH0WyUhLAIIT8gifEOFi/4JfNQt5sWJ65u9hXZn6A/CtgFpbX5hIhEbWoaw2
J7nFvomySrLs8XpLbTfonPObXif8WHLATAH6RitcJgaPvYylDpY7e0vskdl7YSvYx2Vt1yfY9+BK
RjrObwGUyRPOKqfLXfHOTu0U6z1KkmEjOAS/Uxp0GgR6dH/yB4ttAgVX+A3LL7aNGSXGRL6CYWyP
Yop1EdK+dKhbyJ/IQqX2TnOuPKUddoJEqMxbNDlMtUW7y2+QxxW8gFZVC1JCEHccLbjNWx8HqE/Y
RaSZXbafkG9Is/dnrOhJ4grlvM22lSE9ckM+LxLB5Yd8oFQ/fKJuh9xKfKoNTZfhm8Sbzg9tO4G3
ZVHuPOOLQkIG1KtTyYO+QkM1xD+TlYQt6i98lgip48QB1lYGsMVd/b+toFPh6Yeja6+1VdvtmFuE
wQey/5Jp4Snf2iRnTzCB61KEbrecQqu1e6WInsbxifdyO/6pB14tKpIH+5//Re2WFPFeoKzVKP+X
fn3vgh1aKpkeQXZxTS+ABbvymReyw8Y8nKT/ZyTDY4I7iBwoEs5LVbPtgfIRN26wvp57YGSeFaSJ
l3b++gSE2gYi2FxAsG+0yCm+AXJ4vtD9yHgAA7n2x7vVwc32854Nr2IivmFOq7zS47I1NBMsg+Fm
p0A+cM5KrFFgfKg7Y2xn+8MzELRSbrIvAyf3GGLR+tX5rBLbscIcl9ByY08Nibkkg6EvCSGHAttf
kKYe5nGcaHMV+h+j8XExAsCuAA7lVhCpslbSJ0DRAgNY9Un6CYCfPeIV4CPpLtJnG/8tg9SUOlMT
d7RnP6B0fULnO+vxI/NTK+Lnq2FujwMEVAFsIRKOYm3ZzX5eXZm20JQ4hmVSxb9cgj2OSVj224Xl
nrzYBcN2c+RajjJAYrlO3H+NOFe0y8MTXvFNqlyC0ZlzbmYejqbYmB5mSXVSr3so8aXYihVY+Jgr
dtjMtGZJaUCKDpGEM5XBetO6CqJ6ozltSFZgG/1aOZLa6E+RaAGZ3BDtFiWEU8LVShrMW0Oe5OJR
Gl3yCcD4zJ/FICO2k9WAXcuISSWYpGiUjg9d4V2D0YLFQzFiBb6zTyvfvicMjsvTANSe21ZdQ6ew
tLw3NsXkWrFqdidBa2FbUGVB8ZtzurtqSBM995aGupWozYq18RYaiz1MOjqK5JOzNIwXmkibq1JK
Z9zVf6Q52gvJo9ZDe5RPdaZSi7ILHpotDW70QR7Dbv4TQ09w2PUIzFHEHmxG62GDo3F4mxe78eEH
Y7DW+8O8z8Fu1Wn3b96+LbJKAyNzWgCIiRJgxgO6R3Or8A1BipttdAZhG0T/QoTNjuBmN5htU5JS
yrKr8UmVoToHO4FtJsp947I2CxCEGlSA2z1Y2C7lOqA02kt4FsMz9X0rWPJPX7D16iR0K5MzsH/h
eKkGaVNOKNZplBjW8bwMpij2DAv9b/KE1dOANlkPQchG3TLVVpwooH5l/xq+fEPXLrrrzvW2kk6v
nKd1TNn7qDZ3AY3zEMeVYO6HSErnllr9FePUu98gNempJABH8Uu4YAO4mmQYXSEGwJEU3VEk46bm
6Dw7KH6yPfhAB83rblkPgoG/SBCIO+EhBXv60QwXMFGPJdi70GC7CNXfhQ+7bnhy1SpiZwBJGPsr
t1ozFq5Lq4q3EV4LuL7PfuvdZEX9umJ1Az1EQvq7G/l9N58+R7vjkwuafRs9k6wlARjvP55qKVvc
yCwbpAhfwR7beIK2etyoCwYceVvKE5L99IkFwoN9ZUiJKJ2QhmmxdzQS3wRcyeWkRHz0uSG9e19E
BPeKYmilotpSaoWEAmN1qsB0wqPw3iyxpioWzBG5V+oreoyXPgMY4/TvyP8ieKZSMP+wxw1GUuyx
YzCKcMQdStpSE47l4X5cwIFSx8vVcnp32fgWMU5Ny65X+drsUHymZ8U7PDV+cpohnrCh8FIsqaV7
vBqWVoZCQov20vVlMqh+vKDrYUnzTdjhJdL5ZNOOmsV4oG7lJWXjCN/hnJKmFeTuwIeDo8qLJMUw
Vt3wS3IGsMLauEEdzSY9yYmx6SGG7n++PepQIysHPdHFfX40Ucd3ryiNKzYZ0STavdkhYbklmTzJ
saPEsa1oG7JscPXgXAsyHCQNNawMdlBtadHWbhp+WMBWBxulFtCdfW9rbSuCzjf/1sPWZ2mFwk9H
Bdr9BvrZa3T1a5QwgIz2yxgWHdGbQ2GlIWGaV0hmJjynXwIEBdWktaAyYP8lcfnyAlBamhy5OxnN
Z688tfmkK3sludO74/H+tlejNBkgJi4zx4l/VDDVGaQYWe92mhinX8i/4QBnD0YwKPZw9iYP5+0f
GAuYWNolh6bJLKi8m8WCAuxl82Q1L67iYQlIprRnb+RLMBGRGI5FNneHt6s6PI/MSc5jFCwdb2GE
SorcDVCnR1GFcESonv8X34yigXLyFJNWsiqhe/ESAV5/t87fqJtCbz/FrpThMuokU3VG1yMEWKFD
vYnCXKe/p26j1NtIxe34IkEkZEHKksrHPJacpbA8d9g6O2QnxQLhG2GSY1eTAGWPL6ApICChiAcF
8zqXthPxRVqUnzN0PawiEniWygGSo1eKa8zwsgMqH5etRv5N+CGpDxJOZR6VBiigxNCvCLE+YUX+
soVBlhWqJRLt74NnLaHNspY9atdv+yACW4ndrM9Mn+CW1yajoUc8MapV1kmaeVhV2zQ8oVR1auzy
v+PHqWSuz+UZTamyf4mWYxH8mn6gqEg/TjfwxyX5GRFbFakxxdSy2M707S2gBVgBRxH/yghte0PM
LUWL/zUhUMu3HlzjcSHkErvHzcig/uIoe0Nvh/Ixaq2apORrnxQS/OlQHMVKtIZ6HVx+zwjjKX8e
vcSwjEnq24NEsvhsom7HD2iKdRg5lv0fgQDkDv+I7Pz0MxsZcsZv9DfcFujJZo9qEIYbqXdpZVK3
VsHQuZr/uMqKE0jBHUCqBZy4sf9GSlamN1dfhT7fITvxUOLR49ro21hCGGHgm24H6VxS1Nu0dwRP
kZhOEJSmBFEUdfq9iTGtFWuo6BEAxZgikN81jFR+h9gP+MY+zgl+elhPmeg4XfhKguN11fpaHl6A
i2c2IuHWGfhtcNz6S7dtu/zq69kdvx7BMRCiWhG6GPGFUKblhXNEP/jd9Pb4jrAfqOZYL/X3pCgh
hUa6S5rdzCpcD1j6Ovnixgx7GRYYTWD4lQUwPfbHYj97fcEUyhmSh1LxxIJdu9Tj2DIjKDl70Lhm
kXpf0aCTQSMGjuw0t7kAomY7OiPZXdLsW62oh1MG3kx06K+Xrcuijf31Uafwd004w7UwTFFMTAaU
szkQ3ZE8vSCJzOhDS+WhYYPkYh84RafdkA39UXZfDkmTZlLCgKsuyvyj2ZCefOevjaZcAchswQ1M
byhxX9M4NhklNTInh4BNf/4dpukrxAVe2lc6lSCg8CxRbOJBrgRYxRe2kq5XkJ1FGyIRyWKArlx0
er3E4ZTXvT5rWHEl0yomBQ+yyIL7qI5S6H9osioIfES2XccB1fcC6HEGtq4FXhPwLu+7r/LPeQ5a
fy1Q43XkcogIUdNefRiDm8oKMLES/6nSNwFPtQD3k7vO/cRU2JHfHWfvSe7emBVOTCRtdeDgvEKh
+K45X3jHasd2V7V0nmxcKBER4A/m6HRDROPtQPRF6iKZMGypL01cvwyhiKNxg2UnfuG9VUDRvah2
UuGhuH5ckIw2g05EPmx8yJQZ+x80jlibGOmBi3IINx41sEVehm1zhtsRHSgLAsZ2mgusrXKsWS4g
eZ73aXgUi7o2TBW6q2i9AamW2hEbAeJY3ax3Z6RXLyburluIv8isGhnOXQCqE6xDjVjSLx9VjKjC
dBTcDZag+CwYu5pYjmw4A1wZcKZxSuD0a9xEBgO+4wsRzrp12teWLYrByosoS8mY1uOEG891NF8j
9lJmrBx+u6oJkwOFH2/4ZuVFgWZ4nrRBDUOtoDjTmMEyAUoCrJFlMLvywK7utb9tmPGi7KevRZzP
/yKKTy8EN/uDz+FusZ+1czt8sQ0OGMUQk6Jpbq5G/+qlgqAYJIVdSG1ZyPst19jQWEoJVqOaGijv
WXlmUYzm0v3ticFOl7yI2vNXhYP7CKNCuWo69smbD6jNTJXXYlWxljKwZifvKuMj0X5znyAv52NA
+Kf64LgyaeUvJ+IbV8H4Oy43Y7/MtLtL3nZuZ/B07LWpK4C/jpqYYIN1rTaQ0dXttr5m3uFI4jX9
OD6vu6nV5paf4jRiairGevlynMdkxtmV5LcGweiwNKBLw/bn49AEqvUQhZIOjm1Dh7zPM3YbzPm5
HVR+b8FyEkZOIgQFEuOpfT9c3CGmsvz35nFtZazTYFzrru0HLlPE0wyhiZFMacaMYxAzem3mcXM1
PQihJEh+qWxOZD1gzT5cBdxSOn/mryuvr7Y9z7bcVhKoxKWWW3D3N2NYh60+8B4VlJ3YGvBtsf7O
ZkWW/j8TPsoZE3s9+RSDuFCern/5TWJMnOyJSvhnM1vfeoJFPQrl3mfMjrOC/SnvF18WVp1X6/fS
sSdtjF6eXLDVWbQ/VqUz+vQXD3Da1EPO1qqSUDsbfFbGdI5pvk0Q98+H+sRmlXUbDzrZ95j70tfK
L/Zx7j2ShMvDM5c4OSbJwiMkga3SjVxfHr2p4wh7i6qfPWfNHNhqFg/e+gJLFykZH/s5B1jjn8gj
KN4gvq0mO4aFZlnW+U34NUIGI5ArtryQaCtxYItmEU+SfqrEqYX/hWPcd2dc2wbjPK830exqATDa
k4TbenZYPkqbyLujs9JxsP50Gkxyjoj35PQEM8kN5IAoK9dYrlWbD1m60Bpx95DN2/ZTNFBeIgoL
QZ9m+bsDFUBvTYt1SmtpnY4QDxj7OqCy63LEhdMFs+RvuRkHSEz1hoo7WCWcgBEuVTcFkduY0/Ei
UY0WUAWMjO6+DppLpTl+wGOQT2ufl3EAuxQHpP7ZSeFEyPpP1/5g4Ye+6vNi/hlOW9MNj25orF0Q
l/OHgxMgNsBtWuYWoFXQjd+sR4rzkGqNcg1J34ACeywLyE2YLECFYiBtef4Xf4xLX5mWJ1QFz1qi
M3ATyoOGycM7jPzeCw6cjf1WutUgwQrhRc4+NVGKp5SE9GnIUpqqXxSoWmQs84tQMT94fTXJyYD9
Y1HyvRrnfB95IpC0g2ewSjYEcRNMiWDU9XdMPGHMe7q553QcAe3VmsCBklDI+25JkzxwutcDc1/T
3HtnDMXYjXH+NBj6FgEaDGDuaP150v8ckAnIL1EE4P0B054PajC/ZwMoIuj3J1025JmundxPtdIL
CS+i8C6dtOHV+aFiUt0cWvAIQhCZx8cRc5Tf6oH7Twcg+j6pjQlxP60ujsbP1UsCsU3Inkiuwzk9
0kuyrnViT4AfnFJIS/y7rpjQikkD/xA46kBDLmaUFKZlWjbnLSIHYo2luHBVfwdbYxB4fNcymR+f
lbQjFqvDfDrgM7N984qcQ/CRmc/scQ1N1vM39P0iGe20w+qztP5TsH0Qnsd7NcS6MrvqRQ8UV9AE
5bbTbdzzzjhVvFMCnTlTdnlXxK6x+lodMw1xb9FN2bBl/nZ6T0CqYV8wVPYAvOS1KIt7fWamzzQ+
uhBgJ/X/pcnNNf8MnSUyxTI+oLdJFhuImsYuOdyj6M1wIUKQ2A2oE1x+FShF7dIJfwOCoeu0ZgQX
vvunLn6Owx7cb3wgTvp3XelKmRbB6u7QYNi+l1efzLX42mZRz0HjMTuXYXdZ0os7PvRRhB7Dl0Wz
QUd6KUwe+qnkHbriNmm5hhA1j44ixlWabp9cF6DTJbUxyXufCYQIHLC98F8P2DhK66VDcw0f77ub
gqgr4XsRwVHsGVg9V83kdAI/lMPB6eEmJyCcwxu1eXev800ao4z46p++g3k6HTmxnzR1wvs/jLhb
tFZ6OzUXCeNinBumHcflBQjRJmWLY8n10By2dT9w5g9BZX4nGHzTkf+AoytzzH8XUQQ9yjMfJew4
yTYKpIrHLf7BfjDcKiKCRa2oZDTIifnMwyhl5IBY9HDUFnIl4Dkj9VpEIlWADHTGg94t2xHtwJS/
67qqaen9bsLOhofQxS0Lqdsp8hYTIUeFRZkA4y2ZIciHRG7vqYGx6zN3AR2dzrwAgTGDHB8SR1Eh
1eMHggKGMi4gwug2KqQyGwoUu5ZaNq65W+CZd+mgl1NEGUgxAvRRvuT1f2jCggFi3L1B81/wzkFr
6wJG3086ImVcz8+kH7i+WeqXn2galK14l3adxDLQB2OlNoToN1I4TuyK/EhbzUwmPNrA3iFFymfb
GxKVc7EPELkOPo/WZQuM+Tv0MqbbrRt9nbXVg4HIn+LV22guDHOIW4YLyjfMN9wKK4Prr6hxwysn
0dN+1zVj55xmjeV9jr6WRl65nzQNu1xiKXeMtHNJnv7fLN42mvQh1T0i+E/sBX5sFoMceMvRfyMW
+E47CdPkzr6oR+4f8v9XIxyy4PIeJVshe393VV7lTaA1a4myq3kis8oRIxrjd5hn1BHQNIKcbO1z
Cym50LE+fmLx5sIf8HKHkAog6SV6M72Hj8GhlRyT/hjCgaG7jk/EVGNqBLNzLCzgMUZu+tefH1Go
zIWwzSAMv3I6Ireol9+Ps5D1REv+5G+P1bY6BAFL0OHzHVS5mK1dntNVeePCtBJTtPwGDmxSgnKt
gV4ykCkqGXzhLqOKEhAPPUZoUTT6Vcy48ObAH0SZzqStuAQBZvsxbrzDzgnZ3lXJC7Wu5gD8wCqj
egEHaCLp6rB/jJLLlNpAzY6prPEgZEPZJU8cf2RUOJGOr4+ioeDpZs/ivF8yIO69rlJo+J+0r7qE
iHL1x7dB5TW0F7qKA6g+CGLQ04iKWQo/oKqi5fDi5JVDFGJCtNEh0QVsd8jdmCsqNi4KbAZN7P3t
ej6J0Q9UG9GFs3QzfWPbcVQur/fi3gRA934RPeClFOhP4o8s9B6Y/DR1U+ErYlFTELhWHJNktwIG
iISDNIzUQNniFX6fG2Tu8VsdGkjs4AAipTrWWyny8N96Igic+E+gE6XnWdDVyUDis6X/67oMEoHu
SsJI7hpeWm7B0rGwu0VpcFG7T+XTOGei/pcf76b6qm5x7mCPpp77kZIOlgnnx19wy7Tugb9YuwlZ
bVpDShD55UlL5d6fAZ5mddKAxvCQzGX+8k2N9UGyw/OPgU3np7QCH/gjHiFtzsrJ85KLX0h0hjgh
NfEwwQ/YRP+7PKz3628QclbcUxKQX/syUwElaitHrPu+mdPelaDB3okCzTaRJyri5//G1f0DzL6j
OYKCv/peFwUsf+P0tHrckzq5lP9thTPqJfmJSuzcGx+/gfkccmkmQMD/3BO2m49BCZhxqpv7xAZK
HsUlAYQLhWdv9wOZrUooF+nktTPNoicfMXEbpr9GYyCG4jE5++IQTk4FCTvtMxqYg1T6iwBsfuJt
QLvZXAXi85xx9qrAGxbNQISsIE0o3/JKTWb71rmubgU+nfbhDoSjD/3ZRm/eMfqxfjHgsVeq6WIH
DSu+FQhkVCBbaBM72SQRRFIhW+4q3+3tLd9iwRwnp0Puc7xYyVDDRF8r/ZeHZZIi7rmIwuV7BOZJ
y4HtgAGeWZ3a1rlxRH50OClJm+8lsMvMxZT8u0ZI3l72dLWWg9QqV22gfbJUY0l08KChqWneBGIn
40sq/4IXC0R85Lx14urqc/elkQntho3p9wmF9VBYLma4OuutZngh+O3csh2f0yDLcH3RUm0IkoDN
UYjpSWdK5/4IOdfWwtBqJWcgYkRlo1PHn1ULXSwbbfmnMz5Gu6Ulmb1XrY09EN9bhclO3fMji2fT
VNWfmGu9gKrP/xJG5BrR66lb+bhStP5Lw1SK8ZoRsl8r+N/eCphtufDPQYlIfxLUgwTbA6OnERWu
SRhciYsljDM/GnNktSN8cdTC/ro8W9Hxp6+74+iauBX4iXCV+VP/puyeNa6HL40Uyo0IcDIVIG67
QSvoM0VLCqv0qMiYMMVTFuow06tQmmySMt+JdNmGbIXOqeCSdpa7eMK5IDFf4Sgr0vXhW2aYbqOo
LeZAaEO7CdXI8jvQyM2YZ56WA3cKVYb5UfK3WGtobqaO55O6JPVCn4YfmTfMP2PMuDaUWRPJ5EfN
OjK8XfvhNh6C8JTWOuy7hcJ9aofYB8Mk2KpGkDlWKvPRSpG0xEwSm6SivGZQiVcDaxCFBTHOwInM
1D0AAOxRLyrLYcqMK/bjLsNh/awFlnDNdxeetX3YabDoGyUkFG/f2K5khtN/AV71AJWV39SXmcd6
OH9KytK3IAwp+XeOR1tUppCeYTi+oHKcLil25f+TC//c2gauHkobd/6y8FzvNt8v3iP+uqtWNWQ6
bG2fLYF4XpGIXuvI9542q1nQxDuezrxMb3ikDxrh+HPc6DgcNAGauhnu8xv04WbyHZAVxUT+EoD6
lClVkkPeguvQbl16bWSgQ6U+RN/UjexnGafw3YZOjKPWiBr+LifvkPrIafG9pVl55QZHs9ihbzdK
poAIDdXiuga7iyS4/BBJ8z8ttmm4x82I/hMCSio42BEW3WbaN/K9RCkN5ht3mSlKCoMmBEEd9Hck
nUZ+i4mLLZkgbhBugvfxzck778+YHq0MIrVFk/17tIwWkFyothBa3y0K38VvLHnYfbV5+3kHMisI
TB6N7GcDCr0PARyWEbIZgTPLSCFrGL/EzoCZ/Em/wdliX52U/QugsGLq1yejQKP1DUcvfD1fC6jT
hR0xruc4uo6f65NMgyZQZX9HpVRoqIJ7drjx22TCqagdSBcEMPpyMNRwl6xePIbDczlI/F3AQEgs
Xgwi+8B2LEqIIW4e3buil8RLNxyAWVclIwWl1RIGeNmi9drHDzRjAVXohPfgY5D32tY8lMkbD2Uu
dTYObYT0AJ9drjkselbdNjn0W1hY3CpucdLPBor2DNNKP9LfvWK8Am+MFZD9ObQ9p2K7y7fU7T12
j/yCQzITSdRjxl60Q/hrQ6GIsAWKxuyCurwNiL1qOIbzjwnC+lAMmCYN4p8QaVz6uhIVSRKRa30W
v+LRbpgN4yJqnXYvwdHIaC7SA3cl+rM5oGMbGhJ0x+dBXuCpmvQFf5VEMpJX9iLJIuES31JG+LCP
+EJShRj0Q/3FKd/KPvMa+oVnyER+6XjrkSVxpdR+hZr52ke23CxwKHCjmV+BApTzjKgH9FVSgrp3
jcMpq9pp4EQW+AWTUw6G63EMJFT+nuIVGKJT+Ud+tCtEpkpZGU27YCuMDMDnmH0ZfmlSgFwFB0AQ
ZoWoce+WyKMAfhSPKkN5684q7JlOGskjPuXCU0BV/a2DOyYZkQ1hO/92vjYrtVsTuzLB5lOIjyw5
cdxLxdMcN3t7jQKbOzblQskCqg3aWyiliA1Pp3D+wP6t5iuoU/YX35B1Imhu42PZhKnrjN66ouhl
SeQiBvhMt5859kSVPkMgjGKKe1yEQpOgZIQmTZrZHnEc+JrUkVV+ERCHLG3W0PaPPldMEU/z8/ZA
3/lNp9vw91crmJweUY7kcob6Zge3qCaiMHHeOI9HzZYi5E5odD4404aSuTesHPSxLcgYq0UggDV6
eSPcVCLFefQWDDkIsttq7GYK8hq3K0G0IOiRkca5hpuixdd5L89ngvyNGQ96JTMmsDV5SX/OULup
a5seZpEpZqaQdXSo1YrwMelN9tZi9tNyHRN3/morPCO3YfFR+3OvTb/EjlBbcy+PNFqOU4jv6r8N
RjNyyNrJwInr+q2EfkyTnTZ+oTlmCD/Mwmx6DNGC/OrJXg1eeyqEwxDbnwEWw6dW5q4W/9TBXkN/
/fdCP2YhpoGl8pH/UYfDfwb65iUAUxc5Xv980MeIySzKVEeeTgTVEAF3nHbDMJtYuHdLtZU4QF1/
l+yERziil/gU9XEUgxtcB1utjiHErj/lwFP27bXGWwp6A5PaRnYn+eyh0KwvGZOyMmbpXHDs7CcS
A5C0+tC4myZoZkq8oQYUKZ1pyBvYcqmwCSlkk0Y3Lpy7INE6gIoXokQWE5S75r/zbFs9IFB66Qne
P1UrKkmYIirMBN7lcoAotpep0RAo1qV8ohaMHec0R/US2tSJKAQ+9bM8dNAVhE2KjKbQeKc/IR0I
WxZkhZCwu91M8g3aIBtbSnsPKiQgqDL1GaYqYHiVivHOh7SkFsTqwyMGowqWsoMNthH/pd5qI/Lj
rkH9d5kYiWovx5uvKWdwC3WyEytFCP7OqkW1vMiAdVXq6hvarjW7dUbTZS3se3GAgwYV4297TolD
PeLXrZLUQhJCmBHoys53GRme4uQjlJ3qiqCYA7fXtEB+7aVexZTDQfUNe9HG9m/cH9bt789Y/dPp
LxxMW2mT9jsVeM7H3w6t1oeeOd8LlaCDOa91CE22jr4t+tghm/FAJdNrJmiXE7hllzSf5uETn3EW
uZYcQFbVQFUDIgrGQMACl+fuaU1cL8BBnnH8c09EUTnMa1nio37w+AWO6y066JhxpGBDdC67MTQy
LJuLr+vqzGy9Jh2dzPadYHytur9q3bF0faqZEg5INl08tiMEuhegltdH5MKqMfp65LIFo0q1WAYC
/s/HBGDnAeSF+MmdL6gVdEx5PeYQgkBLCWEK6BhltkSMcTZZ5nwxecqC7DXcawqm4G1PmXbp6C6j
kKvwqh+wRmMdf81qy9aHooGnC6N/pb2Nfczg5ANzdJVxUPiD8r69chgntNgjAl5IQRna5Cmc1Fee
4C5PszELde4gbUS616PaxTveApzscr1QN74yDtofKKBmL4fmontyv/EYFj4eJKFAAsNh7RhSRjEm
4dD7TmxE2inXV4YXBPlsnDx4OpwQyeLbQHum97q5rFjPZVHXGXxTNF2M/4zORp9PdLGeEDDs0NRH
x+aHN6dJGkm7Xg1SlsNiAuT6f1Kz641sR5n6N4+1EreaqGlOFUUiszQAP51lMCSmfD/OghZneZ7V
JcaVXEZ7R2tz152nnFphbIgMNcICS0OKFtxjPzrzX969Vq9LALGLJWDmGp0yHUlL9+Sw9ArplmNn
zKBjD0IK/6d3UniJwe0G5DeODpCKIxP30+fdrPBkOherX442gXxL+T91DX10IxEx7I/ZiwYGdhln
IHF0g15XTodSJU7hLZ5hBPo88Y5O3L0HMmADiIJOEgxGbJXECTk10i4xvCOvtgaqOr3nvccLa/jm
0QuWmNoyKelwlwpRFLL5k2VLnr8VAeNsbNd3jUXYg6ktyhIUXdqvJj8j5bhmosG433xY2a8vzzcs
IU/GsD1ZHmRwMvSBhYOyOJW8Zxd3GxwQsHETvk8zPOiXdnOAnMEiNooz+XNtbIJuyaCmPvi46MPq
4CzfqP3YQzQ70JmPSRm86oXeYao9rofYqRaidqfkCTHKsEldWbZuE4fxcwCQe+YKBOLKKzZmqm4z
Y/Ow9pC8mjNxBS7Hoa+QCZJLiW8PmE7HaC8cwSsQK4ugwXFOmV5Fj/O3r0xsrK/yRPjCUv00aHMO
XFtMjN+jmMh6P+pi+BV+i6CQIYAYyP226Q/9WipV140Wj/wrIbA84Sz4YMTtkNwiVQabHtb2gl3u
Guz81BvXdcAYprisgShIj4pZEFfXtlFbGkYlfTytkYfxyOwANbnCiaBphZ8N4aBCfBPBFVjsuFqX
MfyGEyle52xLYwJYaizkzJZFb5GgOBLR102SctqquZuBTgbVVWsaPZR611LvE47sCocNAFqHdTJP
sh3FSNEJ5QxATEZHrTY4LjhjVHP1t/v+PguhquLFgeHO9RH8dM32Egc2XZhbZyP8YOvgvjB0KP24
EHpGx+zSnb427dgFYguwV/mtpIb2zELD8hiINC3+J96bamb3u0e7YC1FjmfXrHCxdDU6NSIAM9UL
GUSrHZ/FtXhqjsxfV2+OSxCuq6rR2Wa3rFLD1ITokSjk4cZTzgvTQxJMTUJrBVz2bkiFGmjt7BT3
PjibwPJi5K7jv/Lx4ctiyOGwYrYqHTqnEYINoXjZEi9FnU16BQp/h1pATEwxzfyk8BI2bbv6Tx9h
fSrumweU4EbJPkX6CtXWgMmfjyz6O5+PIkCK4cbSWB/a2HLAVsxWY348+vQOBduuOTvG5ppNuNh9
pRBae/Ku61+1+BxTtrYV/ZIyqOayPT2lPhLymnKCtCRg4TJ9x4P8A7ACtGFEqPMXiwNulvBIolsz
5F1shmsJsT0sht+XtYHgBbrsHpz4Njv7C/tiIToeRxINyowuX+ZCOV+Qs+mvkQRwFhFRwKlbt/zP
0UeavDIYzRMQu3I46cK1wi1i1GhSTjiZEi78NQh37kuqYNwke1NbI4QqHqvQT2FL3zATLNleKEnR
s1HqWy14qc+D0kMidxnw5htA0IrNLVwzHQQ4L8hLpd/lZT5jMGLNBbVUc5fWteeMnN7vFotF9/I8
GY0b3+wYxAhzx5P15QbOPZvUU9IamBc1R0pFC/r7WzqzJbEmMjJpiWH+xmzp0a6D5zjBGbUtcOZB
Vrd58Ssd4qW/EzaJJOrSUxu6JW33Ls/AiXbpeDlLZ+uNPpgzwEPEB3xLzyEqm2c4VjeMrFi7jJdy
9+4Fpu0LIkfyoii42tdbSZqzTR+06t1Pv9oh2J1ktf9CbPlHBYKuv/6hgN+TLdmmSXNFm6RGQfhz
izNwhLd2L833ocEjvvFx9t1u4zXVK1EHrJ7AvtikLN8Zb1WmfP3Z/PAu9AhRyprT3Gf1JWIG/SMp
sZqZc9yZF6VzV+xtSNkKFR/yebnOSyESS8pFhK8sh0xv43ZqAOyX+WVEonB/cMxa4MFZcGACg5Yp
frhbORaER7td5kWwIoT7e0mFLfcoAPSYB25AcPqHY03FR834UrTHQ6vAurg8Gx1ToLwNnTLV5AeR
0nzBSNkVQxh5HzzVaLfRoLi4BZ5wa/+qh4ocxW8WztX98OKKa94SZCagOCRgQOm3TlDtV83Z799K
GMVEsAbf41KzbaXCavIqF7Nfbs8FLJo3VZJbdWPUkeH88Oy/QN1qvPJXzLUo8IAyK7jEJeLuA7mz
9TLpyywMilSDFG6o0XRR0nhyAAd0YLxHtzZYz7aI4uZMg7WistLUHgcrTgVul5iS+NSsBQxLDX2h
wU4/HnykqWVa2YLeFd3Ssf3EgK79mxqNeW90G0w+slAMqcQXne4TbAT6x5ZDOrEJQ9t6hYV2fX2n
8Rk+jOePsJHHWwCyfPDkYXrXnQ9z48B6neiDiQhzNRHn8pnCnacyDBkhdiZ/d0zDCyGSkXOgf+G9
Ik5Y9fC3veNB7tH1O5HlnnpWokhE4v1Dt9rSnC7Kk+YGQ9Hev7BZXlFDSoG3ru+/yxadkfSdVggO
S5I/IfQpawOob3QlkPjrJZNoryx48K+k2y2TvDgKxMqwUd0kHQnO0CSK1NAGBs/KnAtdOA98OqUZ
fZj4VVfNU/m3uQ4x0O3sYuPxhEg9olCRh4fwYCiggkXMRkZFghr34I3QG1eR33jOA8wSi5yJY7P5
LDepsj7RObiTQ/uFTjm5YL4P12WDXjeu4Rh8/oNAUUEh6WrFar588UA5+YVfsFhqe1nngd1BIc4R
KwCfzqIsoIZfIx7R6qAZWOgrwMQb5TGbHpZCBYWtmppOnDxhi5hG/2awQeiCcuoWNymEb2Czon8p
cxX6RCwwtfUYWNCFAAerKmjMmAazTF1NBllGHdPLjH2uTGUszq8jPL/Oeex1r8OzUFXnOZREjDin
MDQ2N3u9DL4PrBbsmb8opDmzXsvmsyjKfuHce0jtFfaAdGwieI1AI04rHao7LUY9N4StHjcrxSjz
ZdHiEyXZYszVjVVwyWe4bWE2c5NJg3ErwLzTAjCH1n+wVNNBMBfaDbS1NOPBSOdy9zjwOYwSh2Uq
RloG2Pkn3OqA3stE8YMDGBS4F3tnAuPGzOTV+ku1XaUUqQuoZ8s6KzaQl2hxcJhmEP6kiE2BJLcD
KIvRrN0hptGZlQ9t92wW+iDT5vJmIqHmTBjhtHPkEUrjv+dy3idZCk6EzoeQ1mXa7gVqiCfnltWY
HuwlcPT5q/WGfY8jeVokaHuq9qQtjPny0P/f41RAwQ8WoxWtVfL4Xhjoy48YBIQAGsPvGjs/hG0j
vIz65ca7kC1KqQA3PnNM5g3ONVyCsiMb/daxuKTkRSgqmDM4pSAJV2cux8PmXYw3UAar2ecHRQs4
eqzMzmeUY3+0eIJwEX9xQjjrT9N4dmYYEjLVJXJpOWgObnsQKj3sWLZ98l/0E1X/A0P8wK/5KdUZ
MlasdcREuRkTiLrgqzvDIVh7kWJtD6FDzM7sAU/J7EZB2Q+/1Mb8kB2g35ZAsLRZzebEC0i4obiK
CGb0eBovh6CzTZNwlHUaqSuCvoz2aqic5/Qk7g857AXKzGxoy8iBn40CaAf/odAH5Uv541slt/Pe
mFpuruLsDKlYqCne57XfYcba+AmMC7SYnBkxlLscDUdkMc/nmEyvCOVVPzisboH8GkJzFgLtYh3n
be9ldvpDs+sNJlCdlmQi5hw7muY2uOzjvwJkaNY9t1xgX+/u0iWrlyCNNQGzZi/489bc4wF2mDNm
Hc6oGZSeG6BwlzrLpD9R3Y1U50dTKljXPX7zHqHuIOgGng18x1lUgGOnKS7HHtax91DS6CYz1oez
tOgbDFU5Hm2Goyg3TOyVEO6ZH+V2D4ty6QEmkxU00v7YGkymzE0CVFdLzNmidwgyY1m6UruAp7WM
TzBvnWMOCgCOfCj1XovfwLE1MFjXcE8vRGbgVOc82rzbhvF+M+wGGb/GxOs3mRvrWh2FR1NavxoV
HRc3MKJhvdnTnLN76zcs769tkr2Od4e6bs42/OLX/pc+PE8F2ZdnjFWhV4tdTARsALi/V1isAI8L
3eUkX+MKYt29Hrq6PnSPsnSV47xNzOFS9te4pFT2MFixu1uoLhrNs63wHpmJNnI6kssgdTwjir8R
0/LjkEqEkTyBmJnGe1Mg3LaBcgsQHJioK5JOtWUEMYO70Kphai4P+sTIdFRJGHuW9TACztCtUw7m
FGQx2XYyesBrMkKMYqVgsZBpbBk/mjgyOPZAAQgahlu2W0lKm5sNCL5BCQ5IC5SesDbGNxIg04ex
s6RYk4aH0Il2hYb8fmFzJTnvGERiRll7NioBfasYFqqS0q7yEe9bSW67caHn4cWXjcZEA3bOXB4w
ydMWxQ4mPRHC8xn2f6GwZ/Kx2hIHkFEpRLA2UIZ3iDTbBlbFjBai+2rxB+ZWnSydgMWP3GLLFdlB
ae75KAFOFAuqEZrmvam5P2zZQWefaeu0tfxWJezycXY2H+wqve21YiqRHM+xERNBB3M0tUa+jcwC
egRxhY0cRrBZwQ7+1b9qOdIt5nU1YAqi0f0up2Ftm0ffJAd9egwI2RGf1wmR4ED4GX2oXNYMemGh
gcL0by466RYFaSQ9h4sksrr1lcje6W0i+R7Lipjy4usackABKq7H5ZqN6NDsgB/Ot0UuohDnqCEI
eO7FTwZc9Ez+i8/lUwBg1MP43ABrY2lqANAQE6M1E04tSqEEiGkbAwWtOvbtTJsg65yNfeVhcwPI
cuiLneOqsf49VUMTa0ioKvof9pD6/0XOCqrLRNmRI/OZZ3/rrYM5FNjxXZVP2tBTWUiVunB/jhx4
ceugZHjTEzTr9G+OYOz1uwGlPebJRsTkSibbO1BXunkKdBurMXtsg8frNZUjEvc209dKnPhhzmSp
b0a0d5Fr2eLVbP79oAPtiCVwx6bj/7L3CcgauDdrT89+UbPDsdA3kwiFvcrzBICC4yPOggQTzj6r
Dpqf1FvxyIcA6hoPaERJ1W8xxiPPIDWfBZid6minhGoTU2pyvhR4hTHFrOEOD79oIThP5vD8AfdA
qPLt+vbx5NSHaGx0BDU74CL7OB2HVjOCaMTRNuPTnqTeUKHbs3506+l1FNSjCTHdh4SBRSslmMnV
8j8KQFSGxkq4WhWjzLcI0+LXpiQ59SJy+Eem7lq+tkGrwSV9Mikrr1mEpSVZoGQ0UFyZXz0FdKJf
nQ10vfc6za93EDYaUg0pixeQi9tS1gOTMqUMaaTt2dhu0i3gyjhhRBLTa+WINR7dljG6AlKwozao
vyaOLHZR770to18ieKzTKXm8KuP0Y4GOMMLXDsBoYokO7Z9W+HHuVq8+v8u/vv+v7AWa3z0J3pvv
ojTBGF/nQHioA/0icHRQL01gk3YPycvByVtQO7N67H3t3NCg3J4Z+YBjODOh8X3qFD4hf6Am5AM2
fosCnRcWQpiL3qzy89XmSwQWbO6yGqdoRcUQVP/d7FNYhDRTFSj4WWc2X5pFlqfrMFSDdjOIsQ2D
JNEZofUZOWh99X3GT9L0yx7g6SAx36VMUw+bwgHarleuCHLxGtmuNKQeOq4xsEIOxPIQ0CV0t+xF
lPCDhNOAAbY51ltHFddIuBR35K9A0SrO4dSk8vRshvzyJNk2LWWHLLUt64BnCR5vw02eEbWbeylx
wk0Rar+3bqK8G0yGvHOxDJ5jnTWHrLM0sYno/eQAqPoutdjORs9PlY/2TkSR79r8NCApXbn5w/Gl
Nj8Y6YUGYxP4RsB9rv9k9MaoUOQaMPfqVrcWKgRp1ILzY02W9VlvSPCBGfEtBxxUzwwxS+e5FCZ5
l4njszGWc4nQqlWdyhbcAOmaItd65e/8x11+g7uydm33/Lg0CB3/s9Eazu33C+qCcS1owB5WT4Cp
zp8Cg/omuS0iatY8RFfEyHMzKuvi56mC8WFQnCK6saBAwZARQSbkYZpHwP6ZK6D5pVcWp3jMa5TW
UtHa/6285obLN/2QL76aN8bTJBcR9LJ0avLjUhu7dd3DWsbhwvi5xmG4s+ANICygfVnK8gEz8ubg
TY7ihMC5XHsSFlObL6yoofevhFrtKbS+Dt3kUYF/T3X3pX1Y+gheXWVmDplI+MuAmdfyAIyrIKhb
M+aDp9ECp+FUoNWIrcYYwDd20hssu6ZwpxR9kDi7hkyMEYuoIN1Juqap/OF9YLUiOD4ZYBtBFV6G
0h4VtzvlD5hLcnnG0LmJyLB8gHM44aegXm6kO+0TwawnwptDWgaslU++wOm7+ClH2I6yQfiD1RfB
RgCGnSFgJ7Pe7KtD1CAGiHfYC6Jo7EgQ6YLGAuH9vOfOH3s5QMct6SGRXLX1Dv2NylTOiYK8aRyB
zLMlXooN1qcj6nmBvQurvTZYrkD0MmD9c5ZtxyFVxO+WkQUi9BlmF7a44kih3ui12DFXDlwIEtyS
1EmF1UNgMy3NdAQ0FXWbC64SKtv906aTJGc4qz4jRSmX5rZfs3YtCxX8lsWTyINOMAkB6rg4tTad
9/Zz+C9iYxCdNyGoL/D9XQcXBDqKTPLVDikPhpR4V29yD0BE/tOFnH0fXdoOmNN5tGFlqsRd84+3
Qnpax5PN516tcNaZrAwxpu95Oj2mKVomkNNW204ekrNEgpehanrbh4VX895C6Myh4kfEr3eu50ES
wYlv5OA68yp4mZ5hMGoEIaoTr9JJGbJMQ8KCmYg2q7Era/uP2JaV6mw4UwL+b3eX3SMX9E9U0mFp
tm/7cpF3LENB9gMeyD7KDgLUIcWPo0pBE2FZ8PqXIonvUqBlDSYN8mo3VBFV/zmCe4Tkjth/y2o2
NWzFX/8GgbduwVHhv4FUf/Xnv+3x06v4bA/j5Fme+PxQGFqgKpBn7R/ECYRulDvEpN8rBI7D5u93
bbyDMocXZIj30osxCqKrIKAmcWwKnhunrbcD7Nq0bTM/MRIvNkOHpGSgDszsZ0wSvoQhTGve+QU+
zfSxqtsiBidaIe/A17whNbONWPg0Q9HCKmtuKCO7HeFcaHwkfIL08GsBUm0gRaAqBObTP5FvJjP7
NvT1IBKGFTY82enSU/OUfBJPtrlqXzwb89rqml6kIxws58jw8F5g201qmfVmDXnbC9tP0hIneFuY
ulXZVTZqlkOTrbCRow+MzxMVXMv/8UDHcS31pK1cgaBaN8SbQqrpPVSTpzcdmRza+eFi+o/+OSBl
7jSq8c+7byyKnmcBAj9fpK6TInusyyD0PvIVp7KLvXME8hAKD0tvx7KzCZkqRHVaeKBTgTgGTNuh
7iudCkoVMrvo6okAwuUSQV8KK8XB+oLVOK4iwGmHl6NShmg/1IAApqgdS3EZ2v6VcwzxuFwYaRKY
KfinU9UePZzckaqhZVoYH336Zvjd+1aFqRXlu3AaMoiOsboSDrNDEXWOHEldzeJpTl/j855zqfDj
gyRITIWMHkguCvTMjhUHxT3mu+nCIbijeNB/w9SGs9+z6Si++/BF5aKCpKNKrZv53nD2Osm0wVnB
zkwMnM3U9YFQJtv1pjkXlGBkqjPxJQelcmMzScgOGrapnprEyrzBL41XCpV9kzIVVTC8xurHhcLK
w4wgcU8e/kBv1bZyU8T4hK14C+E7Qv1vHM4T6Qh94q/VQKSylefZEw9dIgVYFfac+hdXxxv1RMHj
fVtvR0GR6CkEeCbQ83v5vY6nNMzbNdOVJxVJrPmZNYFSEbXSvIvkwb0ZqCkjnMISXqkINurWokX9
gd5f627nLxd3GgrPWm27PLp8clE+NX7HGJYxomeJQLuHL44y0LBBSAAfBbgrpKq9syqgSKJ8l5JA
U62XvXfkCT4WMX50CXGntvS1u1Q0Ekgy9QbBqjj41EMw4KOamJ4UZIV8IHA2CzFN6YicmWqyJ/WF
xmUY3yYiA7+LJp5Lj8oo69ZwOjwop77Yz/p7Ob+/u/4AbzeMEhzrfRUhfYzs5Ly/UqstIMY7b4ti
NDW+9aKfU/St35NtuiPoQ3Wa1S7wzL0p1OheK84vTlFXUPxAXAakQkky2rswjPylElvN6vYONNgg
gj3J10dT6hwLimLOHNhwHmpuB8yil+X221VffU9OPx2KvS12sv82A+YhnlotZFSuLztgMyKl+sTF
QB8mBXSfmTb7Cu3l8adkBF76V/w+rTclrwF77VeJc0dzPJp3H4Bc5nDVn4GlOw5LCa8Rq2D4oEsw
qvY9ILMMSlnrUIm6vRXiv6qMFoR+zTBtB234Il4hhch0fczSpysIxef2syyQRCc9WvpV4ZUk4ZQD
4JwGUT4kknbbwB5ewGXkWnB0uJkYtdKUld6PGV2TsXsOWVdQSRJKLc3hxkRnmzAompnS6YSr7j0x
1fsz2yYJZKEJvgFiXjSkCK7qz6CNG54ZE1YFkEpsKujbxh4GKNHpYfRcaQ1pH8pQLqL52wB1otw3
c3VK56JAcjl1Fu/dtIvK2owYgu1FTEVpDOd+lfvGbxwiSl5BawM8IY1xk7YaNJBdsJCGnpHfj76g
aGZ6RxS5R+3G0xIwioo85c483v8u7zF4XbssS0T5JusDNcjmtD7c8DUtNgJ4AUeHatsDkYz2XEPQ
vm2bFTwemkqit9UsHdVijLFRVaRGzsOSeK6Kvq7SBO7vjHsV0mG/cAE+q6JIcTTih+Ye5g47UUrn
1pt0DV6a8hVODO7ycmwuSpueH58IHTDqWAeGlajWEvk3VlDFRF19h6pw0Us9xsfRgSek2TubijNM
IEB3YxbnOmXn5NWSh7lhBguka3W26+PRwoMiR3GfNJ+5+ul/yibo2GW1wbgAUdgnn05splrRH3Zb
ZB5L7FcbcvOwnzqW/jK2k2Lr0Xdey3hEmkk12foQFTdBY7i0pFVA2y5doK9uvlNtSXoabKogGcf7
9FNh0Hmftzp/WAdE//kg1IaqZt+5plLec4pf+RAXBuIDfLNdO+EFB5eDNZfc9+Lfn81XoUNMq4KQ
XMUDHlGpgoeOb1P1Nn69v7KYI23+JGzIoWnCY6kWJNvr6LVD0GXjTyFfqtM/GJQ6GJVwD5ihoJB3
BdwHNImbpnIATZLdcHxFBkGASYgC3YgRoOCMlGIc2xkI+SJcnZvbiJNye66n3QuXU6ayimcAKLOL
tKYPRg6Jpm8G1M39gITgvwYZxpybWEW6J2HtZiH8h6CIYMteYdOcSwXh7/5549+12xv1qW36ROrr
Fb27lYc9Aqun/+tCeCeU7ecsLSWe7E7Egx60UZFMQ+bkJn/c7pgCA5G6u+guX6+yFXVPZVmVIxkJ
bkiS+GI1+4Y0pCG1TjQwhGlhDobacisdc+lyARKEtKAc5izSVdtwsFW3XaIq5PE45wki9DRnEsuM
W1x+pQpp+G9J4SDQaARnlTLBFwXa3vCdlSlZo367o9tCBIS9hwwgysBMkV9xMe2cRVgG4L1JoPz0
Scz5h8ecUJ5gKyybEkN+qnMqDYfm7UPUFWHhrFyHx6Vxc3AD/DU0fZLi+xERMKcHagQXOcmV/bc6
q36cJsKjK7DamfLTQ2a1DlnA9BBjtC+VDnD00/gM/u/8BGeCRVlZ3K/t/C5UiuVyA98NKMPlt/FT
BItO9Co+DAfET5RyCm1te6t0MjvGzHYTfNRDsNfBXrYk5NPv1mgGgdSUjp/7VAKvXejYJqgRX7+5
NIx+dM5Fc2Ifg96vR3uiRgYaDc1iY1Ur0HrAPDiMngWB2iAuFu9WfC0VRmftWqn4o7uCKnvyKTpX
ncAGzdEq3MO+CXgGEzUvM48bpodgfGD7UlPwxgt14rllclRCz64SONIFGoNdNdwmyzGciEXSyaE6
WoK2do1oitOZT5n4JJ1dzUHVJC0x+AHwN/QMxaKc/9wtZNLSdiL5ulbH/xiVInBo10eZU2GJzmLd
IIKlxEaJBjcrID79HIJcZVeQFcLqXF6RP9swIuJZydrW0JQ3Ms6isxsfpvpV6Vsncd1mVzUx+a8Q
NtnAskEFCHz0tvA6Zj86B84VQJxkHGE0Kfb1gCTSNHuzrqUjAPXuTE2yBBUbt044wwZW6wVzdTsJ
WJzUXJZulTvVIlO3wpYS3/49hENIX/0/9uFHF26ltc/wxpLJomdS92a9gb9Vla9zJDAlXykh9fkp
nzXA0y1MKuzTnAECep91OxzfsWc29NnUssF4R2i3HbS0MEbnOVKv9c8NyyEzvhxjGRJOAwGAgtD0
mx5rEFgowXQEyd0SzaHmNKCvpfLVwn8+k87X5THMzMSFlVzw0vxI13+9/aY5kkdqgdfPB1QHg51p
JXczD1AV5OgwZThYGBg3l1cciBW9sW8DnTI3gyi5pKpF9fHcFslc9ooGQ8csmmvSlYEzFG20s0Nf
2LXPrdsyR7+8aOno9zC0XFlC5iweRlLF1PjrHwOUeLrTL3bIdKuPBpuL1muSQx4EUhzO0tRndysQ
jikQLX5TLu/EjXocPp/CsGwt1Nl+y6DlIWM+684nHokwh38wCaAvrokgTIl64nlyOaM8WUdXdKh7
qqgX1xPFHdKaFnakd9/6wOFovWDXJzRlIX7b561LYRDT4ywsbU6I6xfe3PKv9ml62NNZ6dfx2Z2S
wGW3GHJzmFl3j1Tne/eRUVRBLet7EE4OukXo/JqJQUiBneUwE4wcfHBBh0B5iZtYcX5x1r18Xc1c
TqCw7oAADW7i378jC5TftfCMxtNsXD+cZ8puZm/P+rWNt5ZArB3lEC15Yy3+EwXO7Wd/UFciS8VV
XaDsTEjrjRAacmk+NL4kBH7IJ2oP9TWulNZ1WT0oJbmM/wmcx4sVopNxes/RJHfK0Jq2BTGcRhc3
miG9540XwNcGGWGHWCClg5A+tdnh4R+kHNTgWpxReiJ+XK6HKQq0TLlTIt0eVe3WRbS59/4frL2J
b4vsDH8Rl7PeqbO47OZSwa3/8x677mnz1ejdrW7i/JEl6AV75VzPPyoo7otcVOEU8ZbczlFtCQX/
a0xC1MEFnqUdmXbBbEk+X7xlwdz+hlvzJ/vfrW83JDrud0Yd+VEQdym26tPtARExou2YNZVbF2At
YEgY7lNKoLjBFwO4DczXZUSVgnhpcHZWuPEOZCQyy/l+3q5eqBCV0nHiX3ZT7sc+hVVbyvggkLbA
Zdv1YQTmptqDxo3nYNzhqsQaedneDkzVBbIYVU8gatD/drqjebnj6aaI0myqF0f5sbd81OqOeU2e
l86Qj4HCe3d+goD7J+zs1XLlINjsDos/hn3W9pL4n92IrUGVX21yFaoXlUMFk60OTWGRXpSH45Pz
1Y8YIrhl+yCNHCS12UVMhoyIY1S+04/Zogx6Z5+wnwvpUN+mhdAHJG23wtg6GI0bpE24cE8OJZWu
a31P3PgHe467VIRWFmkDcSxnsKMVRj811Jf8Uxo9oWmhyDmZldA4hCDoA7m5Z+RUhzpILvBlacsH
P1Lj1tBV+EVz1aVXBN1lvYfTaPjKmEYViC1jee4dsjitKdiJGm5v51zSWnO097G6DcR/LdigqIsS
f8DbjKWnrK/KooRO+l5yWYmXlMPvngYEq36uOZV8g0dlTvZ1RaMSip+28xiOBRt3laYIYDDMvX8j
Y14sV7WxJG8ZZI1WR/hTXzVsxTcURFjHD7dxOZ97n/KAxZAXpV6agjPR8gAZG/yCwxiPz8OIBGya
W2axCOnPm6uqjKFYTa++qmJgcfTy1dAZHaL5n+tbpqExmKXDIlPECctDN41Mp2GbiMpjkiVCMZlN
lHeyEP6m1L2jUIxoaC8eiJ5Civ359GLO/FV2YEtIOhI81vEoRP5g0ixkY+bhFsVVcedNDuRCI112
DQU/JCJqsgLDro/RkNESvqLC44XeeMO8W4Gc1+/4RBo+ZZSqgKnKEwjwCG14qooitw8WkvNtt4vi
Fh2IUA0zscb/ueE9r+6Y3iEh4AX+fSNoZ/Q9OKCHvvuEzqmOUnOlmM/SNwoJRhXjBTeqyC00pyL6
93SkcRxgzryukN4arhDv9LdfI1JxleXb0LHXvKIlCuKZvXzS0pNR8Ci7gpqpdB0onf0eXySW0UDT
JNqqTCsPuPd2ylZOYneuUKgmFP7tBtyokTOMhB9cSiCVakKnkDbvEe0ehCOyn0nbvkEi7s88VN+b
a0ArgiDjzRu4ZmhZSxL3dfYHFqGGMCN5gT5Xe733vO7EytUNJ3203Z3xcNnfIruK+R8K4MQUYG5Q
Ws5Okwe4p0ry+hUOyYt4y6i6btaL9oYIMVW5b0XCIsMc42aDSsjuSZmw3rdZm+EcndSSRaMg0PrM
KSkDqgz15WYvjeFTSkYS1NZ4Hy2S1W0OiNt9IG1aaciliZTuxJwhu6EJ9yj3rzm5nx8kCDtVipui
5iLAxt2yd20vEUq5sMYZ8cvhgdwreS+X/QiEEmFgLRUSUq55ZHQVUbYa/9NDnmcAMuIU/1isXTJk
77UGjlM5Kstje/DdFjBO2lGtyoqTMTN55ZgSTRRahEnWsgkZFOWJsDi2DtscTuX/MDMJYhp00nga
UswBi7QulwDUPaYi0BeQC5bnXRQiwx07duLoFMMOMQAoSB2iZ9YYMaYcmjNCWt0i7T5Z+dJpUs5h
Ijx0BUf+Cua+bCk1DvpLh2QAU2LwnPsGqLz2ibujrI9efgTEC8os3fMSpzbciHUCdwNqIOFOBkw4
ouJV6hOLHrNcBIrAsGzzqhZJTGe4f4CyETOYmAyrq5p4AffV9lrWkeELiBTgb8R/EdeNIkhR5IU3
QVMHwMsM5x45GTNLOiRIy5E36nXaQM3w1zQZn61tWlQFtK9eAXRFlxNPXg1OB+EQ3p+FuxAfV21g
niadWoX5Pbec0DNYP6rdBtkvZzoGuK8z9i21vnMplWzQcEYHwzUBxvpTpd0WQrHVO+BDGnZMMqpI
eI0KSuMVBAyqAzCJScjj0Bjt80hKR9CdzYuJqZI9M/R0gStfJJp1iSvvaP+HQdFrPji72U+Ollfz
dCj/k7bDt0AqUJLq+ckeZaLDk06m6LWWR3c+8Ak/Noo6tvTl/rbFcAI0yOSep53ahXzN9KfRxst0
JGlSBswK0yczy71d4DYTrvesqbtzLDRHYvoYoKSFfJDMsI72VuVsszauyr1TskKm2JU/CBh+7tvi
w4d3rn37si07zwOuzyXbb5xloaReRl5IybdUcSnp5zmPtOqBDF6rGUxUlav3c9K+a0lXKvEeFbQq
lRvKIPa5U/T8Jmn/WZLNTBGVpkurM7GNyt+B+6zRZNWqBs4HqBQMIN+D7UVNmThbBdAJho45piUt
G5qIqhAZbbaNhbYnlj0uBomIqnoq4ai8P9QPCDZdzcUl7mio8UGom+dd1Acm5tmAvDgZKyCTxSHo
vuQLT2UVarr8TSbOmabcMTQyX7/4zz/AisWXJkTQtq+P9vDrxO9olxnBHIfhxaHAXxLhzr33kTHQ
qMva1pTulF+FXwyef8qp8YklxMXNRD0vLbulJuPsbgcM5ubKdTayBR85gyBzNFEw6UNoam9UaKA3
wTAhtqLKE7MXMpZsX9B3c5WHYiIBfIxr9yUc2FAlJuADCKqHA/t/ZOl9Ea5nCHRj8jehLH13z2xy
hGygUpmhYdiWUyn3vS1oHbPwyP9sAxi20UdIMY2O/sCFd8elxMRBrseO5rF2QCp5TxqGAWFxzchW
qHJxeuCUgeqNQjt73JrGBROf/l+wiRB8BhTkICFqg0bsLs1jrzDVMYfI9MS2MDsMsfEZWoh7bOQh
jFm5BOvHpOYb75Oms9+bTNVPgEsQw1n6lFJD2zUDyee8psBFtjaIJkB6ljcYGGj0vzXIERIlkSCZ
VGOXKiTTy6RLIZNxSdq8TnaXs/R3XjtyALUmE6wc7HU98hYd05uCLyMRPWlZwJs9CD1zEkz+TtaZ
Q25w3JuJMHcT8awzomquO/4RtOQYRf/9CRG613cV5nih3lKsnH8BKX9lbBHYRRtFUIiLnSUiZQis
rXpiR5Tu4G/7T2tOaeKyHVKmOuE78sPN7buz/xwjJDnasCqp48LjQeYy7BLX9S7mzrAaLY6zfGGC
wdbNAIPo2D/smAop5JTeWAKJb6CJLKN6JMQCXLFCMqbrnXdcj/+CsP0KJzDxPsxr+dMOez/nkIu5
yLkvy2Z8pxFeA8M9hf7DWvVMVQdmubh5I+Zkf91xuIEWw0tQmvGXHaEtBXR0FhxaUYy+X8eXcND7
KF7CUEAqn7a6ehntGhRRbNYD2rGVyxdGLRZM9KUIput8NS7UY9v6RAtQ8RzNhMdPh+DvjhBONEok
1vimw2k2ZrZAr48MhzDDijxoXIBHSftt0k8/WH220s5bUl8O8/uWX3GkklRJhOVIj1iWKxoNIKnB
HYd6Z9377a4w7Ruw113OIA9o+oeJtBJlmz3X7Y3wlKhxi/CxnjMLlbd1mZP9Hui2xMfVUOgydfmA
z+XFZuWHbuikckCRuAZLmo+PDn9qjL8xBQ+y/yOeZkwwscXZIutYisI4d1m553G7VDvaFOFlRp6S
/6EJ7Mlv8rJ2UhgdRmm/liguyXDq+7hIV5hADRjzTTzrAmMK3O7Oyd2bNUdlBpCFpCTC0Jy3h/jj
kkunGxE1qOHcChhtHc4f0+jpZTeKt4E+LLY3UoT2oJXLO0VRB9sISna5z6cvsPAunXX0D2LQKGSH
EfImOq4tVk9OIu8FteG7/MtinloRPhJ/k+x8WZt3s7QpjT4iaKOExZnNPYJl6ee73/nWLLtmNKXR
/B2w7Ca1lmFhA+3huOdIA9eoCLSWFhYC/CYXT7z0GwAhZHyhjxEuMC2UfMcG2mKQy/2DNOJcIiGj
/chsJulUrc8uyswVU5FLhzEV8NpUulXimMi933flUM+jKHfBHDz90FDmCDw5N0V6y2LF1VgVYs+W
+9J0qyj/wByhAYTsy6dQVTh1is8BlpOmwbjb5eWGXNyCAi8pmkBv7QRwjQN+hGkpurq72/bmCj8W
kkthWaCREf02d8+RWWdurMzE5lm5vw9DYZVRasEDDM1gNn8uIfoSuoTCsUu9zdh6fjr+vXeJ1xek
Z2AXpsEVttekLuysbzUvJJMg1LWRUx/hSWymAHoMlh1QJbQEpk1BtUoxGtWJ6kdAAm9XXlpK+XOJ
ScoFuFq3uuD4BzRQG014NJmr4AJKBsfQmj75dOWWx+Y1J4GmbM7mMxAhk33SMa8BhJMc/THOiwyV
8/li9tEpnb1JHAvhRKOh/ZszMe04aUflZAdM/JRGWOiCw2cw2UHUbeuVKXfAAmNSL7lr434y4HzR
j55pFUEhkegc6LI8chOgR8c3KrWwa0e2TlebmfUL6PigqyKeuXadfkEWg0wH9MVuxFQp1Cdlxrkq
Rl6brC1ArdMfN5iIGY6gX+7ttOtZ7ucknNx9kO0gIApKgxkOsg+Hlp1iWxbQE7rEqVyfQOkoDx2r
vdzULAWfb+cSIBe77l1522DHU4pUVaeTzQbmVcBS0v7VrS2Th66WTe5aE0U8HN4/K+2zEaWZGiNr
0OE8uNaoK2AnFFAlECCUzFlqfLzZxevt5rSp6KYrFMU0pdtzx4MkpuLtt/XtB1vJWfcU9I9zXMCb
sGdVj6xT/qd7HogjN34DVL/mMYzpEUeYzbK/zCfnanTn/QuW6WEVeECzxLEuzrWUAMxkN0jU2e7I
HBajnuaRocWDpwVfP3WPHz/EldD7pQ16M1BrXpOR4ChQNRtZL8SSfFn8wDURb94OP34BymYJt+mZ
GacVVAZtMm29RoMrjm7ACVzpAhE12d9BRLzsoQEEYJ2bZTEIQAQaqjBQnI58jmk6Fm7R9h5bc3qI
YMpTiLR06BTU+hPJ0FY5yg91lZ+Idl/0RD+SfuVC2OCLvG/MHE9estwe9WPc6JCSYDvGKX7bj3aZ
hHlZ/4TB3BQ+aqnaZHyD5IySzmiEFf3YN8FQVjGDiijVzYQBGJW/Gq4g/D+OqS+AFgsz84pDtB+Y
BRZTCEd2yv2z+YsN1Cr4T6ROl+IUNM50mArxDr7xoyWcSU8v75VQshFaVeQaTnqVHNLqbNXn26XH
dViAYdwMKAwOUtvIIxDzbPGlvQbiF3Lhvj/AEPX3UaztX5aTc4DLVM3nwWvptfZhwSTmc90YZDub
Qcwa7T/L3nmTX0/bINcTyagCqJFSjb5Xz4r6erLtlmnmot1AXAMhRD1RV5yFomvQOxw21RFGWRiV
EaDzw2WJQ/ymgFi3g7oh1WPaXJSEgDJgJmJIFbto8rvDz2AYVxPtGseX5gyipnkoRjU4/bNWQIn1
RbZlTGdRM9RdfYlR6/MGQGsZsCtPcGNW1n9tU3rknSw3PbiWsucX2YB5vZCHontK9EyPIFtCFYla
xgEuAU0vZEhLX4Yoid7sEtCYzKcuWp1jLAbaPpTFo/Cf6ZmKwRxxLjpfQmko2aH/jbloh4pEz27M
hrcUpiXTq472OxYmTiFy0hjP2mZaocOCC0upE/JV7bsRQodH5rOoJA7NMC70bPyAZwnQsnwQScPO
jvuP87FaBUt9ijz/EKxNQ+M6U8kF/msEcEwVG+5DNFfZF10JlnGldAmJdhj79AwghvawGUeV7BNt
Af9cUNK+jVJ9W+Dpvb8yxihCoetBUzXL+c+s2sNeQZShOnRs29sG+QVD6vPUQGaB4xKAt7iyWsKF
RQLl25kFP+nmTDZNOSFHCFUoR4wo1gv/zt8BPmA9Drq5hxAjNfdlqpjTfEeaz06jP8us9gf+uSb4
S6XtQUSoXodIxKjoY5UofZhZx3eMnQFguyE3heFHYnDdMFljiwDUOhcvkPlV9DTSvvy+jBc2HJTD
x2JRFGhh1KBar8CFFTKnFlRyxVrP9uQlvStYO5gOL06kivvxAcmDhQNMVcwzPrRjiMOFJVqtp4Id
AB7gozdnr3DrVzxlKdz+HL8csAK2MVT5Y/eksaD71ZqVAGZg6Zxl4wCkT6OAy0KWSmP4ipXpHxBW
ERLy665Jy3HYoCBgh5oF7iaeSgwND78tY3O19jRSickV3yY6VhIPokEdynzOGGxDwyh1P7u4V43C
/wWKM038PNXdKokNjqas0nkjB7/75GinrWOJx24jR9KCqkSLNE7V/Bz0Ar3Tol8pB/A4Ou5GA7x9
o9tS/dXOZI8QdpwNHewbNzRmbikbUYmAmzDtn6/duh8n2i5XTSqqU/FqL1ZUBJEAecTLNt5LymA3
muc2O1vU0xYWbGZwAnG7D2di7LhS5vYqQ54y9SdPxMSbbcLAYGkXoQiv57QISvS+8V3MgTBTwegg
k/GJN5DFial0MplQdAyV6afGxtpvBQN7z4pgUtygc10zp0LZmgcJnobjdZwtl01zmmCCDHYnC2kI
GT1nBBT/DyirJPuscPHBxUcuntNmF1XG8wNk6aU1fkEzIZ2DvunLV5TqskZT1s185QQZp+b0O63f
7AyGOEssOZ9XZHZAfysFgzTpdkaLZyKHY9nRfiLjHCUF2DmnkVoxGyvuCAvM9k4d+VBFzEj/ZV94
2rsFcRMFlh4t4bJNl9QgS/7JouYVhKGwbCSbzZP7zvHHMUwKXi87tcTSbgRZV/oFTb1fKPu7D0Ho
ic3iNPTQMH2Iwj0mBTJNXaQ/a0/wEXrd1PBT4uMEXenocOyOyze8xUk1nsDfgVCUwuHfcxHPyHMd
UWU6j6w8c6rxiiwEi4caQV0hyurV6/yP//JGS4YWFctNxhCBkC9tBRatU9hXXz6ULwioYRgKrN1D
EzQkS4FGk9XRvEw8uSraa77V50dUPbCmIUDXD46BB4VQ0bRqg0pQov/CDcLIoKq7jaU9fHZbLZZe
8WsJHk+TTDHv+qQTxUrE/tmmrQaQANdyKE9FpRSPstmRDW4PvhtObpS3JyGHiodkKkoK/cPq4Fdq
gZZThk1uXxk/cn4iGu6739UA6sFHkKq1RMNfeDI8d06CIhX0jaQUhhc2w+YPfEJUb7sU20eRPxuc
/nO1frNfRJw7gMuy5grtZ1WfVtgsQpnkspJn55XTaSs/HR5OivEbfGA1QaxbwifhEQgxySG/Am8T
SjhbJiHpQNaSsaL0Bv/MioY1DOybMaXXvBwGAve3Z7n19QdQqODpZV+DPBp4RTooqYYzkWxiv0HN
F0fVoWXdO00ZdVQJCqp5tRIFsdW2xOlZzlmIK4BQ808pabBk0cSP4mwSt095+9bPSkhkcJYdx/p+
41g4Ylb869ZKbRKWvoig7XDIMWBl0mVOeeWeQa+6m+y/4G+YYK8SAnR32C9nbZ6K0xFLCkcEwZcA
73GMxZ7fJzQ9Yrws83iRnUiTUI4pGsMseFpJGzN7Zcz+g2DsM4tbLurY72G/O9z1+nW7wpAnHLpU
OlA8NLa1FxrwSoo7hSQ1ukgFcbdClZtviKRGDdwizSNGr5jqwfw/9+LS7ldmhlNgwDZmfzZ6qjre
6SPZawp6YAq4XZilYW7fBoVdozaYG/TrTqZqDrcFn4nHlk+lZ28ut9jNuy+9XvkfLyzgv5i3Huy1
ZjkV662BTmB0tnYybaW8SYIGCG2re+FV7ePQqGquylECtaq+8s0KHGKUjEOTFwRyUMQHje7YfSy3
umdHVylcGynDevMWH5dgDZtZMyOGHTn4QR4+2MthuZQ55Klge93E1w9NcdwMGQQtPWQ+Rip0xEn1
JRzJPCLFDgJjz6gxSeIR/yyc/fktpCBfFKRM2ilmBeJQCfV6ERU+WMJsPY1JvOFDcCIOPI5IZ+uv
VSxHgJvlfk1etR30t0hOQd0h2LRFWDmIwGxnB0MmoAkcJl785imqIDUvfQi6HY+/sPC38h8Qv82N
CWXkZmHJgHKJShUtDCdgxBZEhhYfrdZQUBEC99XqCcbw6wpvvJi6nQoum+dbrtR35/EEcqWhaI4x
nnFpXm9IiXhE1bWPvddKlxFHa9a2NMnAP780TX8S2BXjr83w79QOOUCZs/XEv2qNGg9lK+9P23dF
5dsWMRS6b2bLg0W2xD/fgKjmvih7kO1cr8/FiUg1wbWi4RQSA8ofyob7TOvwYtSJvwCwtzntH2ti
owMX6ELbbg/oWSfIsK+REMN23q5LiDWH4st6dygNK41CzmcGOv08Soqd3LNOZZ8xmKIJ0QPNyhN6
bIBIWJ+3i5ViIvNPKS/0Lhk9sWIMV1o/9F8vsYT5oy8pheaDmwgfvsBtZKxvHMcWyxefAME9Hj0V
jc23FZgMo/7jYeIuAe+mKFs89DbQ943o5Zgt3neA3HeRMPgFVsX94rqjj90twoJzD7OBeVJPln4k
kkiLpx5l/Vf/iYOoZi+GvEyZ/MfSClcU+irQRQAQLs3S1pNu/dzItcOZnWcEv7SVi+7U5UnVTelF
b0nHnYSmwjIzp/1n6hjgGDrjEktQZvQJlaDgPDaDtTgjcMyfOTho0JcYPNA0mFqAwIuJ62cRgP9w
s+sr8yiVB2bHb5fpx0XjOrOqs4xPquQq65zkghlVxIZyzgWEuxKrqmGzYNMoz6xF/TOAyxUQbfAX
oy2qZcacFN5hlRSEBQ1Zk4Y6sXz5fXO4x0v30ctQea9JxrEj3gWG0MCNItfe0BJ3DuS8j+nDB1Xh
eyutoxcSDM1sdR9v3Y2DIalR6VRksJfLVOmr2D/qTkYYbZLpU6JtFvr9MVJnUBKV/UBSBFAup44t
MnNKILSadeLqZmEADqrzWREMYlVvpnNemWa1IMWC/AqN5Vvv+Mk4u8PMKKV34d7aKGtuMUf/FsNF
YxTsk5P41m2Cu5qEdPNIZZZT9pZesbAMsJGpAW87Tj3c+hMt2iKVHNoB9yysJ/MNKoJJYsn9POFv
CPK3f12vuvh57LXPI/wbjcBRiQkA23RpGtkfQRnFl89EaBOAgYU6BJGf0gQUeg8/QSNu3cJwZFP5
NHCQKkFiOUOZgFpw9iOb8FImSzMxDbRy/KoxGq5dh+bK5Xi54kHP94A8rS9t+4h5+0cvXeKPcVSC
lrJKDhjb3PVXgSSeQXlrv5nv8UMfa3nTqcK7G6S+ohgflY6UIpgAwE+rGI77tyqY9UObU2+wpr4D
lBf59sm1L2HXv0RG8IfF3RhCrtDQS4NPpOF1IA9BGU9ZUMO0aj7riAoboMlOmXBdLLshZM2dw1ZC
HnK8BBs/x/5Qk0ZvViBl97xtKhOA2xE8TNE16MdyWRt7dZL9xRoBH1NQJZekO8laYnSbO60comjc
GpONzlPFVACkCePhoSHg6GA8pPY7jag4EQhGEzWa+KNhpIH3jWhPM8OuCEei3P8f08x6pkVM8iTm
5DwEd2EWr0X65JQ4kdPEV8YVqsb9aCjFVpt9i0D5IF8kbeu25H+jPf9P6OWC68Kog3NjYJpo7MYj
78+1xxgphRYQSEcMvn7XwBU1PKMqNx4ubDwuGZEHEuXFWQzkG2+h+4wZpctBZRM0YH18Jw5jcEsV
h0boIN6IpSYSr9sAF9AWi5bjyvRvXraxc4xlk+qO3K7t+zh9NNhXKtrF4bzU6nODD8GrCNacVhfW
4RUsMmMNEQL1OtwZG4T770e+v9clok+7VTMHQ2iM87DD1lZVLXPHHZMoNokBqYdYjfAk8eSyZg3u
nMUI9gQwogmiwJd1i7MpuHTflq7GpBBHgyhy3cP+sdTp+A44Ep0sKqsOBopCnHQ79CppYfGL3d18
kqyCpK705BD4ajvXiC7/VpqUncSSLO4O5kytFgJPQj3cAJDAUpnRB2PPtLJ8pO8zaFFAjm+AKYqC
A/4KupNqUzIEOdsDsDE4NeTirhdAHyEnvKvvF9TTZwwPu9MhLNqNi8VffFsgXiNoDkrpopx/VWQF
cF0wQFQhVWTO2UeNUQgGeTqMYVyx3orUtmL4FkNdS1W4U1GfIDLQDTxo54rUpTwBL5JyYBczTC8J
z4tqNNoRh5bN/wvHLX1J/xMxtNRZEUuNR0MNTu4X4jmUDFxAwWXhl2R+z15xGg8t57G1mkCpXcjh
d0Rq6TbTYfDmfyZa4OlAg+sgLrUUNoEpEKGTwAdlLC0zq3cLjFAJOA826mEKUZ1moH6t4Hbtvvrs
6n4MAO6RO4XkZeN5wBro+3+oXatZ8OKaq+Gr0H9JknYE82ri/oLPensxZbCGrMEYzFSWgQWxLmHT
C8AvHSk0wh52LNrEdBwNCnrlsbIGjomwt0XqyhoD6FGTmo1DvsWODZKxam8Lvz38wfmpypUyNXpu
DY9qeheHVVSG0OU3XUkzc6/+7O5GtVLZuHJ93v6DIc/aSntyRmEK0r/timeD4drxzW8tmFTkyOeR
VtDGDZUjChrN1jagAUpTVK3ZuTek/Goaak2H6vuNm1WD9mRKI6dvfc9eiuEMcLt9GyWO6i+YW9Wu
6jXgRv1cLJpT3bk64Ku35tfgL3K+1+s3BhZ+6mddSkxYD4ZzmGV4GpHqoZ05IqWoISZJ2pGuIuTC
7PRIonlaWOiAwmotMMU8jP7b5Uso2KndpGM1mY7OpP1dp1QbXjHUNjAKMGImzoCrCCn8wgy3MRld
jrcXXeZSxeos7/M7MGwZKjHIWOix24Ad1ZXXC/EycdrSmxPobelQCzT8YF8UI+IZ/L9cpwKgl+fI
FxrKzm+C9VH8Jj8k5HQDTUNCu/CwTAvyg6NUNcGjCtCdhvisCDVNRsKdla8tWMml5prLO+MOdNPf
Ehz6ZKE4DthluH9os2ur7EMJrloXPesjvABb0U8IXlC/Hn7jI99sbMDcEd8fP9p3TtxBDZ5goWZo
k80YGZjgF+zpLqn4qV3KFV9ykgH5jdCNE7323TPLRl8tVsB+XVvJaen+Qmd/QYibVncDXOog58IY
4y2EZX3OKddN1o5HLOXBBOqJFJjHh6By7Zo9rbyngcixZ6rjwZtGT3cgOfV8cd1ryEDbb/wJlt61
DE02aRcCeKGkaqAZJEORvivz+YmQQTSEL1/7BnCR5phW7gs0OtlmYyllyS370TzxxdNBpNVnPyed
m1ZC6hJVCJrQctFxFNOm+1CIm4Injlr7r/ccc+gI+YLxGCQfiTdEp4H9rXd/J+MrnOFnenvyxtZA
U0dHfE9BX5EYIOhGajyb2WmcuCDooeQKC4vbR8cIV78XXgU3ZzKCRmQORBXbl8ELL8iAI2e4oSmm
3tmEsPeewjfPao3+BinJ5wVXlzW664GK8ui1x4/NARK/EupFkzDuRD7Lbt5yg+1a/45EqtpEdJjV
6UDyu+dNykfcyMs1EIjsTxOoltmin8ulEaiNWi5e/MiaNxQ1vzj9wyQo+Y6RjOmfyw2xGA1vyyOE
2e9tQ5C0uWZnhbFXcpEXDijscy/CN/dyZIR+2dfTQyiEdypZATpGxUGDVXylOK1F+YshpDrJZLIv
jYFfOBqL4ZhVaMc5qePb73PPvNUqVb/BC/iUSiGJtPEHAddZl5FpJR65FKx16l2CENMX+JFnq7yM
pYVZkF3lZkCI+yMeRR6m0mXDaiLEIEYmed2iL5IkImLFm4dqrEERU+PV6pHmN3sBWmjQvxK6ElCZ
/HkJiCnVkd2TYNQRyLZjQrZBNuwcA30OzRbVQvxiOCzdAlJUjy01xrAm9xp08XtkL3c0Ar0N6B0M
WlCEvYLVYQTM6M4UhEn4AETTKX2/SQkmUd+RfBmPB4cDoikh8cEzIQfgq8PRZR2ono6q7ho3JdwU
4hgMkKNqu8bnJV4ew9wtrZ0V6Ewuv4azZef12lb23YjtaLv+a2STmCWH6Xq8DfW24ofUbv8IqBpH
3Q9y5Gf7TAIotoOFTcfoSeQTb27jI6TwJCwxjOyUrjbmtFJdXkqGOjK24sKYU1Kg29IsaSN8mMzQ
XocdJoP9DrodcwZU23n2u6NQ674iVQ9r018Q+usvYPwZx2CKi1U1fX6KlK/jhWTXvofa/zEIkGXP
qpMgnfKuIkToIJR17BKpFC3rHQarH82cBn6I49Qw7T/Al4pPSHBcUk23kmfExypb1NDAun7C9OHG
OkLXyXxE/4awdYEHaLDpEmM4YC9br3w9Z+Y4P37poubNd93pW+IcgSspY/NXKyAzgFWYZE2LtmE3
ysaVAs01depNp2yXsL75hv1bSAt8+syrT9xEZJkhyoUPV1sn7Miq3sRzz2TjZzuR/vlRlxF/ibVP
K3ghtnOpdJJVQPOLptrNyU5AjjMvxQk6CYXXfGnF3Vuz3eVmnhP909f4xZ+UQrrBb5NL1Ub5oQqq
X3wBt+rt01FOG8vnOCnK7rIiIsmkCWXEAQTWlgdIoXuzNvVu1xj+D+zqxZyzxprBPJhtQ7f/zqll
sEbxwpO5iNZc/73X6+qhB6Q+zDLpZTJ7PagmfnRHjuzyJlr/H+RzXAgtHCUoZQtDm8APvBkfZt5C
r6RupA9gRm0UdY4y7Wz9YmjnWMhR+Jw9E+E0cCtXhO5JHsGOZlgEB/aj6ZSsMGm3KhBrpxuzw8I2
VAZCsw0Az7OEVmhveSfNOG8hplsH4Ons6oyWRfUjyte9U9rIcm/+bbUzdUnqMicS1rwbNiEA45VC
880Qc0oWlKVC/E5JsgFE6EM9maCptUwek6lvMZTGupfc5p7iNrwyPL+ffY1jfBvXo8OfN2shSbCj
h7fljilo8Msp2ffk2mSKSSZWMCip0tlhNO5h7G25MoIaDh50nV/wQ+PxN/OisewrR2wBFWrK38kg
yfU/EppHseuF6EelNNmuoUPxIkNc64RoVKGRS7n+NIlMFANzx/aiDdoCQ82tx79IyxPjML+teufP
yUUnWFNQU4mi5MLEf2wGjcrIJMhPs/KTi9yToY7r/RFt8huR0bQ8b3yBC/HtR8PjxJp1UzHjMClY
QWAA9wlT3riiFLIxiIukbcWNsXNhe2cXbiZulzYSkYuv8IoiKe2ENBdpe6A5qYxUdAh6XvXcSSui
WvyG1KLLBSQVBr720lJaA2LmQSPjaPtUaQEQICtuYvB769uZwnI+bxYLOMajwMAaeLEo5GATblED
yu66ujMi1s3Pdl+BKn2v6oxyCAxz/tgDVUlcleb0NQsYn5VGURjMFdQTilXBKlIeTqrk2ExNxuRU
TIpPcN34dk7F+YBteShOjT6vvzeqYMXbVVHu4syTpwn5RyqsaFd8tZX+3VUtHEs9TXtswUkaREaz
llNw6Jvjhre48qjuvYTt2P/nKCbjNu3Ljs687YJln/4Q7dHEsVJWNZjfVSkObJz660jvRP1jj1RR
QBSmcJxu3Eh9a7hLqK++e+CJVzi1tLMariZ6wM+ZJdFwcwIjhMHeLqcq2oOhPRQBfQyEH+L/7a1E
Jil21MX0BI3SPRbWAA+XU6FggMadepBhruqN9Ul3EGznpJDuUzgXh5C/UDTfgkKfRcVJiGKbulXx
ah7vELXBlSBza0PsUmCMFSdRFW+QkAiXPJAbUHClSFOOxPGLGHn4y7Tutu5F96xZ5zwL6I/2IrqB
NcwvS+G3no+2wgYYXEN4g4aJVTGIZ1ut9X2o6FzX4tSeIKedj1bRj1uoBD6Ap+dtiw5RNvbYOFmE
VOM9YUSQFauIeRQcLKy84V9Tq8B5Hfry4d6lW9edL62Y+d1stnC3CkGudV9PBfMObprFv7P3j7cW
3/+fwi7QgDyYrU2DOyyOJ+rLaQfx+4rAZc3G9RRqmnxdZPJhr+bipUB0MS+UvcD1yeGsZko9yQ3R
b34F8FTbbKBIUlfMXmcL2/7mjbotesySFgCDtCrBELZHihZ3pG1UMg+K6WsSQNNtVo98wlpFAlp4
J+uarh57aytBAaQjt5WQAg5Sshqv3Kyf4Z//FWepgTCTbWnUMQ2VgR0QtI/bZhbrXYQFIWJf0hIz
TK656pblb0nArC71RCLPDmcPsFLGgcRRs7PRgqqGVPfX94qDBo/1pNZzPyZSkSCUt5QW/u6O1pid
wNeuMYUpTEXN0G3R50GJn6kox4AIgM1usoURdDAfF4H9yd30AGUlm01Rtm58qlTksG0UN8t99NKy
KyCnnQE825A7+A274lRet3N+0fQlj6ZdzIBlHa0TelWBudkc9ueHvs8zGHA3HRsfUDa6LBpakfw2
PEC+7qY41ylSzorXsZNRsBixfrJ2wg7lMq4LbjxCkmyba6Ekyo/W/j2ZrFOMc9Hih1PNZGgRjrqt
HtvUQgJNkpPneG7BmeXm9UC+Vlk/HWWOQdHE4PYwQRQT90f8bXQLmnSQ7LynkbWxkRH3m4PyX74O
IO2qo+pvfmM+S2PHW1PQ+8TX8Ymu3gL+p3/ha3BGV57JoqigwqG4P1exblpoO4zavRtXiBJythRv
V6Kn5Eq2yLH6X09u32XW9fbbqnTvCmtGoBmWXv2fDZVea9ZM8GO62Anf7gCVCH6DjXWhS6NVwbEd
y9j7Ky6LirzsZ5Zp0/zkyAWhMLyc/Q5Io/oDd05sq7N+/JCbvCDhNPZ9nL2yXX7sooj4qSsaUR8x
HZjXXLMhNSMlqIV7dKibEf0TcvG76JAxvu1/81jHgE4+CYobXkx8g20e+6MHxcUORVjVF4kLrGr3
tNCg3wUcrXWbI92rjQvdV1gvhbRs5Q1m4J1hrhXhLw2J2nS4XijbGJanSztLiZeUuQKp0xCiELL7
wZI1uTULqRdEJ/+HGG/xQKlqqkIf06NPiJsccCcCyPYLjDUhWBy2oOAtUrnSfsVdaRKCUnm9sLbA
Bvq5ZpinoYte0P5tBGJjsO+kENoEnRtbUp9o4hW/2AFieiNEhD7G53kJFhshxuyIaxSfIoQv72UX
RSgcGJyICHgZDgzHMVuuv14RkaVYAM5epxeQwtXEZ70o5YvIfZb1K7f9JXHiiMWgSOeXi1e89kQf
RD7f2w2TPnhN98aUlSPPCUlJVRyDTUnV2B9WXnHA6ExM8xEniEStc2vTXPCvP2fWb7iC50ziyzv6
GuXM+jQ9huNV7kUrgyHMtnas7XhUBPdYM+Ll5E7sjQe7B+DojRx19KCoknm2KrLqSIpq1H3dtjlG
FhfNV+vKVzYJLc3xts6aUyT5N8FzpBTPlscKJwr64B+ovUEGyGSJY7h3+NdbpanU9a+jEgL6693/
pVA/7STcyyg9zajrs//Osxmnl4PL2joWnrgqvILdmiK1jQPt5fG+CRsHnpIoLyhgib0c5NdrANyB
NQS4d/ISIi+gApy/H4GCtVwCgn44FzhddOTQ+G7aXDJ/L8SfClC9P1DX4ukUH4/gdcXJ8S5XVvSm
Jebj1YU2foXru+VtDHQVpVaMkFgpjUj40VGdEEQAIdPHhGm+keMCyrVhQlzM9VBF+UsmtPGGza+o
c6mcgvLC/MICc9lRF+/dC3x80wwGOAlK/EfRKlx3ka88oNsU8/g6UGUYhHA6QwDq/LFzW0Pvc8C2
JbgFT7slTmkNdkMMP8P5IRZIksql4+GQBcTYytJfxhIYLtOZPI5cDUAJIvArsdmDN2l7t+Drg0am
yUVJSDuJyhEaoPiUPlzABjHyzZ8yImbxIeTUxAgIBVLmWWKsTfAGKoXpaKE0RdvF/nTvdknfNIP5
nWVFvveMqtXkmxxfHqyJOHwft5OJF8NAq9cz8arULvnOy9s9vAmaqTS3OeVg7YUnRQsBUxUPGHp6
ZsHlxDL9fEWaIuvbopq0FfJ0FjypEvvJzxc0+mSj7fq2mLJVHPZgLU6ZmGCMfyqRG6O9Bin08P5M
eykJHpwezZVjqoAzUJUh3hrvSyESxu/9CnQ0tJSasdfBQYtwG4vBtsEujs43sJMWdajADFU8vuHX
ULFEyERb/4rXb+t4gAaKXOkkJiGHjvhKTeD9Zi2CSWtcgWm/hS0ZUeAp67j3+tJdl2LMPc157Tmw
CX05L/MOrehZf9QT5Ksz+coEyb8AHDRmhcEjhG2zC2l7jrPO4Yc5sR8KmoK/DX8LjIP0a6octbHD
f7bG9DNSqiytv0Gdg5sTKhg5fJdoDTvgkv0qI2jn97dqVgh3sfiIAcadCC+eD6M9ICvtUpORnY7o
mYmqIhD/nL8kCXsyUlrn3oVapnMGeWfh70mJzcHya3GqTOHLIlYxEPWmn4+ypCKsHdJvQwJK6IPD
YAlgbLPwJTi0R4y2UK6fmCTVpwaVVOUmPBYsBgiUmwokhosssaxV1GdYxBDUzuzk3dKKwKDMcumi
AFQbWD/Yid/gdWV2wEBg7QL4Ta8zxca+CGiG935BLU4x0V69Qin1tj2975f8UtdFK/4b7PzbjOFW
gamJ8iHqW5wmUYJLIOkinmlJzDM1r5kVT8QFGcvni/9ViUj2FMIcZ2z2xoObCJZG1qRUWvFjYzFM
iumF1LseBav3v1JEVDzyFwWgjfN5wKuQV8OP3H9FfZkWUiztDL+JRV5lgY1BYbywc52SnJ4H7waf
8zidH943335yiylZf+51usi5pq1DwMWTJqhMlTOG4vP6CyUsLyQPh8DxCf0tbEKIkVm5gaQ5CRWC
wV4gZLvFS8ev+HquOR9WpcUd8QIPPgA8U7NknjgxhAWjGfiFSzl+XKiURF7egfRFgywymAMpduma
m4jQw/K/PCN47bi+dNbTGqRhhI7C0n36Tx4J6whwG0uhXlqDD5SNLUTDyxXsYWggPu5mgXBvUYVC
XPXL9YbeEA5C55+up/chBdwirVR0+X0TqRpWvffrQSbYrfosrIk1cpiXEMbIyhSXFGwae2NMwxWV
CVRUXubmvdFDpeMtTuP7RUFs7aGT9RrfAz3AEOEZ6GLuRxpidubf82/dy8aSDPvy27YlEOzPu0gZ
PCGENyG3zP4E3A/+hvuicXAEEjWVoS/vkYQHileu48TFwK7naS6sot57de4DcNnJKzaKZen1BjDP
KgqIgec6xT3isngMWtJKLBTR5OaRKvFvOt16CmkJgPT8W6uCoQ//K4Dh7ENI6BE/ptLWb0fTsxt2
Xc+y6lp/5DqhuaiRUlj9ji4A++3W5ENDYC3CFfPV9IsK9YV/bLXfGJPu61eli6p0Xec6Sa3BQifG
LpJsnr5SQQy4OLSaJqXLhC0N1AuhOR+AmsWkXyomMi6fhzus7C1bQqrh239pZ6SNOQm8dHWOa/Qu
0p5uGxgLgKe4pDFeHJKgSgBIhmSLr/N0eC75Jf0YiuBoR96ydtjI2vQd3b/gN5YMzdRmYZev8wXS
Bse4kFLeS5HZaLAIg6cz3LrP3Lf8XG/iENH61K8cDC5IKZytDKqgrRdyVKJOa2I6VpU4h14HnSYa
nxMlmTZRyY1LBIYa45IEt2AE0zej9h76cvtDyMuSThL90HcVV/GOlTXgq1XsP99JoogWzFjUmnK4
A+DD/rhKVc0p/6LKg0wEv1lruiV846pQXdp7jlrq+oEIcjGHj7GyxsQJnSdjLqnPIBHfsncaapoC
kgat9Jg/tSfIlmSu6+4WtRkcWajQt1bQs1OPc92TcybQ+pyU2aI1/WLYhXBaUsWOoSvmQeLMS26M
LwzDNHvJWmeL19eBTIyMFMewJto899+AGG2DWVf5CZfeaojD1i0+XvtN2jRlNitIW1EGFqC0ychI
KJj6acA5342BGPDpfWVh6+JOvp2v36NKFouJkuANANNg3ORHV+36MW9/eXEAdYf/QsuQCu5ehoDj
5ygGINEubhRHvlNv/RNR3JFpjWajdPQH3VvHF3wYKNSOV+LoXP6UOMTTStkkVANwzvIQvMiWVkOg
nNsst2VBqB1uykqFQBVNqpaOl7jsZqqCyLv8n7VcpLNpVWn2WtD3+ytWfRdXvlvYmWlgc4ksPTGG
sphSRnBcCT+KOkrDG/9opzx6GaepaRmTAJZk0A4dQ4uaLLolD89r42u3ydcim7EQ/Jvk+0DnNxGl
4Jv+gmTiJAWoJtbRlZ9yNLG0g5fcMmNqjNP3O0dPqqh8aA+lFsMMFHzJhALVpwnoZGVN0GuxYAx7
b/VAK0jaKcrWGufVrL2IJ/CaqpHWMOWEeYpkdxjBr69V3lb9vLoiL+4+a3UIPpTJcJ5o4rbK9Ue9
bK1OC2SoAvep3N28zzi1BNs80OcfgygYRlNAN4zx3rrXWQWeHxDii3hT4H7MdgubuCh7dFT+Rzd0
Fno6IFPykrPF4w3bOtX9Ep1ykE99NO0IlQR6PCdNbH66ii0xW93N2HpF0nLr+4IKC3/uhI0QWfoT
nKY6e65lKzp6JvSqZADKEyf5UrJG7T0y8rQu/EEuJh9YBNUtvuclxgsiTQKlg8jvz/BFjDIPXk1M
Vd4NJoq95ILfN64Oq2a8uvXoVCWneW2qLKED1a+VJ20tfX8SFOSc7Kf2GtqBwazyr/2ppRUw1gE6
SsUJXUCZ/cz5TPIKFYtqBMc5Mo1/cvggtt4lDU64ESN6oxnzbDJBOYioMg0PilZ/6X1auQwsgfon
TWevb5jcFNwfARbdp6pzQ0KRzk7Mj+CUzR/OGt4/9jMcoHLYADdt/szzfxrWPjhTVHPMMq3U1OI4
SbCJsKglm+Qv1ujnilSp90LTFK+0OK5zTcpwuKuc/0RKHB2uBqZjXP2qMxRE1kSp0GJ41rlZwAd+
3pOtDWQ5LKXiQ3PPKvcQT93QHAuxwVTGzLXjqCP8BlGyUoe0ZTOoezdjG0tzBnR7hsbd6NDcvBx/
untBhqNTv2WYRx9g8gE9ltKm4hAuhWAKP2Vg7/9KKvT7lphT21rLOtmZYPAbl+fIv01AJbYw8NEx
otDUaSkft9gWu5bG6k643V08Lrd6LmkVwoYyypucbJmHbr56NMi7RWR43irqUzPwEMpxtFbSE/YN
xHlmHVa4PPoBW2Gjrwj6OSTWN2ca9Ml3YiHPJ4o4hhNAhCa8J2/YDheJDflX02++c4cVnPFUO3aa
y+dDjZ5VbD+2UBLU72c3YNu0/xMJi/6RnS6qAjlZsskCEmG5OHyMCQ04kLD7XX7yDSXV+rPtkMOM
cyLja9TcVYJDZ18NeZMTxw9dtgk2d//Y1HhERZUJ63Fg/fG91cxDHPpg7QC9TRXGgnMpa3TdYUCr
wrAA3FDx5vLGvZ1obXcLZTD5enfKDRHUoKk3WgjEe69NVifqAmzFNRM49FugjOBkJ1n68cd3irst
z95gZuiF88E7+LS/98Pa9PM3hQfkxbjk6Jt/QdGZ7JoYSmwz6hRjYSsG54CyyyM0gxdUhy9Tg09X
LyHirTIwZytvSEajFboCzIbY3vF2nr98NT0oNuYH7z/rkmPu5AW+ixFSWJXtLB+vShSlxKzR7QhI
OQxtqavYkDvLwzWsvIISyak1yVP7bnr2T4cu4szrV1hxa7YEGGvE64tYakiVenHUwdwRMU+RvDzf
Hg9ofZJ2yzdNsMbrqiYv2nFALI6/1DHrJKVAt91HVgZ4xxMOOFgvcJM+GbUScfPh0UxpnoXOEV2E
/HrYP7bAIKZvOHH1wqlw3fx45YXJKa1M4hk8KJ85skXtF35nwV9h2+Vy1vQg3Anl8sQBzBRRFmpS
aFTF3ut9OnVbcH4LuV9Fh06ZJ5ZDI2bnsdCODyIfpr6v6oS/gC0oFrZzrFiMX803O6U8DBMQXb1r
XA34HKI1953kSmSpcpq7TXjgUO1yiS/ZCu9Vtikuf21MyW1Qg3tWCjY3X2perMtGtibq2S9wQRiR
4f9lmJ/cgXg9LTbqLXpeGrcnnRYgWlsu6ywFjb5b2RYGz8rClG+MyjFY+wDysX2qaf8/sKdqmmja
3VcItciWr3Cn9q7yyA5SrygzEGi0ztC9LADP6UHo+olKgv6YW4WjejtLkkWbcJ7nt8gMrIkBSeB9
QE23iWYmFzuBBssf5rJ0UHU6vAztZfF28fVng5T/p4g8I7oBfq6fB/V+oM3mkWD1HkqUS8i9mhFU
5+b8X3L0KlSJ7xMOmLJVawvn0fOaQlomsToUPr3e9JWVBa2LS9IJdGdquG9I6L6UHcSwLAjfjSJv
yxBmzjut/++4gg+t0HB/xsn6UIOuUqryd4f5M93uD8qHfCzH5FEpAkbMn36YpFBgqVHt2ECPimzD
c0TRggVnu99sphYkEp2fx/i6qdDsd9puLYV3Ap8EAHfwKEz1qpbtUsGPR6e72KaxZr829PIaU8gN
CYbfHdGn2zi1l8BUmRuFVm5r28ErFpy9LlaTkV4CnMfRcXXxKUIRUwzSj7k1idF1If38Ed89OfVS
qYDlUx6rhnzlKjOjgZ2XkKeyTEkToBDGPCQWlU+yykFI9ZLMi6xsYdrHMbqYtAmJG+cI4wH5Urf4
tZyPCQrCJU4Ow7MfgMIQ3Hvip0SBJfnYQHUffiqNeCs9VUrxaahX5XyjdXZfl5lTvOW9LA0RBLi7
j6Z2JXwFtXQjb7VQGSZp0B5vpjCyxkkUVpxNZKSBF1bb9okhYx57no5am3MU4tiZMEOfu3w5WBGA
WWhVbas2B0AKlWS1rj/NaHunNoR7dFNCddIonDi02yXUjlkS62CvTF7IfIQGH8WUuK9jqABn+Ehd
TSiEOygAylFRCKZitYZqvccQVaSthW5e1ygx3XD17BYFoH8Fkkqdolua0ehCYoiyDk0N01Jw5qCi
lMU/e+U8d1L59mm6ZQvuKGB4K4ms4pJmHfpYO7Xi7ennUh4wt/EOEJ5r35nW4ssxP0kKcrdgacaa
TWGA1Wzrsl0cqKDlmKy9sw2RBITjZV0X/RjqsWLVdw7wcelBrIQ2S45XRwV80dxwZeqlO0JnsFda
fISX+4N2FucafM5wU3XLQJ61Uj4Q2ivZYVG3XAJQs9wgc45B98OAe5M1MMGirtgiJ/mjAa3UebHn
pD1Qh0AXAYGvuPzp/C9/2eJy72ymyNGwzp0lvaeuBmf9N4EzL5wWgiIhKHLn5L/PyM1m3NBftYvU
Zyxg6KWmcdC7ctHVYh9vHIZjLgXRuEmzfyZOsMHVx/t6/q3eKtQJJG2qkh/C4/WraedAF05Ryu58
hPVCVgEHt/7jT1krhdvBWwtAMQ+8V7ZVfg+uaAYa8dVpBmPfZVFfBqhjFmLIJuQhWfFOGLVUDtWt
RFDHZ5sJRm+3Ad2bh72KJ2ERW/086SGuE/yLrLzJryg7ouzxL4SQCE3QI9BhHSaCjQ1LUsjO+TQR
8DzGIB0r//lJ3fBpEzWWr9Y1daFIC77T4ulx2D81EmP7xIxiEt9/t9tckpSvuq9VX/Z45bb8F1oH
S0MMDx5IPdevGiLucOoOf6LIMoeggHyYpdYxZUOkjhu4MAuJi1b8HdoFYTHgYaO0LSer64AEkVdj
oDNz93t0lzNa5WRr+l67jW/kp6D6u2KMOdrbBUnTT6xNc2GG7Wio0pv3FDJ1rEhBnp6zDKTM+GMp
TNGjpPVfihOUCE6PyLcdWVhfOYlXBmH7RNjz0gF5iitxN/dJA4/NgLeQeOXpCdC6GcTyS1FlKJDp
ybsm8gGzIi/Q7hSHtZRrv2m4aXCxRW4ktwkprXw6kygKi3f/RQQ76p/9VHqAl9ZvfRxIHPDxFz2v
jo4rf5+drIxba8/kymj9jditXrqJC9Z4I5EKlSMsiK86jIwmAISIY8wrdv0n+dD+a1rw7puhTIj4
xgVKXkz/VJq8YepBF9CWc7SJ5swInQsEPwdx55aZrVbYY67QdKNLHdkT1mRXDN++YWMhWrrmVWoG
mu7w+mLMuLqQUrznbVjim+U8xgFRkpeFbjcc6CTYBYO2gjKBHqmkH36+N6gDHNjd9YqEk+c+wQy3
QnMtCQJ0xZMtvCFfMPR5OgMKKeS1dEDYjPG/PV4mbpkHXJiM4YFVEa1Yva6GgtiOzL0CXp3qLbFb
nJniR+6d/LkgFME3NRPHSUMLBMv0iy8TBujmWIqaFMaA6GXFBAQPTN6suYvkNgdTMKvMPq2HCDAq
KsEewLNcf5iMDFRHxIaO59PcZ/yU4gtQiocuOPgaWKBLsmUJ1BpjdeB7d0ADOsLBY5VD4SFeLySA
2Q==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SsEHSCy5J6+9cUVgehpdS4KIK44CgGkXWJWf6qkg4yM3EQQaIDqY9rMGKYr+5S4d3YZpzxKRSRqT
i9qUM6R8DA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UPmxVEbWtmOW5vvoB6jgGEd6qKVh3m1UNGzpWJAfZR88dyUsFq36QPNO7HPwROrPf9Qwwd4yDY38
ZeXqQncy5huiqSyYv74aKKOJtpqKl4S576tesrVlgr+yXD//GHJJ30riuG7hSb2AVCZLU+7WpFiu
PYTyIF6awlIwEVc1HG8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y4FTH6UQqxVUSfCCsS84jdQqcw44ahe7vTAKYZCCsBnr6YRt6EO3plNczdfF+PV60icYRgeUNMJo
pYYK/qsTWVyc2TfR9U1WECdadksBjsoKFcgklpp6cp3BgwiU8qOcvAUYgllmBcAYLR10rd2A87CM
acw/LZdKoFUeRg8Jo2vRklFwDFS0SYaFF3BSH8GvyNR2M/kq5jwGtCFO0HJBvCETEKb2aT8z4cxt
pawEtadqWGBvwMiWog2OrWwbWuacUqI4dBiXXfE7Obn8sm+GEzqzl93jyVz5P0tGaYyCUdvd9Rb2
kPhTXRrMugN9fVELq52A9C6IXO3GHcJk9qXkJA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
350JsG5VekcPsJ8a7bIEMj6IcsG7iwCPButxrsIt5gE4fMOF/Zt6znTQCd36+4MKI+pYQwP6oypb
Y5+8zK65bAuJ9pd3TrFDc51ZTE5VO1ahcJscbXzH6NaxwuHEQA7/PWa87VWEkZTeQeHuBquzw/WD
OyZJBykLLeQc5XGe5o4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QTmFNnFTV7n6GaQKqo5sD10Erew9ecQGeSPlqbGYVrmMQ2K5xPmY8qBMaZYlbia+DtYqt4aQwVgP
plNeexpuUHuROm0gwRG+nfbl1YPj9M/P3yKT+t9eqgUCWwsjJpNcpIIjr0Z+IbYTrPxTNtkMKwIN
oB9ilJaTcahjZCk0JPO3SvWvNVocDm0biJpzGnoWow7Too3TjQJOmjfpai/do7zCqgijXiW2zdIS
WS1fKAtR9RugWcM5zgz0lwdUYqMAXwS07Anyd7Dbzdjfyp5U75uKyDjFviAnn64TT0vKLZiUqMxX
QqzlVxdI0Z2A5G/EkQqVIht9FM4EK/efBvfsrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58768)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SsEHSCy5J6+9cUVgehpdS4KIK44CgGkXWJWf6qkg4yM3EQQaIDqY9rMGKYr+5S4d3YZpzxKRSRqT
i9qUM6R8DA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UPmxVEbWtmOW5vvoB6jgGEd6qKVh3m1UNGzpWJAfZR88dyUsFq36QPNO7HPwROrPf9Qwwd4yDY38
ZeXqQncy5huiqSyYv74aKKOJtpqKl4S576tesrVlgr+yXD//GHJJ30riuG7hSb2AVCZLU+7WpFiu
PYTyIF6awlIwEVc1HG8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y4FTH6UQqxVUSfCCsS84jdQqcw44ahe7vTAKYZCCsBnr6YRt6EO3plNczdfF+PV60icYRgeUNMJo
pYYK/qsTWVyc2TfR9U1WECdadksBjsoKFcgklpp6cp3BgwiU8qOcvAUYgllmBcAYLR10rd2A87CM
acw/LZdKoFUeRg8Jo2vRklFwDFS0SYaFF3BSH8GvyNR2M/kq5jwGtCFO0HJBvCETEKb2aT8z4cxt
pawEtadqWGBvwMiWog2OrWwbWuacUqI4dBiXXfE7Obn8sm+GEzqzl93jyVz5P0tGaYyCUdvd9Rb2
kPhTXRrMugN9fVELq52A9C6IXO3GHcJk9qXkJA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
350JsG5VekcPsJ8a7bIEMj6IcsG7iwCPButxrsIt5gE4fMOF/Zt6znTQCd36+4MKI+pYQwP6oypb
Y5+8zK65bAuJ9pd3TrFDc51ZTE5VO1ahcJscbXzH6NaxwuHEQA7/PWa87VWEkZTeQeHuBquzw/WD
OyZJBykLLeQc5XGe5o4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QTmFNnFTV7n6GaQKqo5sD10Erew9ecQGeSPlqbGYVrmMQ2K5xPmY8qBMaZYlbia+DtYqt4aQwVgP
plNeexpuUHuROm0gwRG+nfbl1YPj9M/P3yKT+t9eqgUCWwsjJpNcpIIjr0Z+IbYTrPxTNtkMKwIN
oB9ilJaTcahjZCk0JPO3SvWvNVocDm0biJpzGnoWow7Too3TjQJOmjfpai/do7zCqgijXiW2zdIS
WS1fKAtR9RugWcM5zgz0lwdUYqMAXwS07Anyd7Dbzdjfyp5U75uKyDjFviAnn64TT0vKLZiUqMxX
QqzlVxdI0Z2A5G/EkQqVIht9FM4EK/efBvfsrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58768)
`protect data_block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`protect end_protected
|
-------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
|
library IEEE;
use IEEE.std_logic_1164.all;
entity not_gate is
port (
a_i : in std_logic; -- inputs
c_o : out std_logic -- output
);
end entity not_gate;
architecture rtl of not_gate is
begin
c_o <= not a_i;
end architecture rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
entity not_gate is
port (
a_i : in std_logic; -- inputs
c_o : out std_logic -- output
);
end entity not_gate;
architecture rtl of not_gate is
begin
c_o <= not a_i;
end architecture rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
entity not_gate is
port (
a_i : in std_logic; -- inputs
c_o : out std_logic -- output
);
end entity not_gate;
architecture rtl of not_gate is
begin
c_o <= not a_i;
end architecture rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
entity not_gate is
port (
a_i : in std_logic; -- inputs
c_o : out std_logic -- output
);
end entity not_gate;
architecture rtl of not_gate is
begin
c_o <= not a_i;
end architecture rtl;
|
------------------------------------------------------------------------------
-- Copyright (c) 2019 David Banks
--
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ /
-- \ \ \/
-- \ \
-- / / Filename : MOS6502CpuMonCore.vhd
-- /___/ /\ Timestamp : 3/11/2019
-- \ \ / \
-- \___\/\___\
--
--Design Name: MOS6502CpuMonCore
--Device: multiple
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity MOS6502CpuMonCore is
generic (
UseT65Core : boolean;
UseAlanDCore : boolean;
-- default sizing is used by Electron/Beeb Fpga
num_comparators : integer := 8;
avr_prog_mem_size : integer := 1024 * 8
);
port (
clock_avr : in std_logic;
busmon_clk : in std_logic;
busmon_clken : in std_logic;
cpu_clk : in std_logic;
cpu_clken : in std_logic;
-- 6502 Signals
IRQ_n : in std_logic;
NMI_n : in std_logic;
Sync : out std_logic;
Addr : out std_logic_vector(15 downto 0);
R_W_n : out std_logic;
Din : in std_logic_vector(7 downto 0);
Dout : out std_logic_vector(7 downto 0);
SO_n : in std_logic;
Res_n : in std_logic;
Rdy : in std_logic;
-- External trigger inputs
trig : in std_logic_vector(1 downto 0);
-- Serial Console
avr_RxD : in std_logic;
avr_TxD : out std_logic;
-- Switches
sw_reset_cpu : in std_logic;
sw_reset_avr : in std_logic;
-- LEDs
led_bkpt : out std_logic;
led_trig0 : out std_logic;
led_trig1 : out std_logic;
-- OHO_DY1 connected to test connector
tmosi : out std_logic;
tdin : out std_logic;
tcclk : out std_logic
);
end MOS6502CpuMonCore;
architecture behavioral of MOS6502CpuMonCore is
type state_type is (idle, nop0, nop1, rd, wr, exec1, exec2);
signal state : state_type;
signal cpu_clken_ss : std_logic;
signal Data : std_logic_vector(7 downto 0);
signal Din_int : std_logic_vector(7 downto 0);
signal Dout_int : std_logic_vector(7 downto 0);
signal R_W_n_int : std_logic;
signal Rd_n_mon : std_logic;
signal Wr_n_mon : std_logic;
signal Sync_mon : std_logic;
signal Done_mon : std_logic;
signal Sync_int : std_logic;
signal Addr_int : std_logic_vector(23 downto 0);
signal cpu_addr_us : unsigned (15 downto 0);
signal cpu_dout_us : unsigned (7 downto 0);
signal cpu_reset_n : std_logic;
signal Regs : std_logic_vector(63 downto 0);
signal Regs1 : std_logic_vector(255 downto 0);
signal last_PC : std_logic_vector(15 downto 0);
signal SS_Single : std_logic;
signal SS_Step : std_logic;
signal SS_Step_held : std_logic;
signal CountCycle : std_logic;
signal special : std_logic_vector(2 downto 0);
signal memory_rd : std_logic;
signal memory_rd1 : std_logic;
signal memory_wr : std_logic;
signal memory_wr1 : std_logic;
signal memory_addr : std_logic_vector(15 downto 0);
signal memory_dout : std_logic_vector(7 downto 0);
signal memory_din : std_logic_vector(7 downto 0);
signal memory_done : std_logic;
signal NMI_n_masked : std_logic;
signal IRQ_n_masked : std_logic;
signal exec : std_logic;
signal exec_held : std_logic;
signal op3 : std_logic;
begin
mon : entity work.BusMonCore
generic map (
num_comparators => num_comparators,
avr_prog_mem_size => avr_prog_mem_size
)
port map (
clock_avr => clock_avr,
busmon_clk => busmon_clk,
busmon_clken => busmon_clken,
cpu_clk => cpu_clk,
cpu_clken => cpu_clken,
Addr => Addr_int(15 downto 0),
Data => Data,
Rd_n => Rd_n_mon,
Wr_n => Wr_n_mon,
RdIO_n => '1',
WrIO_n => '1',
Sync => Sync_mon,
Rdy => open,
nRSTin => Res_n,
nRSTout => cpu_reset_n,
CountCycle => CountCycle,
trig => trig,
avr_RxD => avr_RxD,
avr_TxD => avr_TxD,
sw_reset_cpu => sw_reset_cpu,
sw_reset_avr => sw_reset_avr,
led_bkpt => led_bkpt,
led_trig0 => led_trig0,
led_trig1 => led_trig1,
tmosi => tmosi,
tdin => tdin,
tcclk => tcclk,
Regs => Regs1,
RdMemOut => memory_rd,
WrMemOut => memory_wr,
RdIOOut => open,
WrIOOut => open,
ExecOut => exec,
AddrOut => memory_addr,
DataOut => memory_dout,
DataIn => memory_din,
Done => Done_mon,
Special => special,
SS_Step => SS_Step,
SS_Single => SS_Single
);
Wr_n_mon <= Rdy and R_W_n_int;
Rd_n_mon <= Rdy and not R_W_n_int;
Sync_mon <= Rdy and Sync_int;
Done_mon <= Rdy and memory_done;
Data <= Din when R_W_n_int = '1' else Dout_int;
NMI_n_masked <= NMI_n or special(1);
IRQ_n_masked <= IRQ_n or special(0);
-- The CPU is slightly pipelined and the register update of the last
-- instruction overlaps with the opcode fetch of the next instruction.
--
-- If the single stepping stopped on the opcode fetch cycle, then the registers
-- valued would not accurately reflect the previous instruction.
--
-- To work around this, when single stepping, we stop on the cycle after
-- the opcode fetch, which means the program counter has advanced.
--
-- To hide this from the user single stepping, all we need to do is to
-- also pipeline the value of the program counter by one stage to compensate.
last_pc_gen : process(cpu_clk)
begin
if rising_edge(cpu_clk) then
if cpu_clken = '1' then
if state = idle then
last_PC <= Regs(63 downto 48);
end if;
end if;
end if;
end process;
Regs1( 47 downto 0) <= Regs( 47 downto 0);
Regs1( 63 downto 48) <= last_PC;
Regs1(255 downto 64) <= (others => '0');
cpu_clken_ss <= '1' when Rdy = '1' and (state = idle or state = exec1 or state = exec2) and cpu_clken = '1' else '0';
GenT65Core: if UseT65Core generate
inst_t65: entity work.T65 port map (
mode => "00",
Abort_n => '1',
SO_n => SO_n,
Res_n => cpu_reset_n,
Enable => cpu_clken_ss,
Clk => cpu_clk,
Rdy => '1',
IRQ_n => IRQ_n_masked,
NMI_n => NMI_n_masked,
R_W_n => R_W_n_int,
Sync => Sync_int,
A => Addr_int,
DI => Din_int,
DO => Dout_int,
Regs => Regs
);
end generate;
GenAlanDCore: if UseAlanDCore generate
inst_r65c02: entity work.r65c02 port map (
reset => cpu_reset_n,
clk => cpu_clk,
enable => cpu_clken_ss,
nmi_n => NMI_n_masked,
irq_n => IRQ_n_masked,
di => unsigned(Din_int),
do => cpu_dout_us,
addr => cpu_addr_us,
nwe => R_W_n_int,
sync => Sync_int,
sync_irq => open,
Regs => Regs
);
Dout_int <= std_logic_vector(cpu_dout_us);
Addr_int(15 downto 0) <= std_logic_vector(cpu_addr_us);
end generate;
-- 00 IMP, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, ABS, ABS, ABS, IMP,
-- 10 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMPA, IMP, ABS, ABSX, ABSX, IMP,
-- 20 ABS, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, ABS, ABS, ABS, IMP,
-- 30 BRA, INDY, IND, IMP, ZPX, ZPX, ZPX, IMP, IMP, ABSY, IMPA, IMP, ABSX, ABSX, ABSX, IMP,
-- 40 IMP, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, ABS, ABS, ABS, IMP,
-- 50 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP,
-- 60 IMP, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, IND16, ABS, ABS, IMP,
-- 70 BRA, INDY, IND, IMP, ZPX, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, IND1X, ABSX, ABSX, IMP,
-- 80 BRA, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP,
-- 90 BRA, INDY, IND, IMP, ZPX, ZPX, ZPY, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP,
-- A0 IMM, INDX, IMM, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP,
-- B0 BRA, INDY, IND, IMP, ZPX, ZPX, ZPY, IMP, IMP, ABSY, IMP, IMP, ABSX, ABSX, ABSY, IMP,
-- C0 IMM, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP,
-- D0 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP,
-- E0 IMM, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP,
-- F0 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP
-- Detect forced opcodes that are 3 bytes long
op3 <= '1' when memory_dout(7 downto 0) = "00100000" else
'1' when memory_dout(4 downto 0) = "11011" else
'1' when memory_dout(3 downto 0) = "1100" else
'1' when memory_dout(3 downto 0) = "1101" else
'1' when memory_dout(3 downto 0) = "1110" else
'0';
Din_int <= memory_dout( 7 downto 0) when state = idle and Sync_int = '1' and exec_held = '1' else
memory_addr( 7 downto 0) when state = exec1 else
memory_addr(15 downto 8) when state = exec2 else
Din;
men_access_machine : process(cpu_clk, cpu_reset_n)
begin
if cpu_reset_n = '0' then
state <= idle;
elsif rising_edge(cpu_clk) then
-- Extend the control signals from BusMonitorCore which
-- only last one cycle.
if SS_Step = '1' then
SS_Step_held <= '1';
elsif state = idle then
SS_Step_held <= '0';
end if;
if memory_rd = '1' then
memory_rd1 <= '1';
elsif state = rd then
memory_rd1 <= '0';
end if;
if memory_wr = '1' then
memory_wr1 <= '1';
elsif state = wr then
memory_wr1 <= '0';
end if;
if exec = '1' then
exec_held <= '1';
elsif state = exec1 then
exec_held <= '0';
end if;
if cpu_clken = '1' and Rdy = '1' then
case state is
-- idle is when the CPU is running normally
when idle =>
if Sync_int = '1' then
if exec_held = '1' then
state <= exec1;
elsif SS_Single = '1' then
state <= nop0;
end if;
end if;
-- nop0 is the first state entered when the CPU is paused
when nop0 =>
if memory_rd1 = '1' then
state <= rd;
elsif memory_wr1 = '1' then
state <= wr;
elsif SS_Step_held = '1' or exec_held = '1' then
state <= idle;
else
state <= nop1;
end if;
-- nop1 simulates a sync cycle
when nop1 =>
state <= nop0;
-- rd is a monitor initiated read cycle
when rd =>
state <= nop0;
-- wr is a monitor initiated write cycle
when wr =>
state <= nop0;
-- exec1 is the LSB of a forced JMP
when exec1 =>
if op3 = '1' then
state <= exec2;
else
state <= idle;
end if;
-- exec2 is the MSB of a forced JMP
when exec2 =>
state <= idle;
end case;
end if;
end if;
end process;
-- Only count cycles when the 6502 is actually running
-- TODO: Should this be qualified with cpu_clken and rdy?
CountCycle <= '1' when state = idle or state = exec1 or state = exec2 else '0';
R_W_n <= R_W_n_int when state = idle else
'0' when state = wr else
'1';
Addr <= Addr_int(15 downto 0) when state = idle else
memory_addr when state = rd or state = wr else
(others => '0');
Sync <= Sync_int when state = idle else
'1' when state = nop1 else
'0';
Dout <= Dout_int when state = idle else
memory_dout;
-- Data is captured by the bus monitor on the rising edge of cpu_clk
-- that sees done = 1.
memory_done <= '1' when state = rd or state = wr or (op3 = '0' and state = exec1) or state = exec2 else '0';
memory_din <= Din;
end behavioral;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004-2008 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
library cypress;
use cypress.components.all;
use work.debug.all;
use work.ml50x.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 10; -- system clock period
romwidth : integer := 32; -- rom data width (8/32)
romdepth : integer := 16; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 18; -- ram address depth
srambanks : integer := 2 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal sys_clk : std_logic := '0';
signal sys_rst_in : std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
signal bus_error : std_logic_vector (1 downto 0);
signal sram_flash_addr : std_logic_vector(23 downto 0);
signal address : std_logic_vector(24 downto 0);
signal sram_flash_data, data : std_logic_vector(31 downto 0);
signal sram_cen : std_logic;
signal sram_bw : std_logic_vector (3 downto 0);
signal sram_oen : std_ulogic;
signal flash_oen : std_ulogic;
signal sram_flash_we_n : std_ulogic;
signal flash_cen : std_logic;
signal flash_adv_n : std_logic;
signal sram_clk : std_ulogic;
signal sram_clk_fb : std_ulogic;
signal sram_mode : std_ulogic;
signal sram_adv_ld_n : std_ulogic;
signal iosn : std_ulogic;
signal ddr_clk : std_logic_vector(1 downto 0);
signal ddr_clkb : std_logic_vector(1 downto 0);
signal ddr_cke : std_logic_vector(1 downto 0);
signal ddr_csb : std_logic_vector(1 downto 0);
signal ddr_odt : std_logic_vector(1 downto 0);
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (7 downto 0); -- ddr dm
signal ddr_dqsp : std_logic_vector (7 downto 0); -- ddr dqs
signal ddr_dqsn : std_logic_vector (7 downto 0); -- ddr dqs
signal ddr_rdqs : std_logic_vector (7 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (13 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (1+CFG_DDR2SP downto 0); -- ddr bank address
signal ddr_dq : std_logic_vector (63 downto 0); -- ddr data
signal ddr_dq2 : std_logic_vector (63 downto 0); -- ddr data
signal txd1 : std_ulogic; -- UART1 tx data
signal rxd1 : std_ulogic; -- UART1 rx data
signal gpio : std_logic_vector(13 downto 0); -- I/O port
signal led : std_logic_vector(12 downto 0); -- I/O port
signal phy_mii_data: std_logic; -- ethernet PHY interface
signal phy_tx_clk : std_ulogic;
signal phy_rx_clk : std_ulogic;
signal phy_rx_data : std_logic_vector(7 downto 0);
signal phy_dv : std_ulogic;
signal phy_rx_er : std_ulogic;
signal phy_col : std_ulogic;
signal phy_crs : std_ulogic;
signal phy_tx_data : std_logic_vector(7 downto 0);
signal phy_tx_en : std_ulogic;
signal phy_tx_er : std_ulogic;
signal phy_mii_clk : std_ulogic;
signal phy_rst_n : std_ulogic;
signal phy_gtx_clk : std_ulogic;
signal phy_int : std_ulogic := '1';
signal ps2_keyb_clk: std_logic;
signal ps2_keyb_data: std_logic;
signal ps2_mouse_clk: std_logic;
signal ps2_mouse_data: std_logic;
signal usb_csn, usb_rstn : std_logic;
signal iic_scl_main, iic_sda_main : std_logic;
signal iic_scl_dvi, iic_sda_dvi : std_logic;
signal tft_lcd_data : std_logic_vector(11 downto 0);
signal tft_lcd_clk_p : std_logic;
signal tft_lcd_clk_n : std_logic;
signal tft_lcd_hsync : std_logic;
signal tft_lcd_vsync : std_logic;
signal tft_lcd_de : std_logic;
signal tft_lcd_reset_b : std_logic;
signal sace_usb_a : std_logic_vector(6 downto 0);
signal sace_mpce : std_ulogic;
signal sace_usb_d : std_logic_vector(15 downto 0);
signal sace_usb_oen : std_ulogic;
signal sace_usb_wen : std_ulogic;
signal sysace_mpirq : std_ulogic;
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk_200_p : std_ulogic := '0';
signal clk_200_n : std_ulogic := '1';
signal sysace_clk_in : std_ulogic := '0';
constant lresp : boolean := false;
begin
-- clock and reset
sys_clk <= not sys_clk after ct * 1 ns;
sys_rst_in <= '0', '1' after 200 ns;
clk_200_p <= not clk_200_p after 2.5 ns;
clk_200_n <= not clk_200_n after 2.5 ns;
sysace_clk_in <= not sysace_clk_in after 15 ns;
rxd1 <= 'H'; gpio(11) <= 'L';
sram_clk_fb <= sram_clk;
ps2_keyb_data <= 'H'; ps2_keyb_clk <= 'H';
ps2_mouse_clk <= 'H'; ps2_mouse_data <= 'H';
iic_scl_main <= 'H'; iic_sda_main <= 'H';
iic_scl_dvi <= 'H'; iic_sda_dvi <= 'H';
sace_usb_d <= (others => 'H'); sysace_mpirq <= 'L';
cpu : entity work.leon3mp
generic map ( fabtech, memtech, padtech, ncpu, disas, dbguart, pclow )
port map (sys_rst_in, sys_clk, clk_200_p, clk_200_n, sysace_clk_in,
sram_flash_addr, sram_flash_data, sram_cen, sram_bw, sram_oen,
sram_flash_we_n, flash_cen, flash_oen, flash_adv_n,sram_clk,
sram_clk_fb, sram_mode, sram_adv_ld_n, iosn,
ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_odt, ddr_web,
ddr_rasb, ddr_casb, ddr_dm, ddr_dqsp, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq,
txd1, rxd1, gpio, led, bus_error,
phy_gtx_clk, phy_mii_data, phy_tx_clk, phy_rx_clk,
phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs,
phy_tx_data, phy_tx_en, phy_tx_er, phy_mii_clk, phy_rst_n, phy_int,
ps2_keyb_clk, ps2_keyb_data, ps2_mouse_clk, ps2_mouse_data,
usb_csn, usb_rstn,
iic_scl_main, iic_sda_main,
iic_scl_dvi, iic_sda_dvi,
tft_lcd_data, tft_lcd_clk_p, tft_lcd_clk_n, tft_lcd_hsync,
tft_lcd_vsync, tft_lcd_de, tft_lcd_reset_b,
sace_usb_a, sace_mpce, sace_usb_d, sace_usb_oen, sace_usb_wen,
sysace_mpirq
);
-- ddr2mem : for i in 0 to 3 generate
-- u1 : ddr2
-- PORT MAP(
-- ck => ddr_clk(0), ck_n => ddr_clkb(0), cke => ddr_cke(0), cs_n => ddr_csb(0),
-- ras_n => ddr_rasb, cas_n => ddr_casb, we_n => ddr_web,
-- dm_rdqs => ddr_dm(i*2+1 downto i*2), ba => ddr_ba,
-- addr => ddr_ad(12 downto 0), dq => ddr_dq(i*16+15 downto i*16),
-- dqs => ddr_dqsp(i*2+1 downto i*2), dqs_n => ddr_dqsn(i*2+1 downto i*2),
-- rdqs_n => ddr_rdqs(i*2+1 downto i*2), odt => ddr_odt(0));
-- end generate;
ddr2ranks: for j in 0 to CS_NUM-1 generate
-- ddr2chips: for i in 0 to 3 generate
-- u1 : HY5PS121621F
-- generic map (TimingCheckFlag => true, PUSCheckFlag => false,
-- index => 3-i, fname => sdramfile, fdelay => 100*CFG_MIG_DDR2)
-- port map (DQ => ddr_dq2(i*16+15 downto i*16), LDQS => ddr_dqsp(i*2),
-- LDQSB => ddr_dqsn(i*2), UDQS => ddr_dqsp(i*2+1),
-- UDQSB => ddr_dqsn(i*2+1), LDM => ddr_dm(i*2),
-- WEB => ddr_web, CASB => ddr_casb, RASB => ddr_rasb, CSB => ddr_csb(j),
-- BA => ddr_ba(1 downto 0), ADDR => ddr_ad(12 downto 0), CKE => ddr_cke(j),
-- CLK => ddr_clk(j), CLKB => ddr_clkb(j), UDM => ddr_dm(i*2+1));
-- end generate;
ddr0 : ddr2ram
generic map(width => 64, abits => 13, babits =>2, colbits => 10, rowbits => 13,
implbanks => 1, fname => sdramfile, speedbin=>0, density => 2,
lddelay => 100 us * CFG_MIG_DDR2)
port map (ck => ddr_clk(j), ckn => ddr_clkb(j), cke => ddr_cke(j), csn => ddr_csb(j),
odt => ddr_odt(j), rasn => ddr_rasb, casn => ddr_casb, wen => ddr_web,
dm => ddr_dm, ba => ddr_ba(1 downto 0), a => ddr_ad(12 downto 0), dq => ddr_dq2,
dqs => ddr_dqsp, dqsn =>ddr_dqsn);
end generate;
nodqdel : if (CFG_MIG_DDR2 = 1) generate
ddr2delay : delay_wire
generic map(data_width => ddr_dq'length, delay_atob => 0.0, delay_btoa => 0.0)
port map(a => ddr_dq, b => ddr_dq2);
end generate;
dqdel : if (CFG_MIG_DDR2 = 0) generate
ddr2delay : delay_wire
generic map(data_width => ddr_dq'length, delay_atob => 0.0, delay_btoa => 4.5)
port map(a => ddr_dq, b => ddr_dq2);
end generate;
sram01 : for i in 0 to 1 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (sram_flash_addr(sramdepth downto 1), sram_flash_data(15-i*8 downto 8-i*8),
sram_cen, sram_bw(i+2), sram_oen);
end generate;
sram23 : for i in 2 to 3 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (sram_flash_addr(sramdepth downto 1), sram_flash_data(47-i*8 downto 40-i*8),
sram_cen, sram_bw(i-2), sram_oen);
end generate;
prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile)
port map (sram_flash_addr(romdepth-1 downto 0), sram_flash_data(15 downto 0),
gnd, gnd, flash_cen, sram_flash_we_n, flash_oen);
phy0 : if (CFG_GRETH = 1) generate
phy_mii_data <= 'H';
p0: phy
generic map (address => 7)
port map(sys_rst_in, phy_mii_data, phy_tx_clk, phy_rx_clk, phy_rx_data,
phy_dv, phy_rx_er, phy_col, phy_crs, phy_tx_data, phy_tx_en,
phy_tx_er, phy_mii_clk, phy_gtx_clk);
end generate;
-- p0: phy
-- port map(rst, led_cfg, open, etx_clk, erx_clk, erxd, erx_dv,
-- erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc);
i0: i2c_slave_model
port map (iic_scl_main, iic_sda_main);
iuerr : process
begin
wait for 5000 ns;
if to_x01(bus_error(0)) = '0' then wait on bus_error; end if;
assert (to_x01(bus_error(0)) = '0')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= sram_flash_data(15 downto 0) & sram_flash_data(31 downto 16);
address <= sram_flash_addr & '0';
test0 : grtestmod
port map ( sys_rst_in, sys_clk, bus_error(0), sram_flash_addr(20 downto 1), data,
iosn, flash_oen, sram_bw(0), open);
sram_flash_data <= buskeep(sram_flash_data), (others => 'H') after 250 ns;
-- ddr_dq <= buskeep(ddr_dq), (others => 'H') after 250 ns;
data <= buskeep(data), (others => 'H') after 250 ns;
end ;
|
--------------------------------------------------------------------------------
-- 8-Color 100x37 Textmode Video Controller --
--------------------------------------------------------------------------------
-- --
-- IMPORTANT NOTICE --
-- --
-- Data in reverse order and shifted to the left 2px. Saves LUTs. Use the --
-- python script <chars.py> to generate your customized character set. --
-- --
--------------------------------------------------------------------------------
-- Copyright (C)2011 Mathias Hörtnagl <[email protected]> --
-- --
-- This program is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published by --
-- the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity rom is
port(
clk : in std_logic;
rom_addr : in std_logic_vector(11 downto 0);
rom_word : out std_logic_vector(7 downto 0)
);
end rom;
architecture rtl of rom is
begin
chrs : process(clk)
begin
if rising_edge(clk) then
case to_integer(unsigned(rom_addr)) is
when 18 => rom_word <= "11111001";
when 19 => rom_word <= "00000110";
when 20 => rom_word <= "10010110";
when 21 => rom_word <= "00000110";
when 22 => rom_word <= "00000110";
when 23 => rom_word <= "11110110";
when 24 => rom_word <= "01100110";
when 25 => rom_word <= "00000110";
when 26 => rom_word <= "00000110";
when 27 => rom_word <= "11111001";
when 34 => rom_word <= "11111001";
when 35 => rom_word <= "11111111";
when 36 => rom_word <= "01101111";
when 37 => rom_word <= "11111111";
when 38 => rom_word <= "11111111";
when 39 => rom_word <= "00001111";
when 40 => rom_word <= "10011111";
when 41 => rom_word <= "11111111";
when 42 => rom_word <= "11111111";
when 43 => rom_word <= "11111001";
when 52 => rom_word <= "11011000";
when 53 => rom_word <= "11111101";
when 54 => rom_word <= "11111101";
when 55 => rom_word <= "11111101";
when 56 => rom_word <= "11111101";
when 57 => rom_word <= "11111000";
when 58 => rom_word <= "01110000";
when 59 => rom_word <= "00100000";
when 68 => rom_word <= "00100000";
when 69 => rom_word <= "01110000";
when 70 => rom_word <= "11111000";
when 71 => rom_word <= "11111101";
when 72 => rom_word <= "11111000";
when 73 => rom_word <= "01110000";
when 74 => rom_word <= "00100000";
when 83 => rom_word <= "01100000";
when 84 => rom_word <= "11110000";
when 85 => rom_word <= "11110000";
when 86 => rom_word <= "10011111";
when 87 => rom_word <= "10011111";
when 88 => rom_word <= "10011111";
when 89 => rom_word <= "01100000";
when 90 => rom_word <= "01100000";
when 91 => rom_word <= "11110000";
when 99 => rom_word <= "01100000";
when 100 => rom_word <= "11110000";
when 101 => rom_word <= "11111001";
when 102 => rom_word <= "11111111";
when 103 => rom_word <= "11111111";
when 104 => rom_word <= "11111001";
when 105 => rom_word <= "01100000";
when 106 => rom_word <= "01100000";
when 107 => rom_word <= "11110000";
when 118 => rom_word <= "01100000";
when 119 => rom_word <= "11110000";
when 120 => rom_word <= "11110000";
when 121 => rom_word <= "01100000";
when 128 => rom_word <= "11111111";
when 129 => rom_word <= "11111111";
when 130 => rom_word <= "11111111";
when 131 => rom_word <= "11111111";
when 132 => rom_word <= "11111111";
when 133 => rom_word <= "11111111";
when 134 => rom_word <= "10011111";
when 135 => rom_word <= "00001111";
when 136 => rom_word <= "00001111";
when 137 => rom_word <= "10011111";
when 138 => rom_word <= "11111111";
when 139 => rom_word <= "11111111";
when 140 => rom_word <= "11111111";
when 141 => rom_word <= "11111111";
when 142 => rom_word <= "11111111";
when 143 => rom_word <= "11111111";
when 149 => rom_word <= "11110000";
when 150 => rom_word <= "10011001";
when 151 => rom_word <= "00001001";
when 152 => rom_word <= "00001001";
when 153 => rom_word <= "10011001";
when 154 => rom_word <= "11110000";
when 160 => rom_word <= "11111111";
when 161 => rom_word <= "11111111";
when 162 => rom_word <= "11111111";
when 163 => rom_word <= "11111111";
when 164 => rom_word <= "11111111";
when 165 => rom_word <= "00001111";
when 166 => rom_word <= "01100110";
when 167 => rom_word <= "11110110";
when 168 => rom_word <= "11110110";
when 169 => rom_word <= "01100110";
when 170 => rom_word <= "00001111";
when 171 => rom_word <= "11111111";
when 172 => rom_word <= "11111111";
when 173 => rom_word <= "11111111";
when 174 => rom_word <= "11111111";
when 175 => rom_word <= "11111111";
when 178 => rom_word <= "11100001";
when 179 => rom_word <= "11000001";
when 180 => rom_word <= "01100001";
when 181 => rom_word <= "00110001";
when 182 => rom_word <= "01111000";
when 183 => rom_word <= "11001100";
when 184 => rom_word <= "11001100";
when 185 => rom_word <= "11001100";
when 186 => rom_word <= "11001100";
when 187 => rom_word <= "01111000";
when 194 => rom_word <= "11110000";
when 195 => rom_word <= "10011001";
when 196 => rom_word <= "10011001";
when 197 => rom_word <= "10011001";
when 198 => rom_word <= "10011001";
when 199 => rom_word <= "11110000";
when 200 => rom_word <= "01100000";
when 201 => rom_word <= "11111001";
when 202 => rom_word <= "01100000";
when 203 => rom_word <= "01100000";
when 210 => rom_word <= "11110011";
when 211 => rom_word <= "00110011";
when 212 => rom_word <= "11110011";
when 213 => rom_word <= "00110000";
when 214 => rom_word <= "00110000";
when 215 => rom_word <= "00110000";
when 216 => rom_word <= "00110000";
when 217 => rom_word <= "00111000";
when 218 => rom_word <= "00111100";
when 219 => rom_word <= "00011100";
when 226 => rom_word <= "11111011";
when 227 => rom_word <= "00011011";
when 228 => rom_word <= "11111011";
when 229 => rom_word <= "00011011";
when 230 => rom_word <= "00011011";
when 231 => rom_word <= "00011011";
when 232 => rom_word <= "00011011";
when 233 => rom_word <= "10011011";
when 234 => rom_word <= "10011111";
when 235 => rom_word <= "10011101";
when 236 => rom_word <= "00001100";
when 243 => rom_word <= "01100000";
when 244 => rom_word <= "01100000";
when 245 => rom_word <= "01101111";
when 246 => rom_word <= "11110000";
when 247 => rom_word <= "10011111";
when 248 => rom_word <= "11110000";
when 249 => rom_word <= "01101111";
when 250 => rom_word <= "01100000";
when 251 => rom_word <= "01100000";
when 257 => rom_word <= "00000100";
when 258 => rom_word <= "00001100";
when 259 => rom_word <= "00011100";
when 260 => rom_word <= "00111100";
when 261 => rom_word <= "01111100";
when 262 => rom_word <= "11111101";
when 263 => rom_word <= "01111100";
when 264 => rom_word <= "00111100";
when 265 => rom_word <= "00011100";
when 266 => rom_word <= "00001100";
when 267 => rom_word <= "00000100";
when 273 => rom_word <= "00000001";
when 274 => rom_word <= "10000001";
when 275 => rom_word <= "11000001";
when 276 => rom_word <= "11100001";
when 277 => rom_word <= "11110001";
when 278 => rom_word <= "11111101";
when 279 => rom_word <= "11110001";
when 280 => rom_word <= "11100001";
when 281 => rom_word <= "11000001";
when 282 => rom_word <= "10000001";
when 283 => rom_word <= "00000001";
when 290 => rom_word <= "01100000";
when 291 => rom_word <= "11110000";
when 292 => rom_word <= "11111001";
when 293 => rom_word <= "01100000";
when 294 => rom_word <= "01100000";
when 295 => rom_word <= "01100000";
when 296 => rom_word <= "11111001";
when 297 => rom_word <= "11110000";
when 298 => rom_word <= "01100000";
when 306 => rom_word <= "10011001";
when 307 => rom_word <= "10011001";
when 308 => rom_word <= "10011001";
when 309 => rom_word <= "10011001";
when 310 => rom_word <= "10011001";
when 311 => rom_word <= "10011001";
when 312 => rom_word <= "10011001";
when 314 => rom_word <= "10011001";
when 315 => rom_word <= "10011001";
when 322 => rom_word <= "11111011";
when 323 => rom_word <= "01101111";
when 324 => rom_word <= "01101111";
when 325 => rom_word <= "01101111";
when 326 => rom_word <= "01111011";
when 327 => rom_word <= "01100011";
when 328 => rom_word <= "01100011";
when 329 => rom_word <= "01100011";
when 330 => rom_word <= "01100011";
when 331 => rom_word <= "01100011";
when 337 => rom_word <= "11111000";
when 338 => rom_word <= "10001101";
when 339 => rom_word <= "00011000";
when 340 => rom_word <= "01110000";
when 341 => rom_word <= "11011000";
when 342 => rom_word <= "10001101";
when 343 => rom_word <= "10001101";
when 344 => rom_word <= "11011000";
when 345 => rom_word <= "01110000";
when 346 => rom_word <= "11000000";
when 347 => rom_word <= "10001101";
when 348 => rom_word <= "11111000";
when 360 => rom_word <= "11111101";
when 361 => rom_word <= "11111101";
when 362 => rom_word <= "11111101";
when 363 => rom_word <= "11111101";
when 370 => rom_word <= "01100000";
when 371 => rom_word <= "11110000";
when 372 => rom_word <= "11111001";
when 373 => rom_word <= "01100000";
when 374 => rom_word <= "01100000";
when 375 => rom_word <= "01100000";
when 376 => rom_word <= "11111001";
when 377 => rom_word <= "11110000";
when 378 => rom_word <= "01100000";
when 379 => rom_word <= "11111001";
when 386 => rom_word <= "01100000";
when 387 => rom_word <= "11110000";
when 388 => rom_word <= "11111001";
when 389 => rom_word <= "01100000";
when 390 => rom_word <= "01100000";
when 391 => rom_word <= "01100000";
when 392 => rom_word <= "01100000";
when 393 => rom_word <= "01100000";
when 394 => rom_word <= "01100000";
when 395 => rom_word <= "01100000";
when 402 => rom_word <= "01100000";
when 403 => rom_word <= "01100000";
when 404 => rom_word <= "01100000";
when 405 => rom_word <= "01100000";
when 406 => rom_word <= "01100000";
when 407 => rom_word <= "01100000";
when 408 => rom_word <= "01100000";
when 409 => rom_word <= "11111001";
when 410 => rom_word <= "11110000";
when 411 => rom_word <= "01100000";
when 421 => rom_word <= "01100000";
when 422 => rom_word <= "11000000";
when 423 => rom_word <= "11111101";
when 424 => rom_word <= "11000000";
when 425 => rom_word <= "01100000";
when 437 => rom_word <= "00110000";
when 438 => rom_word <= "00011000";
when 439 => rom_word <= "11111101";
when 440 => rom_word <= "00011000";
when 441 => rom_word <= "00110000";
when 454 => rom_word <= "00001100";
when 455 => rom_word <= "00001100";
when 456 => rom_word <= "00001100";
when 457 => rom_word <= "11111101";
when 469 => rom_word <= "01010000";
when 470 => rom_word <= "11011000";
when 471 => rom_word <= "11111101";
when 472 => rom_word <= "11011000";
when 473 => rom_word <= "01010000";
when 484 => rom_word <= "00100000";
when 485 => rom_word <= "01110000";
when 486 => rom_word <= "01110000";
when 487 => rom_word <= "11111000";
when 488 => rom_word <= "11111000";
when 489 => rom_word <= "11111101";
when 490 => rom_word <= "11111101";
when 500 => rom_word <= "11111101";
when 501 => rom_word <= "11111101";
when 502 => rom_word <= "11111000";
when 503 => rom_word <= "11111000";
when 504 => rom_word <= "01110000";
when 505 => rom_word <= "01110000";
when 506 => rom_word <= "00100000";
when 530 => rom_word <= "01100000";
when 531 => rom_word <= "11110000";
when 532 => rom_word <= "11110000";
when 533 => rom_word <= "11110000";
when 534 => rom_word <= "01100000";
when 535 => rom_word <= "01100000";
when 536 => rom_word <= "01100000";
when 538 => rom_word <= "01100000";
when 539 => rom_word <= "01100000";
when 545 => rom_word <= "10011001";
when 546 => rom_word <= "10011001";
when 547 => rom_word <= "10011001";
when 548 => rom_word <= "10010000";
when 563 => rom_word <= "11011000";
when 564 => rom_word <= "11011000";
when 565 => rom_word <= "11111101";
when 566 => rom_word <= "11011000";
when 567 => rom_word <= "11011000";
when 568 => rom_word <= "11011000";
when 569 => rom_word <= "11111101";
when 570 => rom_word <= "11011000";
when 571 => rom_word <= "11011000";
when 576 => rom_word <= "01100000";
when 577 => rom_word <= "01100000";
when 578 => rom_word <= "11111000";
when 579 => rom_word <= "10001101";
when 580 => rom_word <= "00001101";
when 581 => rom_word <= "00001100";
when 582 => rom_word <= "11111000";
when 583 => rom_word <= "10000001";
when 584 => rom_word <= "10000001";
when 585 => rom_word <= "10000101";
when 586 => rom_word <= "10001101";
when 587 => rom_word <= "11111000";
when 588 => rom_word <= "01100000";
when 589 => rom_word <= "01100000";
when 596 => rom_word <= "00001101";
when 597 => rom_word <= "10001101";
when 598 => rom_word <= "11000000";
when 599 => rom_word <= "01100000";
when 600 => rom_word <= "00110000";
when 601 => rom_word <= "00011000";
when 602 => rom_word <= "10001101";
when 603 => rom_word <= "10000101";
when 610 => rom_word <= "01110000";
when 611 => rom_word <= "11011000";
when 612 => rom_word <= "11011000";
when 613 => rom_word <= "01110000";
when 614 => rom_word <= "10111001";
when 615 => rom_word <= "11101100";
when 616 => rom_word <= "11001100";
when 617 => rom_word <= "11001100";
when 618 => rom_word <= "11001100";
when 619 => rom_word <= "10111001";
when 625 => rom_word <= "00110000";
when 626 => rom_word <= "00110000";
when 627 => rom_word <= "00110000";
when 628 => rom_word <= "00011000";
when 642 => rom_word <= "11000000";
when 643 => rom_word <= "01100000";
when 644 => rom_word <= "00110000";
when 645 => rom_word <= "00110000";
when 646 => rom_word <= "00110000";
when 647 => rom_word <= "00110000";
when 648 => rom_word <= "00110000";
when 649 => rom_word <= "00110000";
when 650 => rom_word <= "01100000";
when 651 => rom_word <= "11000000";
when 658 => rom_word <= "00110000";
when 659 => rom_word <= "01100000";
when 660 => rom_word <= "11000000";
when 661 => rom_word <= "11000000";
when 662 => rom_word <= "11000000";
when 663 => rom_word <= "11000000";
when 664 => rom_word <= "11000000";
when 665 => rom_word <= "11000000";
when 666 => rom_word <= "01100000";
when 667 => rom_word <= "00110000";
when 677 => rom_word <= "10011001";
when 678 => rom_word <= "11110000";
when 679 => rom_word <= "11111111";
when 680 => rom_word <= "11110000";
when 681 => rom_word <= "10011001";
when 693 => rom_word <= "01100000";
when 694 => rom_word <= "01100000";
when 695 => rom_word <= "11111001";
when 696 => rom_word <= "01100000";
when 697 => rom_word <= "01100000";
when 713 => rom_word <= "01100000";
when 714 => rom_word <= "01100000";
when 715 => rom_word <= "01100000";
when 716 => rom_word <= "00110000";
when 727 => rom_word <= "11111101";
when 746 => rom_word <= "01100000";
when 747 => rom_word <= "01100000";
when 756 => rom_word <= "00000001";
when 757 => rom_word <= "10000001";
when 758 => rom_word <= "11000000";
when 759 => rom_word <= "01100000";
when 760 => rom_word <= "00110000";
when 761 => rom_word <= "00011000";
when 762 => rom_word <= "00001100";
when 763 => rom_word <= "00000100";
when 770 => rom_word <= "01110000";
when 771 => rom_word <= "11011000";
when 772 => rom_word <= "10001101";
when 773 => rom_word <= "11001101";
when 774 => rom_word <= "11101101";
when 775 => rom_word <= "10111101";
when 776 => rom_word <= "10011101";
when 777 => rom_word <= "10001101";
when 778 => rom_word <= "11011000";
when 779 => rom_word <= "01110000";
when 786 => rom_word <= "01100000";
when 787 => rom_word <= "01110000";
when 788 => rom_word <= "01111000";
when 789 => rom_word <= "01100000";
when 790 => rom_word <= "01100000";
when 791 => rom_word <= "01100000";
when 792 => rom_word <= "01100000";
when 793 => rom_word <= "01100000";
when 794 => rom_word <= "01100000";
when 795 => rom_word <= "01100000";
when 802 => rom_word <= "11111000";
when 803 => rom_word <= "10001101";
when 804 => rom_word <= "10000001";
when 805 => rom_word <= "11000000";
when 806 => rom_word <= "01100000";
when 807 => rom_word <= "00110000";
when 808 => rom_word <= "00011000";
when 809 => rom_word <= "00001100";
when 810 => rom_word <= "00001100";
when 811 => rom_word <= "11111101";
when 818 => rom_word <= "11111000";
when 819 => rom_word <= "10000101";
when 820 => rom_word <= "10000001";
when 821 => rom_word <= "10000001";
when 822 => rom_word <= "11110000";
when 823 => rom_word <= "10000001";
when 824 => rom_word <= "10000001";
when 825 => rom_word <= "10000001";
when 826 => rom_word <= "10000101";
when 827 => rom_word <= "11111000";
when 834 => rom_word <= "11000000";
when 835 => rom_word <= "11100000";
when 836 => rom_word <= "11110000";
when 837 => rom_word <= "11011000";
when 838 => rom_word <= "11001100";
when 839 => rom_word <= "11111101";
when 840 => rom_word <= "11000000";
when 841 => rom_word <= "11000000";
when 842 => rom_word <= "11000000";
when 843 => rom_word <= "11000000";
when 850 => rom_word <= "11111101";
when 851 => rom_word <= "00001100";
when 852 => rom_word <= "00001100";
when 853 => rom_word <= "00001100";
when 854 => rom_word <= "11111100";
when 855 => rom_word <= "10000001";
when 856 => rom_word <= "10000001";
when 857 => rom_word <= "10000001";
when 858 => rom_word <= "10000101";
when 859 => rom_word <= "11111000";
when 866 => rom_word <= "11110000";
when 867 => rom_word <= "00011000";
when 868 => rom_word <= "00001100";
when 869 => rom_word <= "00001100";
when 870 => rom_word <= "11101100";
when 871 => rom_word <= "10011101";
when 872 => rom_word <= "10001101";
when 873 => rom_word <= "10001101";
when 874 => rom_word <= "10001101";
when 875 => rom_word <= "11111000";
when 882 => rom_word <= "11111101";
when 883 => rom_word <= "10000001";
when 884 => rom_word <= "10000001";
when 885 => rom_word <= "10000001";
when 886 => rom_word <= "11000000";
when 887 => rom_word <= "01100000";
when 888 => rom_word <= "00110000";
when 889 => rom_word <= "00110000";
when 890 => rom_word <= "00110000";
when 891 => rom_word <= "00110000";
when 898 => rom_word <= "11111000";
when 899 => rom_word <= "10001101";
when 900 => rom_word <= "10001101";
when 901 => rom_word <= "10001101";
when 902 => rom_word <= "11111000";
when 903 => rom_word <= "10001101";
when 904 => rom_word <= "10001101";
when 905 => rom_word <= "10001101";
when 906 => rom_word <= "10001101";
when 907 => rom_word <= "11111000";
when 914 => rom_word <= "11111000";
when 915 => rom_word <= "10001101";
when 916 => rom_word <= "10001101";
when 917 => rom_word <= "10001101";
when 918 => rom_word <= "11111001";
when 919 => rom_word <= "10000001";
when 920 => rom_word <= "10000001";
when 921 => rom_word <= "10000001";
when 922 => rom_word <= "11000100";
when 923 => rom_word <= "01111000";
when 932 => rom_word <= "01100000";
when 933 => rom_word <= "01100000";
when 937 => rom_word <= "01100000";
when 938 => rom_word <= "01100000";
when 948 => rom_word <= "01100000";
when 949 => rom_word <= "01100000";
when 953 => rom_word <= "01100000";
when 954 => rom_word <= "01100000";
when 955 => rom_word <= "00110000";
when 963 => rom_word <= "10000001";
when 964 => rom_word <= "11000000";
when 965 => rom_word <= "01100000";
when 966 => rom_word <= "00110000";
when 967 => rom_word <= "00011000";
when 968 => rom_word <= "00110000";
when 969 => rom_word <= "01100000";
when 970 => rom_word <= "11000000";
when 971 => rom_word <= "10000001";
when 981 => rom_word <= "11111001";
when 984 => rom_word <= "11111001";
when 995 => rom_word <= "00011000";
when 996 => rom_word <= "00110000";
when 997 => rom_word <= "01100000";
when 998 => rom_word <= "11000000";
when 999 => rom_word <= "10000001";
when 1000 => rom_word <= "11000000";
when 1001 => rom_word <= "01100000";
when 1002 => rom_word <= "00110000";
when 1003 => rom_word <= "00011000";
when 1010 => rom_word <= "11111000";
when 1011 => rom_word <= "10001101";
when 1012 => rom_word <= "10001101";
when 1013 => rom_word <= "11000000";
when 1014 => rom_word <= "01100000";
when 1015 => rom_word <= "01100000";
when 1016 => rom_word <= "01100000";
when 1018 => rom_word <= "01100000";
when 1019 => rom_word <= "01100000";
when 1027 => rom_word <= "11111000";
when 1028 => rom_word <= "10001101";
when 1029 => rom_word <= "10001101";
when 1030 => rom_word <= "11101101";
when 1031 => rom_word <= "11101101";
when 1032 => rom_word <= "11101101";
when 1033 => rom_word <= "11101100";
when 1034 => rom_word <= "00001100";
when 1035 => rom_word <= "11111000";
when 1042 => rom_word <= "00100000";
when 1043 => rom_word <= "01110000";
when 1044 => rom_word <= "11011000";
when 1045 => rom_word <= "10001101";
when 1046 => rom_word <= "10001101";
when 1047 => rom_word <= "11111101";
when 1048 => rom_word <= "10001101";
when 1049 => rom_word <= "10001101";
when 1050 => rom_word <= "10001101";
when 1051 => rom_word <= "10001101";
when 1058 => rom_word <= "11111100";
when 1059 => rom_word <= "10001101";
when 1060 => rom_word <= "10001101";
when 1061 => rom_word <= "10001101";
when 1062 => rom_word <= "11111100";
when 1063 => rom_word <= "10001101";
when 1064 => rom_word <= "10001101";
when 1065 => rom_word <= "10001101";
when 1066 => rom_word <= "10001101";
when 1067 => rom_word <= "11111100";
when 1074 => rom_word <= "11110000";
when 1075 => rom_word <= "10011001";
when 1076 => rom_word <= "00001101";
when 1077 => rom_word <= "00001100";
when 1078 => rom_word <= "00001100";
when 1079 => rom_word <= "00001100";
when 1080 => rom_word <= "00001100";
when 1081 => rom_word <= "00001101";
when 1082 => rom_word <= "10011001";
when 1083 => rom_word <= "11110000";
when 1090 => rom_word <= "01111100";
when 1091 => rom_word <= "11101100";
when 1092 => rom_word <= "11001101";
when 1093 => rom_word <= "10001101";
when 1094 => rom_word <= "10001101";
when 1095 => rom_word <= "10001101";
when 1096 => rom_word <= "10001101";
when 1097 => rom_word <= "11001101";
when 1098 => rom_word <= "11101100";
when 1099 => rom_word <= "01111100";
when 1106 => rom_word <= "11111100";
when 1107 => rom_word <= "00001100";
when 1108 => rom_word <= "00001100";
when 1109 => rom_word <= "00001100";
when 1110 => rom_word <= "01111100";
when 1111 => rom_word <= "00001100";
when 1112 => rom_word <= "00001100";
when 1113 => rom_word <= "00001100";
when 1114 => rom_word <= "00001100";
when 1115 => rom_word <= "11111100";
when 1122 => rom_word <= "11111100";
when 1123 => rom_word <= "00001100";
when 1124 => rom_word <= "00001100";
when 1125 => rom_word <= "00001100";
when 1126 => rom_word <= "01111100";
when 1127 => rom_word <= "00001100";
when 1128 => rom_word <= "00001100";
when 1129 => rom_word <= "00001100";
when 1130 => rom_word <= "00001100";
when 1131 => rom_word <= "00001100";
when 1138 => rom_word <= "11110000";
when 1139 => rom_word <= "00011001";
when 1140 => rom_word <= "00001100";
when 1141 => rom_word <= "00001100";
when 1142 => rom_word <= "00001100";
when 1143 => rom_word <= "11101101";
when 1144 => rom_word <= "10001101";
when 1145 => rom_word <= "10001101";
when 1146 => rom_word <= "10011001";
when 1147 => rom_word <= "11110001";
when 1154 => rom_word <= "10001101";
when 1155 => rom_word <= "10001101";
when 1156 => rom_word <= "10001101";
when 1157 => rom_word <= "10001101";
when 1158 => rom_word <= "11111101";
when 1159 => rom_word <= "10001101";
when 1160 => rom_word <= "10001101";
when 1161 => rom_word <= "10001101";
when 1162 => rom_word <= "10001101";
when 1163 => rom_word <= "10001101";
when 1170 => rom_word <= "01100000";
when 1171 => rom_word <= "01100000";
when 1172 => rom_word <= "01100000";
when 1173 => rom_word <= "01100000";
when 1174 => rom_word <= "01100000";
when 1175 => rom_word <= "01100000";
when 1176 => rom_word <= "01100000";
when 1177 => rom_word <= "01100000";
when 1178 => rom_word <= "01100000";
when 1179 => rom_word <= "01100000";
when 1186 => rom_word <= "11100001";
when 1187 => rom_word <= "11000000";
when 1188 => rom_word <= "11000000";
when 1189 => rom_word <= "11000000";
when 1190 => rom_word <= "11000000";
when 1191 => rom_word <= "11000000";
when 1192 => rom_word <= "11001100";
when 1193 => rom_word <= "11001100";
when 1194 => rom_word <= "11001100";
when 1195 => rom_word <= "01111000";
when 1202 => rom_word <= "00001101";
when 1203 => rom_word <= "10001101";
when 1204 => rom_word <= "11001100";
when 1205 => rom_word <= "01101100";
when 1206 => rom_word <= "00111100";
when 1207 => rom_word <= "00111100";
when 1208 => rom_word <= "01101100";
when 1209 => rom_word <= "11001100";
when 1210 => rom_word <= "10001101";
when 1211 => rom_word <= "00001101";
when 1218 => rom_word <= "00001100";
when 1219 => rom_word <= "00001100";
when 1220 => rom_word <= "00001100";
when 1221 => rom_word <= "00001100";
when 1222 => rom_word <= "00001100";
when 1223 => rom_word <= "00001100";
when 1224 => rom_word <= "00001100";
when 1225 => rom_word <= "00001100";
when 1226 => rom_word <= "00001100";
when 1227 => rom_word <= "11111100";
when 1234 => rom_word <= "10001101";
when 1235 => rom_word <= "11011101";
when 1236 => rom_word <= "11111101";
when 1237 => rom_word <= "11111101";
when 1238 => rom_word <= "10101101";
when 1239 => rom_word <= "10001101";
when 1240 => rom_word <= "10001101";
when 1241 => rom_word <= "10001101";
when 1242 => rom_word <= "10001101";
when 1243 => rom_word <= "10001101";
when 1250 => rom_word <= "10001101";
when 1251 => rom_word <= "10011101";
when 1252 => rom_word <= "10111101";
when 1253 => rom_word <= "11111101";
when 1254 => rom_word <= "11101101";
when 1255 => rom_word <= "11001101";
when 1256 => rom_word <= "10001101";
when 1257 => rom_word <= "10001101";
when 1258 => rom_word <= "10001101";
when 1259 => rom_word <= "10001101";
when 1266 => rom_word <= "11111000";
when 1267 => rom_word <= "10001101";
when 1268 => rom_word <= "10001101";
when 1269 => rom_word <= "10001101";
when 1270 => rom_word <= "10001101";
when 1271 => rom_word <= "10001101";
when 1272 => rom_word <= "10001101";
when 1273 => rom_word <= "10001101";
when 1274 => rom_word <= "10001101";
when 1275 => rom_word <= "11111000";
when 1282 => rom_word <= "11111100";
when 1283 => rom_word <= "10001101";
when 1284 => rom_word <= "10001101";
when 1285 => rom_word <= "10001101";
when 1286 => rom_word <= "11111100";
when 1287 => rom_word <= "00001100";
when 1288 => rom_word <= "00001100";
when 1289 => rom_word <= "00001100";
when 1290 => rom_word <= "00001100";
when 1291 => rom_word <= "00001100";
when 1298 => rom_word <= "11111000";
when 1299 => rom_word <= "10001101";
when 1300 => rom_word <= "10001101";
when 1301 => rom_word <= "10001101";
when 1302 => rom_word <= "10001101";
when 1303 => rom_word <= "10001101";
when 1304 => rom_word <= "10001101";
when 1305 => rom_word <= "10101101";
when 1306 => rom_word <= "11101101";
when 1307 => rom_word <= "11111000";
when 1308 => rom_word <= "11000000";
when 1309 => rom_word <= "10000001";
when 1314 => rom_word <= "11111100";
when 1315 => rom_word <= "10001101";
when 1316 => rom_word <= "10001101";
when 1317 => rom_word <= "10001101";
when 1318 => rom_word <= "11111100";
when 1319 => rom_word <= "01101100";
when 1320 => rom_word <= "11001100";
when 1321 => rom_word <= "11001100";
when 1322 => rom_word <= "10001101";
when 1323 => rom_word <= "10001101";
when 1330 => rom_word <= "11111000";
when 1331 => rom_word <= "00001101";
when 1332 => rom_word <= "00001100";
when 1333 => rom_word <= "00011000";
when 1334 => rom_word <= "01110000";
when 1335 => rom_word <= "11000000";
when 1336 => rom_word <= "10000001";
when 1337 => rom_word <= "10000001";
when 1338 => rom_word <= "10000101";
when 1339 => rom_word <= "11111000";
when 1346 => rom_word <= "11111001";
when 1347 => rom_word <= "11111001";
when 1348 => rom_word <= "01100000";
when 1349 => rom_word <= "01100000";
when 1350 => rom_word <= "01100000";
when 1351 => rom_word <= "01100000";
when 1352 => rom_word <= "01100000";
when 1353 => rom_word <= "01100000";
when 1354 => rom_word <= "01100000";
when 1355 => rom_word <= "01100000";
when 1362 => rom_word <= "10001101";
when 1363 => rom_word <= "10001101";
when 1364 => rom_word <= "10001101";
when 1365 => rom_word <= "10001101";
when 1366 => rom_word <= "10001101";
when 1367 => rom_word <= "10001101";
when 1368 => rom_word <= "10001101";
when 1369 => rom_word <= "10001101";
when 1370 => rom_word <= "10001101";
when 1371 => rom_word <= "11111000";
when 1378 => rom_word <= "10001101";
when 1379 => rom_word <= "10001101";
when 1380 => rom_word <= "10001101";
when 1381 => rom_word <= "10001101";
when 1382 => rom_word <= "10001101";
when 1383 => rom_word <= "10001101";
when 1384 => rom_word <= "10001101";
when 1385 => rom_word <= "11011000";
when 1386 => rom_word <= "01110000";
when 1387 => rom_word <= "00100000";
when 1394 => rom_word <= "10001101";
when 1395 => rom_word <= "10001101";
when 1396 => rom_word <= "10001101";
when 1397 => rom_word <= "10001101";
when 1398 => rom_word <= "10101101";
when 1399 => rom_word <= "10101101";
when 1400 => rom_word <= "10101101";
when 1401 => rom_word <= "11111101";
when 1402 => rom_word <= "11011101";
when 1403 => rom_word <= "11011000";
when 1410 => rom_word <= "10001101";
when 1411 => rom_word <= "10001101";
when 1412 => rom_word <= "11011000";
when 1413 => rom_word <= "11111000";
when 1414 => rom_word <= "01110000";
when 1415 => rom_word <= "01110000";
when 1416 => rom_word <= "11111000";
when 1417 => rom_word <= "11011000";
when 1418 => rom_word <= "10001101";
when 1419 => rom_word <= "10001101";
when 1426 => rom_word <= "10011001";
when 1427 => rom_word <= "10011001";
when 1428 => rom_word <= "10011001";
when 1429 => rom_word <= "10011001";
when 1430 => rom_word <= "11110000";
when 1431 => rom_word <= "01100000";
when 1432 => rom_word <= "01100000";
when 1433 => rom_word <= "01100000";
when 1434 => rom_word <= "01100000";
when 1435 => rom_word <= "01100000";
when 1442 => rom_word <= "11111101";
when 1443 => rom_word <= "10000001";
when 1444 => rom_word <= "10000001";
when 1445 => rom_word <= "11000000";
when 1446 => rom_word <= "01100000";
when 1447 => rom_word <= "00110000";
when 1448 => rom_word <= "00011000";
when 1449 => rom_word <= "00001100";
when 1450 => rom_word <= "00001100";
when 1451 => rom_word <= "11111101";
when 1458 => rom_word <= "11110000";
when 1459 => rom_word <= "00110000";
when 1460 => rom_word <= "00110000";
when 1461 => rom_word <= "00110000";
when 1462 => rom_word <= "00110000";
when 1463 => rom_word <= "00110000";
when 1464 => rom_word <= "00110000";
when 1465 => rom_word <= "00110000";
when 1466 => rom_word <= "00110000";
when 1467 => rom_word <= "11110000";
when 1475 => rom_word <= "00000100";
when 1476 => rom_word <= "00001100";
when 1477 => rom_word <= "00011100";
when 1478 => rom_word <= "00111000";
when 1479 => rom_word <= "01110000";
when 1480 => rom_word <= "11100000";
when 1481 => rom_word <= "11000001";
when 1482 => rom_word <= "10000001";
when 1483 => rom_word <= "00000001";
when 1490 => rom_word <= "11110000";
when 1491 => rom_word <= "11000000";
when 1492 => rom_word <= "11000000";
when 1493 => rom_word <= "11000000";
when 1494 => rom_word <= "11000000";
when 1495 => rom_word <= "11000000";
when 1496 => rom_word <= "11000000";
when 1497 => rom_word <= "11000000";
when 1498 => rom_word <= "11000000";
when 1499 => rom_word <= "11110000";
when 1504 => rom_word <= "00100000";
when 1505 => rom_word <= "01110000";
when 1506 => rom_word <= "11011000";
when 1507 => rom_word <= "10001101";
when 1533 => rom_word <= "11111111";
when 1537 => rom_word <= "00110000";
when 1538 => rom_word <= "01100000";
when 1539 => rom_word <= "11000000";
when 1557 => rom_word <= "01111000";
when 1558 => rom_word <= "11000000";
when 1559 => rom_word <= "11111000";
when 1560 => rom_word <= "11001100";
when 1561 => rom_word <= "11001100";
when 1562 => rom_word <= "11001100";
when 1563 => rom_word <= "10111001";
when 1570 => rom_word <= "00001100";
when 1571 => rom_word <= "00001100";
when 1572 => rom_word <= "00001100";
when 1573 => rom_word <= "01111100";
when 1574 => rom_word <= "11001100";
when 1575 => rom_word <= "11001100";
when 1576 => rom_word <= "11001100";
when 1577 => rom_word <= "11001100";
when 1578 => rom_word <= "11001100";
when 1579 => rom_word <= "01111100";
when 1589 => rom_word <= "01111000";
when 1590 => rom_word <= "10001100";
when 1591 => rom_word <= "00001100";
when 1592 => rom_word <= "00001100";
when 1593 => rom_word <= "00001100";
when 1594 => rom_word <= "10001100";
when 1595 => rom_word <= "01111000";
when 1602 => rom_word <= "11000000";
when 1603 => rom_word <= "11000000";
when 1604 => rom_word <= "11000000";
when 1605 => rom_word <= "11111000";
when 1606 => rom_word <= "11001100";
when 1607 => rom_word <= "11001100";
when 1608 => rom_word <= "11001100";
when 1609 => rom_word <= "11001100";
when 1610 => rom_word <= "11001100";
when 1611 => rom_word <= "11111000";
when 1621 => rom_word <= "11111000";
when 1622 => rom_word <= "10001101";
when 1623 => rom_word <= "11111101";
when 1624 => rom_word <= "00001100";
when 1625 => rom_word <= "00001100";
when 1626 => rom_word <= "00001101";
when 1627 => rom_word <= "11111000";
when 1634 => rom_word <= "11100000";
when 1635 => rom_word <= "10110001";
when 1636 => rom_word <= "00110001";
when 1637 => rom_word <= "00110000";
when 1638 => rom_word <= "01111000";
when 1639 => rom_word <= "00110000";
when 1640 => rom_word <= "00110000";
when 1641 => rom_word <= "00110000";
when 1642 => rom_word <= "00110000";
when 1643 => rom_word <= "00110000";
when 1653 => rom_word <= "11111000";
when 1654 => rom_word <= "11001100";
when 1655 => rom_word <= "11001100";
when 1656 => rom_word <= "11001100";
when 1657 => rom_word <= "11001100";
when 1658 => rom_word <= "11001100";
when 1659 => rom_word <= "11111000";
when 1660 => rom_word <= "11000000";
when 1661 => rom_word <= "11000100";
when 1662 => rom_word <= "01111000";
when 1666 => rom_word <= "00001100";
when 1667 => rom_word <= "00001100";
when 1668 => rom_word <= "00001100";
when 1669 => rom_word <= "01101100";
when 1670 => rom_word <= "11011100";
when 1671 => rom_word <= "11001100";
when 1672 => rom_word <= "11001100";
when 1673 => rom_word <= "11001100";
when 1674 => rom_word <= "11001100";
when 1675 => rom_word <= "11001100";
when 1682 => rom_word <= "01100000";
when 1683 => rom_word <= "01100000";
when 1685 => rom_word <= "01110000";
when 1686 => rom_word <= "01100000";
when 1687 => rom_word <= "01100000";
when 1688 => rom_word <= "01100000";
when 1689 => rom_word <= "01100000";
when 1690 => rom_word <= "01100000";
when 1691 => rom_word <= "01100000";
when 1698 => rom_word <= "11000000";
when 1699 => rom_word <= "11000000";
when 1701 => rom_word <= "11000000";
when 1702 => rom_word <= "11000000";
when 1703 => rom_word <= "11000000";
when 1704 => rom_word <= "11000000";
when 1705 => rom_word <= "11000000";
when 1706 => rom_word <= "11000000";
when 1707 => rom_word <= "11000000";
when 1708 => rom_word <= "11001100";
when 1709 => rom_word <= "11001100";
when 1710 => rom_word <= "01111000";
when 1714 => rom_word <= "00001100";
when 1715 => rom_word <= "00001100";
when 1716 => rom_word <= "00001100";
when 1717 => rom_word <= "11001100";
when 1718 => rom_word <= "01101100";
when 1719 => rom_word <= "00111100";
when 1720 => rom_word <= "00111100";
when 1721 => rom_word <= "01101100";
when 1722 => rom_word <= "11001100";
when 1723 => rom_word <= "11001100";
when 1730 => rom_word <= "01110000";
when 1731 => rom_word <= "01100000";
when 1732 => rom_word <= "01100000";
when 1733 => rom_word <= "01100000";
when 1734 => rom_word <= "01100000";
when 1735 => rom_word <= "01100000";
when 1736 => rom_word <= "01100000";
when 1737 => rom_word <= "01100000";
when 1738 => rom_word <= "01100000";
when 1739 => rom_word <= "01100000";
when 1749 => rom_word <= "11011100";
when 1750 => rom_word <= "11111101";
when 1751 => rom_word <= "10101101";
when 1752 => rom_word <= "10101101";
when 1753 => rom_word <= "10101101";
when 1754 => rom_word <= "10101101";
when 1755 => rom_word <= "10001101";
when 1765 => rom_word <= "01110100";
when 1766 => rom_word <= "11001100";
when 1767 => rom_word <= "11001100";
when 1768 => rom_word <= "11001100";
when 1769 => rom_word <= "11001100";
when 1770 => rom_word <= "11001100";
when 1771 => rom_word <= "11001100";
when 1781 => rom_word <= "01111000";
when 1782 => rom_word <= "11001100";
when 1783 => rom_word <= "11001100";
when 1784 => rom_word <= "11001100";
when 1785 => rom_word <= "11001100";
when 1786 => rom_word <= "11001100";
when 1787 => rom_word <= "01111000";
when 1797 => rom_word <= "01111100";
when 1798 => rom_word <= "11001100";
when 1799 => rom_word <= "11001100";
when 1800 => rom_word <= "11001100";
when 1801 => rom_word <= "11001100";
when 1802 => rom_word <= "11001100";
when 1803 => rom_word <= "01111100";
when 1804 => rom_word <= "00001100";
when 1805 => rom_word <= "00001100";
when 1806 => rom_word <= "00001100";
when 1813 => rom_word <= "11111000";
when 1814 => rom_word <= "11001100";
when 1815 => rom_word <= "11001100";
when 1816 => rom_word <= "11001100";
when 1817 => rom_word <= "11001100";
when 1818 => rom_word <= "11001100";
when 1819 => rom_word <= "11111000";
when 1820 => rom_word <= "11000000";
when 1821 => rom_word <= "11000000";
when 1822 => rom_word <= "11000000";
when 1829 => rom_word <= "01110100";
when 1830 => rom_word <= "11011100";
when 1831 => rom_word <= "11001100";
when 1832 => rom_word <= "00001100";
when 1833 => rom_word <= "00001100";
when 1834 => rom_word <= "00001100";
when 1835 => rom_word <= "00001100";
when 1845 => rom_word <= "11111000";
when 1846 => rom_word <= "00001101";
when 1847 => rom_word <= "00111000";
when 1848 => rom_word <= "11100000";
when 1849 => rom_word <= "10000001";
when 1850 => rom_word <= "10000101";
when 1851 => rom_word <= "11111000";
when 1858 => rom_word <= "00110000";
when 1859 => rom_word <= "00110000";
when 1860 => rom_word <= "00110000";
when 1861 => rom_word <= "11111100";
when 1862 => rom_word <= "00110000";
when 1863 => rom_word <= "00110000";
when 1864 => rom_word <= "00110000";
when 1865 => rom_word <= "00110000";
when 1866 => rom_word <= "00110000";
when 1867 => rom_word <= "00110000";
when 1877 => rom_word <= "11001100";
when 1878 => rom_word <= "11001100";
when 1879 => rom_word <= "11001100";
when 1880 => rom_word <= "11001100";
when 1881 => rom_word <= "11001100";
when 1882 => rom_word <= "11001100";
when 1883 => rom_word <= "01111000";
when 1893 => rom_word <= "10001101";
when 1894 => rom_word <= "10001101";
when 1895 => rom_word <= "10001101";
when 1896 => rom_word <= "10001101";
when 1897 => rom_word <= "10001101";
when 1898 => rom_word <= "11011000";
when 1899 => rom_word <= "00100000";
when 1909 => rom_word <= "10001101";
when 1910 => rom_word <= "10001101";
when 1911 => rom_word <= "10101101";
when 1912 => rom_word <= "10101101";
when 1913 => rom_word <= "10101101";
when 1914 => rom_word <= "11111101";
when 1915 => rom_word <= "11011000";
when 1925 => rom_word <= "10001101";
when 1926 => rom_word <= "11011000";
when 1927 => rom_word <= "01110000";
when 1928 => rom_word <= "01110000";
when 1929 => rom_word <= "01110000";
when 1930 => rom_word <= "11011000";
when 1931 => rom_word <= "10001101";
when 1941 => rom_word <= "10001101";
when 1942 => rom_word <= "10001101";
when 1943 => rom_word <= "10001101";
when 1944 => rom_word <= "10001101";
when 1945 => rom_word <= "10001101";
when 1946 => rom_word <= "11001101";
when 1947 => rom_word <= "10111001";
when 1948 => rom_word <= "10000001";
when 1949 => rom_word <= "11000100";
when 1950 => rom_word <= "01111000";
when 1957 => rom_word <= "11111101";
when 1958 => rom_word <= "11000000";
when 1959 => rom_word <= "01100000";
when 1960 => rom_word <= "00110000";
when 1961 => rom_word <= "00011000";
when 1962 => rom_word <= "00001100";
when 1963 => rom_word <= "11111101";
when 1970 => rom_word <= "11000001";
when 1971 => rom_word <= "01100000";
when 1972 => rom_word <= "01100000";
when 1973 => rom_word <= "01100000";
when 1974 => rom_word <= "00111000";
when 1975 => rom_word <= "01100000";
when 1976 => rom_word <= "01100000";
when 1977 => rom_word <= "01100000";
when 1978 => rom_word <= "01100000";
when 1979 => rom_word <= "11000001";
when 1986 => rom_word <= "01100000";
when 1987 => rom_word <= "01100000";
when 1988 => rom_word <= "01100000";
when 1989 => rom_word <= "01100000";
when 1990 => rom_word <= "01100000";
when 1991 => rom_word <= "01100000";
when 1992 => rom_word <= "01100000";
when 1993 => rom_word <= "01100000";
when 1994 => rom_word <= "01100000";
when 1995 => rom_word <= "01100000";
when 2002 => rom_word <= "00111000";
when 2003 => rom_word <= "01100000";
when 2004 => rom_word <= "01100000";
when 2005 => rom_word <= "01100000";
when 2006 => rom_word <= "11000001";
when 2007 => rom_word <= "01100000";
when 2008 => rom_word <= "01100000";
when 2009 => rom_word <= "01100000";
when 2010 => rom_word <= "01100000";
when 2011 => rom_word <= "00111000";
when 2017 => rom_word <= "10111001";
when 2018 => rom_word <= "11101100";
when 2036 => rom_word <= "00100000";
when 2037 => rom_word <= "01110000";
when 2038 => rom_word <= "11011000";
when 2039 => rom_word <= "10001101";
when 2040 => rom_word <= "10001101";
when 2041 => rom_word <= "10001101";
when 2042 => rom_word <= "11111101";
when 2051 => rom_word <= "11110000";
when 2052 => rom_word <= "10011001";
when 2053 => rom_word <= "00001100";
when 2054 => rom_word <= "00001100";
when 2055 => rom_word <= "00001100";
when 2056 => rom_word <= "10001101";
when 2057 => rom_word <= "10011001";
when 2058 => rom_word <= "11110000";
when 2059 => rom_word <= "01100000";
when 2060 => rom_word <= "11001100";
when 2061 => rom_word <= "01110000";
when 2066 => rom_word <= "11001100";
when 2067 => rom_word <= "11001100";
when 2069 => rom_word <= "11001100";
when 2070 => rom_word <= "11001100";
when 2071 => rom_word <= "11001100";
when 2072 => rom_word <= "11001100";
when 2073 => rom_word <= "11001100";
when 2074 => rom_word <= "11001100";
when 2075 => rom_word <= "01111000";
when 2081 => rom_word <= "11000000";
when 2082 => rom_word <= "01100000";
when 2083 => rom_word <= "00110000";
when 2085 => rom_word <= "11111000";
when 2086 => rom_word <= "10001101";
when 2087 => rom_word <= "11111101";
when 2088 => rom_word <= "00001100";
when 2089 => rom_word <= "00001100";
when 2090 => rom_word <= "00001101";
when 2091 => rom_word <= "11111000";
when 2097 => rom_word <= "00100000";
when 2098 => rom_word <= "01110000";
when 2099 => rom_word <= "11011000";
when 2101 => rom_word <= "01111000";
when 2102 => rom_word <= "11000000";
when 2103 => rom_word <= "11111000";
when 2104 => rom_word <= "11001100";
when 2105 => rom_word <= "11001100";
when 2106 => rom_word <= "11001100";
when 2107 => rom_word <= "10111001";
when 2114 => rom_word <= "11001100";
when 2117 => rom_word <= "01111000";
when 2118 => rom_word <= "11000000";
when 2119 => rom_word <= "11111000";
when 2120 => rom_word <= "11001100";
when 2121 => rom_word <= "11001100";
when 2122 => rom_word <= "11001100";
when 2123 => rom_word <= "10111001";
when 2129 => rom_word <= "00011000";
when 2130 => rom_word <= "00110000";
when 2131 => rom_word <= "01100000";
when 2133 => rom_word <= "01111000";
when 2134 => rom_word <= "11000000";
when 2135 => rom_word <= "11111000";
when 2136 => rom_word <= "11001100";
when 2137 => rom_word <= "11001100";
when 2138 => rom_word <= "11001100";
when 2139 => rom_word <= "10111001";
when 2145 => rom_word <= "01110000";
when 2146 => rom_word <= "11011000";
when 2147 => rom_word <= "01110000";
when 2149 => rom_word <= "01111000";
when 2150 => rom_word <= "11000000";
when 2151 => rom_word <= "11111000";
when 2152 => rom_word <= "11001100";
when 2153 => rom_word <= "11001100";
when 2154 => rom_word <= "11001100";
when 2155 => rom_word <= "10111001";
when 2165 => rom_word <= "11111000";
when 2166 => rom_word <= "10001101";
when 2167 => rom_word <= "00001100";
when 2168 => rom_word <= "00001100";
when 2169 => rom_word <= "00001100";
when 2170 => rom_word <= "10001101";
when 2171 => rom_word <= "11111000";
when 2172 => rom_word <= "01100000";
when 2173 => rom_word <= "00111000";
when 2177 => rom_word <= "00100000";
when 2178 => rom_word <= "01110000";
when 2179 => rom_word <= "11011000";
when 2181 => rom_word <= "11111000";
when 2182 => rom_word <= "10001101";
when 2183 => rom_word <= "11111101";
when 2184 => rom_word <= "00001100";
when 2185 => rom_word <= "00001100";
when 2186 => rom_word <= "00001101";
when 2187 => rom_word <= "11111000";
when 2194 => rom_word <= "10001101";
when 2197 => rom_word <= "11111000";
when 2198 => rom_word <= "10001101";
when 2199 => rom_word <= "11111101";
when 2200 => rom_word <= "00001100";
when 2201 => rom_word <= "00001100";
when 2202 => rom_word <= "00001101";
when 2203 => rom_word <= "11111000";
when 2209 => rom_word <= "00011000";
when 2210 => rom_word <= "00110000";
when 2211 => rom_word <= "01100000";
when 2213 => rom_word <= "11111000";
when 2214 => rom_word <= "10001101";
when 2215 => rom_word <= "11111101";
when 2216 => rom_word <= "00001100";
when 2217 => rom_word <= "00001100";
when 2218 => rom_word <= "00001101";
when 2219 => rom_word <= "11111000";
when 2226 => rom_word <= "10011001";
when 2229 => rom_word <= "01110000";
when 2230 => rom_word <= "01100000";
when 2231 => rom_word <= "01100000";
when 2232 => rom_word <= "01100000";
when 2233 => rom_word <= "01100000";
when 2234 => rom_word <= "01100000";
when 2235 => rom_word <= "01100000";
when 2241 => rom_word <= "01100000";
when 2242 => rom_word <= "11110000";
when 2243 => rom_word <= "10011001";
when 2245 => rom_word <= "01110000";
when 2246 => rom_word <= "01100000";
when 2247 => rom_word <= "01100000";
when 2248 => rom_word <= "01100000";
when 2249 => rom_word <= "01100000";
when 2250 => rom_word <= "01100000";
when 2251 => rom_word <= "01100000";
when 2257 => rom_word <= "00011000";
when 2258 => rom_word <= "00110000";
when 2259 => rom_word <= "01100000";
when 2261 => rom_word <= "01110000";
when 2262 => rom_word <= "01100000";
when 2263 => rom_word <= "01100000";
when 2264 => rom_word <= "01100000";
when 2265 => rom_word <= "01100000";
when 2266 => rom_word <= "01100000";
when 2267 => rom_word <= "01100000";
when 2273 => rom_word <= "10001101";
when 2275 => rom_word <= "00100000";
when 2276 => rom_word <= "01110000";
when 2277 => rom_word <= "11011000";
when 2278 => rom_word <= "10001101";
when 2279 => rom_word <= "10001101";
when 2280 => rom_word <= "11111101";
when 2281 => rom_word <= "10001101";
when 2282 => rom_word <= "10001101";
when 2283 => rom_word <= "10001101";
when 2288 => rom_word <= "01110000";
when 2289 => rom_word <= "11011000";
when 2290 => rom_word <= "01110000";
when 2291 => rom_word <= "00100000";
when 2292 => rom_word <= "01110000";
when 2293 => rom_word <= "11011000";
when 2294 => rom_word <= "10001101";
when 2295 => rom_word <= "11111101";
when 2296 => rom_word <= "10001101";
when 2297 => rom_word <= "10001101";
when 2298 => rom_word <= "10001101";
when 2299 => rom_word <= "10001101";
when 2304 => rom_word <= "01100000";
when 2305 => rom_word <= "00110000";
when 2307 => rom_word <= "11111100";
when 2308 => rom_word <= "00001100";
when 2309 => rom_word <= "00001100";
when 2310 => rom_word <= "00001100";
when 2311 => rom_word <= "00111100";
when 2312 => rom_word <= "00001100";
when 2313 => rom_word <= "00001100";
when 2314 => rom_word <= "00001100";
when 2315 => rom_word <= "11111100";
when 2325 => rom_word <= "11011100";
when 2326 => rom_word <= "10110001";
when 2327 => rom_word <= "10110001";
when 2328 => rom_word <= "11111001";
when 2329 => rom_word <= "01101100";
when 2330 => rom_word <= "01101100";
when 2331 => rom_word <= "11011001";
when 2338 => rom_word <= "11110001";
when 2339 => rom_word <= "11011000";
when 2340 => rom_word <= "11001100";
when 2341 => rom_word <= "11001100";
when 2342 => rom_word <= "11111101";
when 2343 => rom_word <= "11001100";
when 2344 => rom_word <= "11001100";
when 2345 => rom_word <= "11001100";
when 2346 => rom_word <= "11001100";
when 2347 => rom_word <= "11001101";
when 2353 => rom_word <= "00100000";
when 2354 => rom_word <= "01110000";
when 2355 => rom_word <= "11011000";
when 2357 => rom_word <= "11111000";
when 2358 => rom_word <= "10001101";
when 2359 => rom_word <= "10001101";
when 2360 => rom_word <= "10001101";
when 2361 => rom_word <= "10001101";
when 2362 => rom_word <= "10001101";
when 2363 => rom_word <= "11111000";
when 2370 => rom_word <= "10001101";
when 2373 => rom_word <= "11111000";
when 2374 => rom_word <= "10001101";
when 2375 => rom_word <= "10001101";
when 2376 => rom_word <= "10001101";
when 2377 => rom_word <= "10001101";
when 2378 => rom_word <= "10001101";
when 2379 => rom_word <= "11111000";
when 2385 => rom_word <= "00011000";
when 2386 => rom_word <= "00110000";
when 2387 => rom_word <= "01100000";
when 2389 => rom_word <= "11111000";
when 2390 => rom_word <= "10001101";
when 2391 => rom_word <= "10001101";
when 2392 => rom_word <= "10001101";
when 2393 => rom_word <= "10001101";
when 2394 => rom_word <= "10001101";
when 2395 => rom_word <= "11111000";
when 2401 => rom_word <= "00110000";
when 2402 => rom_word <= "01111000";
when 2403 => rom_word <= "11001100";
when 2405 => rom_word <= "11001100";
when 2406 => rom_word <= "11001100";
when 2407 => rom_word <= "11001100";
when 2408 => rom_word <= "11001100";
when 2409 => rom_word <= "11001100";
when 2410 => rom_word <= "11001100";
when 2411 => rom_word <= "01111000";
when 2417 => rom_word <= "00011000";
when 2418 => rom_word <= "00110000";
when 2419 => rom_word <= "01100000";
when 2421 => rom_word <= "11001100";
when 2422 => rom_word <= "11001100";
when 2423 => rom_word <= "11001100";
when 2424 => rom_word <= "11001100";
when 2425 => rom_word <= "11001100";
when 2426 => rom_word <= "11001100";
when 2427 => rom_word <= "01111000";
when 2434 => rom_word <= "10001101";
when 2437 => rom_word <= "10001101";
when 2438 => rom_word <= "10001101";
when 2439 => rom_word <= "10001101";
when 2440 => rom_word <= "10001101";
when 2441 => rom_word <= "10001101";
when 2442 => rom_word <= "11001101";
when 2443 => rom_word <= "10111001";
when 2444 => rom_word <= "10000001";
when 2445 => rom_word <= "11000100";
when 2446 => rom_word <= "01111000";
when 2449 => rom_word <= "10001101";
when 2451 => rom_word <= "11111000";
when 2452 => rom_word <= "10001101";
when 2453 => rom_word <= "10001101";
when 2454 => rom_word <= "10001101";
when 2455 => rom_word <= "10001101";
when 2456 => rom_word <= "10001101";
when 2457 => rom_word <= "10001101";
when 2458 => rom_word <= "10001101";
when 2459 => rom_word <= "11111000";
when 2465 => rom_word <= "10001101";
when 2467 => rom_word <= "10001101";
when 2468 => rom_word <= "10001101";
when 2469 => rom_word <= "10001101";
when 2470 => rom_word <= "10001101";
when 2471 => rom_word <= "10001101";
when 2472 => rom_word <= "10001101";
when 2473 => rom_word <= "10001101";
when 2474 => rom_word <= "10001101";
when 2475 => rom_word <= "11111000";
when 2481 => rom_word <= "01100000";
when 2482 => rom_word <= "01100000";
when 2483 => rom_word <= "11111000";
when 2484 => rom_word <= "10001101";
when 2485 => rom_word <= "00001100";
when 2486 => rom_word <= "00001100";
when 2487 => rom_word <= "00001100";
when 2488 => rom_word <= "10001101";
when 2489 => rom_word <= "11111000";
when 2490 => rom_word <= "01100000";
when 2491 => rom_word <= "01100000";
when 2497 => rom_word <= "11110000";
when 2498 => rom_word <= "00001001";
when 2499 => rom_word <= "01100110";
when 2500 => rom_word <= "10010110";
when 2501 => rom_word <= "10010110";
when 2502 => rom_word <= "00010110";
when 2503 => rom_word <= "00010110";
when 2504 => rom_word <= "10010110";
when 2505 => rom_word <= "10010110";
when 2506 => rom_word <= "01110110";
when 2507 => rom_word <= "00001001";
when 2508 => rom_word <= "11110000";
when 2513 => rom_word <= "11110000";
when 2514 => rom_word <= "00001001";
when 2515 => rom_word <= "01110110";
when 2516 => rom_word <= "10010110";
when 2517 => rom_word <= "10010110";
when 2518 => rom_word <= "01110110";
when 2519 => rom_word <= "00110110";
when 2520 => rom_word <= "01010110";
when 2521 => rom_word <= "10010110";
when 2522 => rom_word <= "10010110";
when 2523 => rom_word <= "00001001";
when 2524 => rom_word <= "11110000";
when 2529 => rom_word <= "01111100";
when 2530 => rom_word <= "11001100";
when 2531 => rom_word <= "11001100";
when 2532 => rom_word <= "01111100";
when 2533 => rom_word <= "10001100";
when 2534 => rom_word <= "11001100";
when 2535 => rom_word <= "11101101";
when 2536 => rom_word <= "11001100";
when 2537 => rom_word <= "11001100";
when 2538 => rom_word <= "11001100";
when 2539 => rom_word <= "10001101";
when 2545 => rom_word <= "11000001";
when 2546 => rom_word <= "01100011";
when 2547 => rom_word <= "01100000";
when 2548 => rom_word <= "01100000";
when 2549 => rom_word <= "01100000";
when 2550 => rom_word <= "11111001";
when 2551 => rom_word <= "01100000";
when 2552 => rom_word <= "01100000";
when 2553 => rom_word <= "01100000";
when 2554 => rom_word <= "01101100";
when 2555 => rom_word <= "00111000";
when 2561 => rom_word <= "01100000";
when 2562 => rom_word <= "00110000";
when 2563 => rom_word <= "00011000";
when 2565 => rom_word <= "01111000";
when 2566 => rom_word <= "11000000";
when 2567 => rom_word <= "11111000";
when 2568 => rom_word <= "11001100";
when 2569 => rom_word <= "11001100";
when 2570 => rom_word <= "11001100";
when 2571 => rom_word <= "10111001";
when 2577 => rom_word <= "11000000";
when 2578 => rom_word <= "01100000";
when 2579 => rom_word <= "00110000";
when 2581 => rom_word <= "01110000";
when 2582 => rom_word <= "01100000";
when 2583 => rom_word <= "01100000";
when 2584 => rom_word <= "01100000";
when 2585 => rom_word <= "01100000";
when 2586 => rom_word <= "01100000";
when 2587 => rom_word <= "01100000";
when 2593 => rom_word <= "01100000";
when 2594 => rom_word <= "00110000";
when 2595 => rom_word <= "00011000";
when 2597 => rom_word <= "11111000";
when 2598 => rom_word <= "10001101";
when 2599 => rom_word <= "10001101";
when 2600 => rom_word <= "10001101";
when 2601 => rom_word <= "10001101";
when 2602 => rom_word <= "10001101";
when 2603 => rom_word <= "11111000";
when 2609 => rom_word <= "01100000";
when 2610 => rom_word <= "00110000";
when 2611 => rom_word <= "00011000";
when 2613 => rom_word <= "11001100";
when 2614 => rom_word <= "11001100";
when 2615 => rom_word <= "11001100";
when 2616 => rom_word <= "11001100";
when 2617 => rom_word <= "11001100";
when 2618 => rom_word <= "11001100";
when 2619 => rom_word <= "01111000";
when 2626 => rom_word <= "10111001";
when 2627 => rom_word <= "11101100";
when 2629 => rom_word <= "11101000";
when 2630 => rom_word <= "10011001";
when 2631 => rom_word <= "10011001";
when 2632 => rom_word <= "10011001";
when 2633 => rom_word <= "10011001";
when 2634 => rom_word <= "10011001";
when 2635 => rom_word <= "10011001";
when 2640 => rom_word <= "10111001";
when 2641 => rom_word <= "11101100";
when 2643 => rom_word <= "10001101";
when 2644 => rom_word <= "10011101";
when 2645 => rom_word <= "10111101";
when 2646 => rom_word <= "11111101";
when 2647 => rom_word <= "11101101";
when 2648 => rom_word <= "11001101";
when 2649 => rom_word <= "10001101";
when 2650 => rom_word <= "10001101";
when 2651 => rom_word <= "10001101";
when 2658 => rom_word <= "11110000";
when 2659 => rom_word <= "11011000";
when 2660 => rom_word <= "11011000";
when 2661 => rom_word <= "11110001";
when 2663 => rom_word <= "11111001";
when 2674 => rom_word <= "01110000";
when 2675 => rom_word <= "11011000";
when 2676 => rom_word <= "11011000";
when 2677 => rom_word <= "01110000";
when 2679 => rom_word <= "11111000";
when 2690 => rom_word <= "00110000";
when 2691 => rom_word <= "00110000";
when 2693 => rom_word <= "00110000";
when 2694 => rom_word <= "00110000";
when 2695 => rom_word <= "00011000";
when 2696 => rom_word <= "00001100";
when 2697 => rom_word <= "10001101";
when 2698 => rom_word <= "10001101";
when 2699 => rom_word <= "11111000";
when 2710 => rom_word <= "11111101";
when 2711 => rom_word <= "00001100";
when 2712 => rom_word <= "00001100";
when 2713 => rom_word <= "00001100";
when 2714 => rom_word <= "00001100";
when 2726 => rom_word <= "11111101";
when 2727 => rom_word <= "10000001";
when 2728 => rom_word <= "10000001";
when 2729 => rom_word <= "10000001";
when 2730 => rom_word <= "10000001";
when 2737 => rom_word <= "00011000";
when 2738 => rom_word <= "00011100";
when 2739 => rom_word <= "00011001";
when 2740 => rom_word <= "10011001";
when 2741 => rom_word <= "11011000";
when 2742 => rom_word <= "01100000";
when 2743 => rom_word <= "00110000";
when 2744 => rom_word <= "00011000";
when 2745 => rom_word <= "11101100";
when 2746 => rom_word <= "10000101";
when 2747 => rom_word <= "11000000";
when 2748 => rom_word <= "01100000";
when 2749 => rom_word <= "11110001";
when 2753 => rom_word <= "00011000";
when 2754 => rom_word <= "00011100";
when 2755 => rom_word <= "00011001";
when 2756 => rom_word <= "10011001";
when 2757 => rom_word <= "11011000";
when 2758 => rom_word <= "01100000";
when 2759 => rom_word <= "00110000";
when 2760 => rom_word <= "10011001";
when 2761 => rom_word <= "11001101";
when 2762 => rom_word <= "01100101";
when 2763 => rom_word <= "11110011";
when 2764 => rom_word <= "10000001";
when 2765 => rom_word <= "10000001";
when 2770 => rom_word <= "01100000";
when 2771 => rom_word <= "01100000";
when 2773 => rom_word <= "01100000";
when 2774 => rom_word <= "01100000";
when 2775 => rom_word <= "01100000";
when 2776 => rom_word <= "11110000";
when 2777 => rom_word <= "11110000";
when 2778 => rom_word <= "11110000";
when 2779 => rom_word <= "01100000";
when 2789 => rom_word <= "10110001";
when 2790 => rom_word <= "11011000";
when 2791 => rom_word <= "01101100";
when 2792 => rom_word <= "11011000";
when 2793 => rom_word <= "10110001";
when 2805 => rom_word <= "01101100";
when 2806 => rom_word <= "11011000";
when 2807 => rom_word <= "10110001";
when 2808 => rom_word <= "11011000";
when 2809 => rom_word <= "01101100";
when 2816 => rom_word <= "00100010";
when 2817 => rom_word <= "10001000";
when 2818 => rom_word <= "00100010";
when 2819 => rom_word <= "10001000";
when 2820 => rom_word <= "00100010";
when 2821 => rom_word <= "10001000";
when 2822 => rom_word <= "00100010";
when 2823 => rom_word <= "10001000";
when 2824 => rom_word <= "00100010";
when 2825 => rom_word <= "10001000";
when 2826 => rom_word <= "00100010";
when 2827 => rom_word <= "10001000";
when 2828 => rom_word <= "00100010";
when 2829 => rom_word <= "10001000";
when 2830 => rom_word <= "00100010";
when 2831 => rom_word <= "10001000";
when 2832 => rom_word <= "10101010";
when 2833 => rom_word <= "01010101";
when 2834 => rom_word <= "10101010";
when 2835 => rom_word <= "01010101";
when 2836 => rom_word <= "10101010";
when 2837 => rom_word <= "01010101";
when 2838 => rom_word <= "10101010";
when 2839 => rom_word <= "01010101";
when 2840 => rom_word <= "10101010";
when 2841 => rom_word <= "01010101";
when 2842 => rom_word <= "10101010";
when 2843 => rom_word <= "01010101";
when 2844 => rom_word <= "10101010";
when 2845 => rom_word <= "01010101";
when 2846 => rom_word <= "10101010";
when 2847 => rom_word <= "01010101";
when 2848 => rom_word <= "11101110";
when 2849 => rom_word <= "10111011";
when 2850 => rom_word <= "11101110";
when 2851 => rom_word <= "10111011";
when 2852 => rom_word <= "11101110";
when 2853 => rom_word <= "10111011";
when 2854 => rom_word <= "11101110";
when 2855 => rom_word <= "10111011";
when 2856 => rom_word <= "11101110";
when 2857 => rom_word <= "10111011";
when 2858 => rom_word <= "11101110";
when 2859 => rom_word <= "10111011";
when 2860 => rom_word <= "11101110";
when 2861 => rom_word <= "10111011";
when 2862 => rom_word <= "11101110";
when 2863 => rom_word <= "10111011";
when 2864 => rom_word <= "01100000";
when 2865 => rom_word <= "01100000";
when 2866 => rom_word <= "01100000";
when 2867 => rom_word <= "01100000";
when 2868 => rom_word <= "01100000";
when 2869 => rom_word <= "01100000";
when 2870 => rom_word <= "01100000";
when 2871 => rom_word <= "01100000";
when 2872 => rom_word <= "01100000";
when 2873 => rom_word <= "01100000";
when 2874 => rom_word <= "01100000";
when 2875 => rom_word <= "01100000";
when 2876 => rom_word <= "01100000";
when 2877 => rom_word <= "01100000";
when 2878 => rom_word <= "01100000";
when 2879 => rom_word <= "01100000";
when 2880 => rom_word <= "01100000";
when 2881 => rom_word <= "01100000";
when 2882 => rom_word <= "01100000";
when 2883 => rom_word <= "01100000";
when 2884 => rom_word <= "01100000";
when 2885 => rom_word <= "01100000";
when 2886 => rom_word <= "01100000";
when 2887 => rom_word <= "01111100";
when 2888 => rom_word <= "01100000";
when 2889 => rom_word <= "01100000";
when 2890 => rom_word <= "01100000";
when 2891 => rom_word <= "01100000";
when 2892 => rom_word <= "01100000";
when 2893 => rom_word <= "01100000";
when 2894 => rom_word <= "01100000";
when 2895 => rom_word <= "01100000";
when 2896 => rom_word <= "01100000";
when 2897 => rom_word <= "01100000";
when 2898 => rom_word <= "01100000";
when 2899 => rom_word <= "01100000";
when 2900 => rom_word <= "01100000";
when 2901 => rom_word <= "01111100";
when 2902 => rom_word <= "01100000";
when 2903 => rom_word <= "01111100";
when 2904 => rom_word <= "01100000";
when 2905 => rom_word <= "01100000";
when 2906 => rom_word <= "01100000";
when 2907 => rom_word <= "01100000";
when 2908 => rom_word <= "01100000";
when 2909 => rom_word <= "01100000";
when 2910 => rom_word <= "01100000";
when 2911 => rom_word <= "01100000";
when 2912 => rom_word <= "10110001";
when 2913 => rom_word <= "10110001";
when 2914 => rom_word <= "10110001";
when 2915 => rom_word <= "10110001";
when 2916 => rom_word <= "10110001";
when 2917 => rom_word <= "10110001";
when 2918 => rom_word <= "10110001";
when 2919 => rom_word <= "10111101";
when 2920 => rom_word <= "10110001";
when 2921 => rom_word <= "10110001";
when 2922 => rom_word <= "10110001";
when 2923 => rom_word <= "10110001";
when 2924 => rom_word <= "10110001";
when 2925 => rom_word <= "10110001";
when 2926 => rom_word <= "10110001";
when 2927 => rom_word <= "10110001";
when 2935 => rom_word <= "11111101";
when 2936 => rom_word <= "10110001";
when 2937 => rom_word <= "10110001";
when 2938 => rom_word <= "10110001";
when 2939 => rom_word <= "10110001";
when 2940 => rom_word <= "10110001";
when 2941 => rom_word <= "10110001";
when 2942 => rom_word <= "10110001";
when 2943 => rom_word <= "10110001";
when 2949 => rom_word <= "01111100";
when 2950 => rom_word <= "01100000";
when 2951 => rom_word <= "01111100";
when 2952 => rom_word <= "01100000";
when 2953 => rom_word <= "01100000";
when 2954 => rom_word <= "01100000";
when 2955 => rom_word <= "01100000";
when 2956 => rom_word <= "01100000";
when 2957 => rom_word <= "01100000";
when 2958 => rom_word <= "01100000";
when 2959 => rom_word <= "01100000";
when 2960 => rom_word <= "10110001";
when 2961 => rom_word <= "10110001";
when 2962 => rom_word <= "10110001";
when 2963 => rom_word <= "10110001";
when 2964 => rom_word <= "10110001";
when 2965 => rom_word <= "10111101";
when 2966 => rom_word <= "10000001";
when 2967 => rom_word <= "10111101";
when 2968 => rom_word <= "10110001";
when 2969 => rom_word <= "10110001";
when 2970 => rom_word <= "10110001";
when 2971 => rom_word <= "10110001";
when 2972 => rom_word <= "10110001";
when 2973 => rom_word <= "10110001";
when 2974 => rom_word <= "10110001";
when 2975 => rom_word <= "10110001";
when 2976 => rom_word <= "10110001";
when 2977 => rom_word <= "10110001";
when 2978 => rom_word <= "10110001";
when 2979 => rom_word <= "10110001";
when 2980 => rom_word <= "10110001";
when 2981 => rom_word <= "10110001";
when 2982 => rom_word <= "10110001";
when 2983 => rom_word <= "10110001";
when 2984 => rom_word <= "10110001";
when 2985 => rom_word <= "10110001";
when 2986 => rom_word <= "10110001";
when 2987 => rom_word <= "10110001";
when 2988 => rom_word <= "10110001";
when 2989 => rom_word <= "10110001";
when 2990 => rom_word <= "10110001";
when 2991 => rom_word <= "10110001";
when 2997 => rom_word <= "11111101";
when 2998 => rom_word <= "10000001";
when 2999 => rom_word <= "10111101";
when 3000 => rom_word <= "10110001";
when 3001 => rom_word <= "10110001";
when 3002 => rom_word <= "10110001";
when 3003 => rom_word <= "10110001";
when 3004 => rom_word <= "10110001";
when 3005 => rom_word <= "10110001";
when 3006 => rom_word <= "10110001";
when 3007 => rom_word <= "10110001";
when 3008 => rom_word <= "10110001";
when 3009 => rom_word <= "10110001";
when 3010 => rom_word <= "10110001";
when 3011 => rom_word <= "10110001";
when 3012 => rom_word <= "10110001";
when 3013 => rom_word <= "10111101";
when 3014 => rom_word <= "10000001";
when 3015 => rom_word <= "11111101";
when 3024 => rom_word <= "10110001";
when 3025 => rom_word <= "10110001";
when 3026 => rom_word <= "10110001";
when 3027 => rom_word <= "10110001";
when 3028 => rom_word <= "10110001";
when 3029 => rom_word <= "10110001";
when 3030 => rom_word <= "10110001";
when 3031 => rom_word <= "11111101";
when 3040 => rom_word <= "01100000";
when 3041 => rom_word <= "01100000";
when 3042 => rom_word <= "01100000";
when 3043 => rom_word <= "01100000";
when 3044 => rom_word <= "01100000";
when 3045 => rom_word <= "01111100";
when 3046 => rom_word <= "01100000";
when 3047 => rom_word <= "01111100";
when 3063 => rom_word <= "01111100";
when 3064 => rom_word <= "01100000";
when 3065 => rom_word <= "01100000";
when 3066 => rom_word <= "01100000";
when 3067 => rom_word <= "01100000";
when 3068 => rom_word <= "01100000";
when 3069 => rom_word <= "01100000";
when 3070 => rom_word <= "01100000";
when 3071 => rom_word <= "01100000";
when 3072 => rom_word <= "01100000";
when 3073 => rom_word <= "01100000";
when 3074 => rom_word <= "01100000";
when 3075 => rom_word <= "01100000";
when 3076 => rom_word <= "01100000";
when 3077 => rom_word <= "01100000";
when 3078 => rom_word <= "01100000";
when 3079 => rom_word <= "11100011";
when 3088 => rom_word <= "01100000";
when 3089 => rom_word <= "01100000";
when 3090 => rom_word <= "01100000";
when 3091 => rom_word <= "01100000";
when 3092 => rom_word <= "01100000";
when 3093 => rom_word <= "01100000";
when 3094 => rom_word <= "01100000";
when 3095 => rom_word <= "11111111";
when 3111 => rom_word <= "11111111";
when 3112 => rom_word <= "01100000";
when 3113 => rom_word <= "01100000";
when 3114 => rom_word <= "01100000";
when 3115 => rom_word <= "01100000";
when 3116 => rom_word <= "01100000";
when 3117 => rom_word <= "01100000";
when 3118 => rom_word <= "01100000";
when 3119 => rom_word <= "01100000";
when 3120 => rom_word <= "01100000";
when 3121 => rom_word <= "01100000";
when 3122 => rom_word <= "01100000";
when 3123 => rom_word <= "01100000";
when 3124 => rom_word <= "01100000";
when 3125 => rom_word <= "01100000";
when 3126 => rom_word <= "01100000";
when 3127 => rom_word <= "11100011";
when 3128 => rom_word <= "01100000";
when 3129 => rom_word <= "01100000";
when 3130 => rom_word <= "01100000";
when 3131 => rom_word <= "01100000";
when 3132 => rom_word <= "01100000";
when 3133 => rom_word <= "01100000";
when 3134 => rom_word <= "01100000";
when 3135 => rom_word <= "01100000";
when 3143 => rom_word <= "11111111";
when 3152 => rom_word <= "01100000";
when 3153 => rom_word <= "01100000";
when 3154 => rom_word <= "01100000";
when 3155 => rom_word <= "01100000";
when 3156 => rom_word <= "01100000";
when 3157 => rom_word <= "01100000";
when 3158 => rom_word <= "01100000";
when 3159 => rom_word <= "11111111";
when 3160 => rom_word <= "01100000";
when 3161 => rom_word <= "01100000";
when 3162 => rom_word <= "01100000";
when 3163 => rom_word <= "01100000";
when 3164 => rom_word <= "01100000";
when 3165 => rom_word <= "01100000";
when 3166 => rom_word <= "01100000";
when 3167 => rom_word <= "01100000";
when 3168 => rom_word <= "01100000";
when 3169 => rom_word <= "01100000";
when 3170 => rom_word <= "01100000";
when 3171 => rom_word <= "01100000";
when 3172 => rom_word <= "01100000";
when 3173 => rom_word <= "11100011";
when 3174 => rom_word <= "01100000";
when 3175 => rom_word <= "11100011";
when 3176 => rom_word <= "01100000";
when 3177 => rom_word <= "01100000";
when 3178 => rom_word <= "01100000";
when 3179 => rom_word <= "01100000";
when 3180 => rom_word <= "01100000";
when 3181 => rom_word <= "01100000";
when 3182 => rom_word <= "01100000";
when 3183 => rom_word <= "01100000";
when 3184 => rom_word <= "10110001";
when 3185 => rom_word <= "10110001";
when 3186 => rom_word <= "10110001";
when 3187 => rom_word <= "10110001";
when 3188 => rom_word <= "10110001";
when 3189 => rom_word <= "10110001";
when 3190 => rom_word <= "10110001";
when 3191 => rom_word <= "10110011";
when 3192 => rom_word <= "10110001";
when 3193 => rom_word <= "10110001";
when 3194 => rom_word <= "10110001";
when 3195 => rom_word <= "10110001";
when 3196 => rom_word <= "10110001";
when 3197 => rom_word <= "10110001";
when 3198 => rom_word <= "10110001";
when 3199 => rom_word <= "10110001";
when 3200 => rom_word <= "10110001";
when 3201 => rom_word <= "10110001";
when 3202 => rom_word <= "10110001";
when 3203 => rom_word <= "10110001";
when 3204 => rom_word <= "10110001";
when 3205 => rom_word <= "10110011";
when 3206 => rom_word <= "00110000";
when 3207 => rom_word <= "11110011";
when 3221 => rom_word <= "11110011";
when 3222 => rom_word <= "00110000";
when 3223 => rom_word <= "10110011";
when 3224 => rom_word <= "10110001";
when 3225 => rom_word <= "10110001";
when 3226 => rom_word <= "10110001";
when 3227 => rom_word <= "10110001";
when 3228 => rom_word <= "10110001";
when 3229 => rom_word <= "10110001";
when 3230 => rom_word <= "10110001";
when 3231 => rom_word <= "10110001";
when 3232 => rom_word <= "10110001";
when 3233 => rom_word <= "10110001";
when 3234 => rom_word <= "10110001";
when 3235 => rom_word <= "10110001";
when 3236 => rom_word <= "10110001";
when 3237 => rom_word <= "10111111";
when 3239 => rom_word <= "11111111";
when 3253 => rom_word <= "11111111";
when 3255 => rom_word <= "10111111";
when 3256 => rom_word <= "10110001";
when 3257 => rom_word <= "10110001";
when 3258 => rom_word <= "10110001";
when 3259 => rom_word <= "10110001";
when 3260 => rom_word <= "10110001";
when 3261 => rom_word <= "10110001";
when 3262 => rom_word <= "10110001";
when 3263 => rom_word <= "10110001";
when 3264 => rom_word <= "10110001";
when 3265 => rom_word <= "10110001";
when 3266 => rom_word <= "10110001";
when 3267 => rom_word <= "10110001";
when 3268 => rom_word <= "10110001";
when 3269 => rom_word <= "10110011";
when 3270 => rom_word <= "00110000";
when 3271 => rom_word <= "10110011";
when 3272 => rom_word <= "10110001";
when 3273 => rom_word <= "10110001";
when 3274 => rom_word <= "10110001";
when 3275 => rom_word <= "10110001";
when 3276 => rom_word <= "10110001";
when 3277 => rom_word <= "10110001";
when 3278 => rom_word <= "10110001";
when 3279 => rom_word <= "10110001";
when 3285 => rom_word <= "11111111";
when 3287 => rom_word <= "11111111";
when 3296 => rom_word <= "10110001";
when 3297 => rom_word <= "10110001";
when 3298 => rom_word <= "10110001";
when 3299 => rom_word <= "10110001";
when 3300 => rom_word <= "10110001";
when 3301 => rom_word <= "10111111";
when 3303 => rom_word <= "10111111";
when 3304 => rom_word <= "10110001";
when 3305 => rom_word <= "10110001";
when 3306 => rom_word <= "10110001";
when 3307 => rom_word <= "10110001";
when 3308 => rom_word <= "10110001";
when 3309 => rom_word <= "10110001";
when 3310 => rom_word <= "10110001";
when 3311 => rom_word <= "10110001";
when 3312 => rom_word <= "01100000";
when 3313 => rom_word <= "01100000";
when 3314 => rom_word <= "01100000";
when 3315 => rom_word <= "01100000";
when 3316 => rom_word <= "01100000";
when 3317 => rom_word <= "11111111";
when 3319 => rom_word <= "11111111";
when 3328 => rom_word <= "10110001";
when 3329 => rom_word <= "10110001";
when 3330 => rom_word <= "10110001";
when 3331 => rom_word <= "10110001";
when 3332 => rom_word <= "10110001";
when 3333 => rom_word <= "10110001";
when 3334 => rom_word <= "10110001";
when 3335 => rom_word <= "11111111";
when 3349 => rom_word <= "11111111";
when 3351 => rom_word <= "11111111";
when 3352 => rom_word <= "01100000";
when 3353 => rom_word <= "01100000";
when 3354 => rom_word <= "01100000";
when 3355 => rom_word <= "01100000";
when 3356 => rom_word <= "01100000";
when 3357 => rom_word <= "01100000";
when 3358 => rom_word <= "01100000";
when 3359 => rom_word <= "01100000";
when 3367 => rom_word <= "11111111";
when 3368 => rom_word <= "10110001";
when 3369 => rom_word <= "10110001";
when 3370 => rom_word <= "10110001";
when 3371 => rom_word <= "10110001";
when 3372 => rom_word <= "10110001";
when 3373 => rom_word <= "10110001";
when 3374 => rom_word <= "10110001";
when 3375 => rom_word <= "10110001";
when 3376 => rom_word <= "10110001";
when 3377 => rom_word <= "10110001";
when 3378 => rom_word <= "10110001";
when 3379 => rom_word <= "10110001";
when 3380 => rom_word <= "10110001";
when 3381 => rom_word <= "10110001";
when 3382 => rom_word <= "10110001";
when 3383 => rom_word <= "11110011";
when 3392 => rom_word <= "01100000";
when 3393 => rom_word <= "01100000";
when 3394 => rom_word <= "01100000";
when 3395 => rom_word <= "01100000";
when 3396 => rom_word <= "01100000";
when 3397 => rom_word <= "11100011";
when 3398 => rom_word <= "01100000";
when 3399 => rom_word <= "11100011";
when 3413 => rom_word <= "11100011";
when 3414 => rom_word <= "01100000";
when 3415 => rom_word <= "11100011";
when 3416 => rom_word <= "01100000";
when 3417 => rom_word <= "01100000";
when 3418 => rom_word <= "01100000";
when 3419 => rom_word <= "01100000";
when 3420 => rom_word <= "01100000";
when 3421 => rom_word <= "01100000";
when 3422 => rom_word <= "01100000";
when 3423 => rom_word <= "01100000";
when 3431 => rom_word <= "11110011";
when 3432 => rom_word <= "10110001";
when 3433 => rom_word <= "10110001";
when 3434 => rom_word <= "10110001";
when 3435 => rom_word <= "10110001";
when 3436 => rom_word <= "10110001";
when 3437 => rom_word <= "10110001";
when 3438 => rom_word <= "10110001";
when 3439 => rom_word <= "10110001";
when 3440 => rom_word <= "10110001";
when 3441 => rom_word <= "10110001";
when 3442 => rom_word <= "10110001";
when 3443 => rom_word <= "10110001";
when 3444 => rom_word <= "10110001";
when 3445 => rom_word <= "10110001";
when 3446 => rom_word <= "10110001";
when 3447 => rom_word <= "11111111";
when 3448 => rom_word <= "10110001";
when 3449 => rom_word <= "10110001";
when 3450 => rom_word <= "10110001";
when 3451 => rom_word <= "10110001";
when 3452 => rom_word <= "10110001";
when 3453 => rom_word <= "10110001";
when 3454 => rom_word <= "10110001";
when 3455 => rom_word <= "10110001";
when 3456 => rom_word <= "01100000";
when 3457 => rom_word <= "01100000";
when 3458 => rom_word <= "01100000";
when 3459 => rom_word <= "01100000";
when 3460 => rom_word <= "01100000";
when 3461 => rom_word <= "11111111";
when 3462 => rom_word <= "01100000";
when 3463 => rom_word <= "11111111";
when 3464 => rom_word <= "01100000";
when 3465 => rom_word <= "01100000";
when 3466 => rom_word <= "01100000";
when 3467 => rom_word <= "01100000";
when 3468 => rom_word <= "01100000";
when 3469 => rom_word <= "01100000";
when 3470 => rom_word <= "01100000";
when 3471 => rom_word <= "01100000";
when 3472 => rom_word <= "01100000";
when 3473 => rom_word <= "01100000";
when 3474 => rom_word <= "01100000";
when 3475 => rom_word <= "01100000";
when 3476 => rom_word <= "01100000";
when 3477 => rom_word <= "01100000";
when 3478 => rom_word <= "01100000";
when 3479 => rom_word <= "01111100";
when 3495 => rom_word <= "11100011";
when 3496 => rom_word <= "01100000";
when 3497 => rom_word <= "01100000";
when 3498 => rom_word <= "01100000";
when 3499 => rom_word <= "01100000";
when 3500 => rom_word <= "01100000";
when 3501 => rom_word <= "01100000";
when 3502 => rom_word <= "01100000";
when 3503 => rom_word <= "01100000";
when 3504 => rom_word <= "11111111";
when 3505 => rom_word <= "11111111";
when 3506 => rom_word <= "11111111";
when 3507 => rom_word <= "11111111";
when 3508 => rom_word <= "11111111";
when 3509 => rom_word <= "11111111";
when 3510 => rom_word <= "11111111";
when 3511 => rom_word <= "11111111";
when 3512 => rom_word <= "11111111";
when 3513 => rom_word <= "11111111";
when 3514 => rom_word <= "11111111";
when 3515 => rom_word <= "11111111";
when 3516 => rom_word <= "11111111";
when 3517 => rom_word <= "11111111";
when 3518 => rom_word <= "11111111";
when 3519 => rom_word <= "11111111";
when 3527 => rom_word <= "11111111";
when 3528 => rom_word <= "11111111";
when 3529 => rom_word <= "11111111";
when 3530 => rom_word <= "11111111";
when 3531 => rom_word <= "11111111";
when 3532 => rom_word <= "11111111";
when 3533 => rom_word <= "11111111";
when 3534 => rom_word <= "11111111";
when 3535 => rom_word <= "11111111";
when 3536 => rom_word <= "00111100";
when 3537 => rom_word <= "00111100";
when 3538 => rom_word <= "00111100";
when 3539 => rom_word <= "00111100";
when 3540 => rom_word <= "00111100";
when 3541 => rom_word <= "00111100";
when 3542 => rom_word <= "00111100";
when 3543 => rom_word <= "00111100";
when 3544 => rom_word <= "00111100";
when 3545 => rom_word <= "00111100";
when 3546 => rom_word <= "00111100";
when 3547 => rom_word <= "00111100";
when 3548 => rom_word <= "00111100";
when 3549 => rom_word <= "00111100";
when 3550 => rom_word <= "00111100";
when 3551 => rom_word <= "00111100";
when 3552 => rom_word <= "11000011";
when 3553 => rom_word <= "11000011";
when 3554 => rom_word <= "11000011";
when 3555 => rom_word <= "11000011";
when 3556 => rom_word <= "11000011";
when 3557 => rom_word <= "11000011";
when 3558 => rom_word <= "11000011";
when 3559 => rom_word <= "11000011";
when 3560 => rom_word <= "11000011";
when 3561 => rom_word <= "11000011";
when 3562 => rom_word <= "11000011";
when 3563 => rom_word <= "11000011";
when 3564 => rom_word <= "11000011";
when 3565 => rom_word <= "11000011";
when 3566 => rom_word <= "11000011";
when 3567 => rom_word <= "11000011";
when 3568 => rom_word <= "11111111";
when 3569 => rom_word <= "11111111";
when 3570 => rom_word <= "11111111";
when 3571 => rom_word <= "11111111";
when 3572 => rom_word <= "11111111";
when 3573 => rom_word <= "11111111";
when 3574 => rom_word <= "11111111";
when 3589 => rom_word <= "10111001";
when 3590 => rom_word <= "11101100";
when 3591 => rom_word <= "01101100";
when 3592 => rom_word <= "01101100";
when 3593 => rom_word <= "01101100";
when 3594 => rom_word <= "11101100";
when 3595 => rom_word <= "10111001";
when 3602 => rom_word <= "11111000";
when 3603 => rom_word <= "11001101";
when 3604 => rom_word <= "10001101";
when 3605 => rom_word <= "11001101";
when 3606 => rom_word <= "11101100";
when 3607 => rom_word <= "01001100";
when 3608 => rom_word <= "11001100";
when 3609 => rom_word <= "11001100";
when 3610 => rom_word <= "11001100";
when 3611 => rom_word <= "01111100";
when 3612 => rom_word <= "00001100";
when 3613 => rom_word <= "00001100";
when 3618 => rom_word <= "11111101";
when 3619 => rom_word <= "10001101";
when 3620 => rom_word <= "10001101";
when 3621 => rom_word <= "00001100";
when 3622 => rom_word <= "00001100";
when 3623 => rom_word <= "00001100";
when 3624 => rom_word <= "00001100";
when 3625 => rom_word <= "00001100";
when 3626 => rom_word <= "00001100";
when 3627 => rom_word <= "00001100";
when 3637 => rom_word <= "11111101";
when 3638 => rom_word <= "11011000";
when 3639 => rom_word <= "11011000";
when 3640 => rom_word <= "11011000";
when 3641 => rom_word <= "11011000";
when 3642 => rom_word <= "11011000";
when 3643 => rom_word <= "11011000";
when 3650 => rom_word <= "11111101";
when 3651 => rom_word <= "10001101";
when 3652 => rom_word <= "00011000";
when 3653 => rom_word <= "00110000";
when 3654 => rom_word <= "01100000";
when 3655 => rom_word <= "01100000";
when 3656 => rom_word <= "00110000";
when 3657 => rom_word <= "00011000";
when 3658 => rom_word <= "10001101";
when 3659 => rom_word <= "11111101";
when 3669 => rom_word <= "11111001";
when 3670 => rom_word <= "01101100";
when 3671 => rom_word <= "01101100";
when 3672 => rom_word <= "01101100";
when 3673 => rom_word <= "01101100";
when 3674 => rom_word <= "01101100";
when 3675 => rom_word <= "00111000";
when 3685 => rom_word <= "10011001";
when 3686 => rom_word <= "10011001";
when 3687 => rom_word <= "10011001";
when 3688 => rom_word <= "10011001";
when 3689 => rom_word <= "10011001";
when 3690 => rom_word <= "10011001";
when 3691 => rom_word <= "11111000";
when 3692 => rom_word <= "00011000";
when 3693 => rom_word <= "00011000";
when 3694 => rom_word <= "00001100";
when 3700 => rom_word <= "10111001";
when 3701 => rom_word <= "11101100";
when 3702 => rom_word <= "01100000";
when 3703 => rom_word <= "01100000";
when 3704 => rom_word <= "01100000";
when 3705 => rom_word <= "01100000";
when 3706 => rom_word <= "01100000";
when 3707 => rom_word <= "01100000";
when 3714 => rom_word <= "11111001";
when 3715 => rom_word <= "01100000";
when 3716 => rom_word <= "11110000";
when 3717 => rom_word <= "10011001";
when 3718 => rom_word <= "10011001";
when 3719 => rom_word <= "10011001";
when 3720 => rom_word <= "10011001";
when 3721 => rom_word <= "11110000";
when 3722 => rom_word <= "01100000";
when 3723 => rom_word <= "11111001";
when 3730 => rom_word <= "01110000";
when 3731 => rom_word <= "11011000";
when 3732 => rom_word <= "10001101";
when 3733 => rom_word <= "10001101";
when 3734 => rom_word <= "11111101";
when 3735 => rom_word <= "10001101";
when 3736 => rom_word <= "10001101";
when 3737 => rom_word <= "10001101";
when 3738 => rom_word <= "11011000";
when 3739 => rom_word <= "01110000";
when 3746 => rom_word <= "01110000";
when 3747 => rom_word <= "11011000";
when 3748 => rom_word <= "10001101";
when 3749 => rom_word <= "10001101";
when 3750 => rom_word <= "10001101";
when 3751 => rom_word <= "11011000";
when 3752 => rom_word <= "11011000";
when 3753 => rom_word <= "11011000";
when 3754 => rom_word <= "11011000";
when 3755 => rom_word <= "11011101";
when 3762 => rom_word <= "11100001";
when 3763 => rom_word <= "00110000";
when 3764 => rom_word <= "01100000";
when 3765 => rom_word <= "11000000";
when 3766 => rom_word <= "11110001";
when 3767 => rom_word <= "10011001";
when 3768 => rom_word <= "10011001";
when 3769 => rom_word <= "10011001";
when 3770 => rom_word <= "10011001";
when 3771 => rom_word <= "11110000";
when 3781 => rom_word <= "11111001";
when 3782 => rom_word <= "01101111";
when 3783 => rom_word <= "01101111";
when 3784 => rom_word <= "01101111";
when 3785 => rom_word <= "11111001";
when 3795 => rom_word <= "00000011";
when 3796 => rom_word <= "10000001";
when 3797 => rom_word <= "11111001";
when 3798 => rom_word <= "01101111";
when 3799 => rom_word <= "01101111";
when 3800 => rom_word <= "00111111";
when 3801 => rom_word <= "11111001";
when 3802 => rom_word <= "00011000";
when 3803 => rom_word <= "00001100";
when 3810 => rom_word <= "11100000";
when 3811 => rom_word <= "00110000";
when 3812 => rom_word <= "00011000";
when 3813 => rom_word <= "00011000";
when 3814 => rom_word <= "11111000";
when 3815 => rom_word <= "00011000";
when 3816 => rom_word <= "00011000";
when 3817 => rom_word <= "00011000";
when 3818 => rom_word <= "00110000";
when 3819 => rom_word <= "11100000";
when 3827 => rom_word <= "11111000";
when 3828 => rom_word <= "10001101";
when 3829 => rom_word <= "10001101";
when 3830 => rom_word <= "10001101";
when 3831 => rom_word <= "10001101";
when 3832 => rom_word <= "10001101";
when 3833 => rom_word <= "10001101";
when 3834 => rom_word <= "10001101";
when 3835 => rom_word <= "10001101";
when 3844 => rom_word <= "11111101";
when 3847 => rom_word <= "11111101";
when 3850 => rom_word <= "11111101";
when 3860 => rom_word <= "01100000";
when 3861 => rom_word <= "01100000";
when 3862 => rom_word <= "11111001";
when 3863 => rom_word <= "01100000";
when 3864 => rom_word <= "01100000";
when 3867 => rom_word <= "11111001";
when 3875 => rom_word <= "00110000";
when 3876 => rom_word <= "01100000";
when 3877 => rom_word <= "11000000";
when 3878 => rom_word <= "10000001";
when 3879 => rom_word <= "11000000";
when 3880 => rom_word <= "01100000";
when 3881 => rom_word <= "00110000";
when 3883 => rom_word <= "11111001";
when 3891 => rom_word <= "11000000";
when 3892 => rom_word <= "01100000";
when 3893 => rom_word <= "00110000";
when 3894 => rom_word <= "00011000";
when 3895 => rom_word <= "00110000";
when 3896 => rom_word <= "01100000";
when 3897 => rom_word <= "11000000";
when 3899 => rom_word <= "11111001";
when 3906 => rom_word <= "11000001";
when 3907 => rom_word <= "01100011";
when 3908 => rom_word <= "01100011";
when 3909 => rom_word <= "01100000";
when 3910 => rom_word <= "01100000";
when 3911 => rom_word <= "01100000";
when 3912 => rom_word <= "01100000";
when 3913 => rom_word <= "01100000";
when 3914 => rom_word <= "01100000";
when 3915 => rom_word <= "01100000";
when 3916 => rom_word <= "01100000";
when 3917 => rom_word <= "01100000";
when 3918 => rom_word <= "01100000";
when 3919 => rom_word <= "01100000";
when 3920 => rom_word <= "01100000";
when 3921 => rom_word <= "01100000";
when 3922 => rom_word <= "01100000";
when 3923 => rom_word <= "01100000";
when 3924 => rom_word <= "01100000";
when 3925 => rom_word <= "01100000";
when 3926 => rom_word <= "01100000";
when 3927 => rom_word <= "01100000";
when 3928 => rom_word <= "01100000";
when 3929 => rom_word <= "01101100";
when 3930 => rom_word <= "01101100";
when 3931 => rom_word <= "01101100";
when 3932 => rom_word <= "00111000";
when 3941 => rom_word <= "01100000";
when 3943 => rom_word <= "11111001";
when 3945 => rom_word <= "01100000";
when 3957 => rom_word <= "10111001";
when 3958 => rom_word <= "11101100";
when 3960 => rom_word <= "10111001";
when 3961 => rom_word <= "11101100";
when 3969 => rom_word <= "01110000";
when 3970 => rom_word <= "11011000";
when 3971 => rom_word <= "11011000";
when 3972 => rom_word <= "01110000";
when 3991 => rom_word <= "01100000";
when 3992 => rom_word <= "01100000";
when 4007 => rom_word <= "01100000";
when 4017 => rom_word <= "11000011";
when 4018 => rom_word <= "11000000";
when 4019 => rom_word <= "11000000";
when 4020 => rom_word <= "11000000";
when 4021 => rom_word <= "11000000";
when 4022 => rom_word <= "11000000";
when 4023 => rom_word <= "11011100";
when 4024 => rom_word <= "11011000";
when 4025 => rom_word <= "11011000";
when 4026 => rom_word <= "11110000";
when 4027 => rom_word <= "11100000";
when 4033 => rom_word <= "11011000";
when 4034 => rom_word <= "10110001";
when 4035 => rom_word <= "10110001";
when 4036 => rom_word <= "10110001";
when 4037 => rom_word <= "10110001";
when 4038 => rom_word <= "10110001";
when 4049 => rom_word <= "11110000";
when 4050 => rom_word <= "10011001";
when 4051 => rom_word <= "11000000";
when 4052 => rom_word <= "01100000";
when 4053 => rom_word <= "00110001";
when 4054 => rom_word <= "11111001";
when 4068 => rom_word <= "11111001";
when 4069 => rom_word <= "11111001";
when 4070 => rom_word <= "11111001";
when 4071 => rom_word <= "11111001";
when 4072 => rom_word <= "11111001";
when 4073 => rom_word <= "11111001";
when 4074 => rom_word <= "11111001";
when others => rom_word <= X"00";
end case;
end if;
end process;
end rtl; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
entity mem is
port(clk, read, write : in std_logic;
S_MAR_F : in std_logic_vector(7 downto 0);
S_MDR_F : in std_logic_vector(15 downto 0);
data : out std_logic_vector(15 downto 0));
end mem;
architecture BEHAVIOR of mem is
subtype RAM_WORD is std_logic_vector(15 downto 0);
type RAM_TYPE is array (0 to 255) of RAM_WORD;
impure function init_ram_file(RAM_FILE_NAME : in string) return RAM_TYPE is
file RAM_FILE : TEXT is in RAM_FILE_NAME;
variable RAM_FILE_LINE : line;
variable RAM_DIN : RAM_TYPE;
begin
for I in RAM_TYPE'range loop
readline(RAM_FILE, RAM_FILE_LINE);
hread(RAM_FILE_LINE, RAM_DIN(I));
end loop;
return RAM_DIN;
end function;
signal RAM_DATA : RAM_TYPE := init_ram_file("mem_16_32.txt");
signal addr : std_logic_vector(7 downto 0);
begin
data <= RAM_DATA(conv_integer(addr));
process(clk) begin
if clk'event and clk = '1' then
if write = '1' then
RAM_DATA(conv_integer(S_MAR_F)) <= S_MDR_F;
elsif read = '1' then
addr <= S_MAR_F;
else
null;
end if;
end if;
end process;
end BEHAVIOR;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity SHMU is
generic (
router_fault_info_width: integer := 5;
network_size: integer := 2
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet_E_0, healthy_packet_E_0, faulty_packet_S_0, healthy_packet_S_0, faulty_packet_L_0, healthy_packet_L_0: in std_logic;
faulty_packet_W_1, healthy_packet_W_1, faulty_packet_S_1, healthy_packet_S_1, faulty_packet_L_1, healthy_packet_L_1: in std_logic;
faulty_packet_E_2, healthy_packet_E_2, faulty_packet_N_2, healthy_packet_N_2, faulty_packet_L_2, healthy_packet_L_2: in std_logic;
faulty_packet_W_3, healthy_packet_W_3, faulty_packet_N_3, healthy_packet_N_3, faulty_packet_L_3, healthy_packet_L_3: in std_logic
);
end SHMU;
architecture behavior of SHMU is
type SHM_type is array (0 to network_size*network_size-1) of std_logic_vector(router_fault_info_width-1 downto 0); --memory
signal SHM : SHM_type ;
signal Healthy_N_0, Healthy_E_0, Healthy_W_0, Healthy_S_0, Healthy_L_0: std_logic;
signal Healthy_N_1, Healthy_E_1, Healthy_W_1, Healthy_S_1, Healthy_L_1: std_logic;
signal Healthy_N_2, Healthy_E_2, Healthy_W_2, Healthy_S_2, Healthy_L_2: std_logic;
signal Healthy_N_3, Healthy_E_3, Healthy_W_3, Healthy_S_3, Healthy_L_3: std_logic;
signal Intermittent_N_0, Intermittent_E_0, Intermittent_W_0, Intermittent_S_0, Intermittent_L_0: std_logic;
signal Intermittent_N_1, Intermittent_E_1, Intermittent_W_1, Intermittent_S_1, Intermittent_L_1: std_logic;
signal Intermittent_N_2, Intermittent_E_2, Intermittent_W_2, Intermittent_S_2, Intermittent_L_2: std_logic;
signal Intermittent_N_3, Intermittent_E_3, Intermittent_W_3, Intermittent_S_3, Intermittent_L_3: std_logic;
signal Faulty_N_0, Faulty_E_0, Faulty_W_0, Faulty_S_0, Faulty_L_0: std_logic;
signal Faulty_N_1, Faulty_E_1, Faulty_W_1, Faulty_S_1, Faulty_L_1: std_logic;
signal Faulty_N_2, Faulty_E_2, Faulty_W_2, Faulty_S_2, Faulty_L_2: std_logic;
signal Faulty_N_3, Faulty_E_3, Faulty_W_3, Faulty_S_3, Faulty_L_3: std_logic;
component counter_threshold_classifier is
generic (
counter_depth: integer := 8;
healthy_counter_threshold: integer := 4;
faulty_counter_threshold: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet, Healthy_packet: in std_logic;
Healthy, Intermittent, Faulty:out std_logic
);
end component;
begin
-- these are the signals that do not actually exist because of the topology
Faulty_N_0 <= '0';
Faulty_W_0 <= '0';
Faulty_N_1 <= '0';
Faulty_E_1 <= '0';
Faulty_S_2 <= '0';
Faulty_W_2 <= '0';
Faulty_S_3 <= '0';
Faulty_E_3 <= '0';
CT_0_E: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map( reset => reset,
clk => clk,
faulty_packet => faulty_packet_E_0, Healthy_packet => healthy_packet_E_0,
Healthy => Healthy_E_0, Intermittent => Intermittent_E_0, Faulty => Faulty_E_0);
CT_0_S: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map( reset => reset,
clk => clk,
faulty_packet => faulty_packet_S_0, Healthy_packet => healthy_packet_S_0,
Healthy => Healthy_S_0, Intermittent => Intermittent_S_0, Faulty => Faulty_S_0);
CT_0_L: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map( reset => reset,
clk => clk,
faulty_packet => faulty_packet_L_0, Healthy_packet => healthy_packet_L_0,
Healthy => Healthy_L_0, Intermittent => Intermittent_L_0, Faulty => Faulty_L_0);
------------------------------------------------------------------------------------------------------------
CT_1_W: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_W_1, Healthy_packet => healthy_packet_W_1,
Healthy => Healthy_W_1, Intermittent => Intermittent_W_1, Faulty => Faulty_W_1);
CT_1_S: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_S_1, Healthy_packet => healthy_packet_S_1,
Healthy => Healthy_S_1, Intermittent => Intermittent_S_1, Faulty => Faulty_S_1);
CT_1_L: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_L_1, Healthy_packet => healthy_packet_L_1,
Healthy => Healthy_L_1, Intermittent => Intermittent_L_1, Faulty => Faulty_L_1);
------------------------------------------------------------------------------------------------------------
CT_2_N: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_N_2, Healthy_packet => healthy_packet_N_2,
Healthy => Healthy_N_2, Intermittent => Intermittent_N_2, Faulty => Faulty_N_2);
CT_2_E: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_E_2, Healthy_packet => healthy_packet_E_2,
Healthy => Healthy_E_2, Intermittent => Intermittent_E_2, Faulty => Faulty_E_2);
CT_2_L: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_L_2, Healthy_packet => healthy_packet_L_2,
Healthy => Healthy_L_2, Intermittent => Intermittent_L_2, Faulty => Faulty_L_2);
------------------------------------------------------------------------------------------------------------
CT_3_N: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_N_3, Healthy_packet => healthy_packet_N_3,
Healthy => Healthy_N_3, Intermittent => Intermittent_N_3, Faulty => Faulty_N_3);
CT_3_W: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_W_3, Healthy_packet => healthy_packet_W_3,
Healthy => Healthy_W_3, Intermittent => Intermittent_W_3, Faulty => Faulty_W_3);
CT_3_L: counter_threshold_classifier generic map(counter_depth => 8, healthy_counter_threshold => 20, faulty_counter_threshold => 1)
port map ( reset => reset,
clk => clk,
faulty_packet => faulty_packet_L_3, Healthy_packet => healthy_packet_L_3,
Healthy => Healthy_L_3, Intermittent => Intermittent_L_3, Faulty => Faulty_L_3);
process(clk, reset)begin
if reset = '0' then
SHM <= (others => (others => '0'));
elsif clk'event and clk = '1' then
SHM(0) <= Faulty_N_0 & Faulty_E_0 & Faulty_W_0 & Faulty_S_0 & Faulty_L_0;
SHM(1) <= Faulty_N_1 & Faulty_E_1 & Faulty_W_1 & Faulty_S_1 & Faulty_L_1;
SHM(2) <= Faulty_N_2 & Faulty_E_2 & Faulty_W_2 & Faulty_S_2 & Faulty_L_2;
SHM(3) <= Faulty_N_3 & Faulty_E_3 & Faulty_W_3 & Faulty_S_3 & Faulty_L_3;
end if;
end process;
END; |
architecture RTL of FIFO is
begin
process
begin
LOOP_LABEL : loop
end loop;
-- Violations below
LOOP_LABEL : loop
end loop;
end process;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2286.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p14n01i02286ent IS
END c07s02b06x00p14n01i02286ent;
ARCHITECTURE c07s02b06x00p14n01i02286arch OF c07s02b06x00p14n01i02286ent IS
BEGIN
TESTING: PROCESS
type PHYS is range 1 to 100000
units
A;
B = 100 A;
C = 100 B;
end units;
function F_PHYS ( A : PHYS ) return PHYS is
begin
return A;
end F_PHYS;
variable P : PHYS := 1 B;
variable Z : integer := time'(1 min) / time'(27 sec);
BEGIN
Z := P / F_PHYS(1 A);
assert NOT(Z = 100)
report "***PASSED TEST: c07s02b06x00p14n01i02286"
severity NOTE;
assert (Z = 100)
report "***FAILED TEST: c07s02b06x00p14n01i02286 - Incompatible operands: May not be multiplied or divided."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p14n01i02286arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2286.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p14n01i02286ent IS
END c07s02b06x00p14n01i02286ent;
ARCHITECTURE c07s02b06x00p14n01i02286arch OF c07s02b06x00p14n01i02286ent IS
BEGIN
TESTING: PROCESS
type PHYS is range 1 to 100000
units
A;
B = 100 A;
C = 100 B;
end units;
function F_PHYS ( A : PHYS ) return PHYS is
begin
return A;
end F_PHYS;
variable P : PHYS := 1 B;
variable Z : integer := time'(1 min) / time'(27 sec);
BEGIN
Z := P / F_PHYS(1 A);
assert NOT(Z = 100)
report "***PASSED TEST: c07s02b06x00p14n01i02286"
severity NOTE;
assert (Z = 100)
report "***FAILED TEST: c07s02b06x00p14n01i02286 - Incompatible operands: May not be multiplied or divided."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p14n01i02286arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2286.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p14n01i02286ent IS
END c07s02b06x00p14n01i02286ent;
ARCHITECTURE c07s02b06x00p14n01i02286arch OF c07s02b06x00p14n01i02286ent IS
BEGIN
TESTING: PROCESS
type PHYS is range 1 to 100000
units
A;
B = 100 A;
C = 100 B;
end units;
function F_PHYS ( A : PHYS ) return PHYS is
begin
return A;
end F_PHYS;
variable P : PHYS := 1 B;
variable Z : integer := time'(1 min) / time'(27 sec);
BEGIN
Z := P / F_PHYS(1 A);
assert NOT(Z = 100)
report "***PASSED TEST: c07s02b06x00p14n01i02286"
severity NOTE;
assert (Z = 100)
report "***FAILED TEST: c07s02b06x00p14n01i02286 - Incompatible operands: May not be multiplied or divided."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p14n01i02286arch;
|
-- -----------------------------------------------------------------------
--
-- Company: INVEA-TECH a.s.
--
-- Project: IPFIX design
--
-- -----------------------------------------------------------------------
--
-- (c) Copyright 2011 INVEA-TECH a.s.
-- All rights reserved.
--
-- Please review the terms of the license agreement before using this
-- file. If you are not an authorized user, please destroy this
-- source code file and notify INVEA-TECH a.s. immediately that you
-- inadvertently received an unauthorized copy.
--
-- -----------------------------------------------------------------------
--
-- transformer_ent.vhd: Entity of FrameLink Transformer component.
-- Copyright (C) 2006 CESNET
-- Author(s): Martin Louda <[email protected]>
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- 3. Neither the name of the Company nor the names of its contributors
-- may be used to endorse or promote products derived from this
-- software without specific prior written permission.
--
-- This software is provided ``as is'', and any express or implied
-- warranties, including, but not limited to, the implied warranties of
-- merchantability and fitness for a particular purpose are disclaimed.
-- In no event shall the company or contributors be liable for any
-- direct, indirect, incidental, special, exemplary, or consequential
-- damages (including, but not limited to, procurement of substitute
-- goods or services; loss of use, data, or profits; or business
-- interruption) however caused and on any theory of liability, whether
-- in contract, strict liability, or tort (including negligence or
-- otherwise) arising in any way out of the use of this software, even
-- if advised of the possibility of such damage.
--
-- $Id: transformer_ent.vhd 4992 2008-08-18 15:28:35Z polcak_l $
--
-- TODO:
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-- library containing log2 function
use work.math_pack.all;
-- ------------------------------------------------------------------------
-- Entity declaration
-- ------------------------------------------------------------------------
entity FL_TRANSFORMER is
generic(
-- FrameLink data buses width
-- only 8, 16, 32, 64 and 128 supported
RX_DATA_WIDTH : integer;
TX_DATA_WIDTH : integer
);
port(
CLK : in std_logic;
RESET : in std_logic;
-- RX interface
RX_DATA : in std_logic_vector(RX_DATA_WIDTH-1 downto 0);
RX_REM : in std_logic_vector(max(log2(RX_DATA_WIDTH/8)-1, 0) downto 0);
RX_SOF_N : in std_logic;
RX_EOF_N : in std_logic;
RX_SOP_N : in std_logic;
RX_EOP_N : in std_logic;
RX_SRC_RDY_N : in std_logic;
RX_DST_RDY_N : out std_logic;
-- TX interface
TX_DATA : out std_logic_vector(TX_DATA_WIDTH-1 downto 0);
TX_REM : out std_logic_vector(max(log2(TX_DATA_WIDTH/8)-1, 0) downto 0);
TX_SOF_N : out std_logic;
TX_EOF_N : out std_logic;
TX_SOP_N : out std_logic;
TX_EOP_N : out std_logic;
TX_SRC_RDY_N : out std_logic;
TX_DST_RDY_N : in std_logic
);
end entity FL_TRANSFORMER;
|
-- William Fan
-- 02/14/2011
-- Parity Gen RTL
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity paritygen is
generic (N: positive := 7);
port (x: in bit_vector(N-1 downto 0);
y: out bit_vector(N downto 0));
end entity;
architecture pg of paritygen is
signal temp: bit_vector(n-1 downto 0);
signal nparity: bit;
begin
temp(0) <= x(0);
gen: for i in 1 to n-1 generate
temp(i) <= temp(i-1) XOR x(i);
end generate;
nparity <= temp(N-1) NAND temp(N-1);
y(N-1 downto 0) <= x;
y(N) <= nparity;
end architecture;
|
-------------------------------------------------------------------------------
-- Title : External Memory controller for SDRAM
-------------------------------------------------------------------------------
-- Description: This module implements a simple, single burst memory controller.
-- User interface is 16 bit (burst of 2), externally 4x 8 bit.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library work;
use work.mem_bus_pkg.all;
entity ext_mem_ctrl_v5_sdr is
generic (
g_simulation : boolean := false;
A_Width : integer := 15;
SDRAM_WakeupTime : integer := 40; -- refresh periods
SDRAM_Refr_period : integer := 375 );
port (
clock : in std_logic := '0';
clk_shifted : in std_logic := '0';
reset : in std_logic := '0';
inhibit : in std_logic := '0';
is_idle : out std_logic;
req : in t_mem_burst_req;
resp : out t_mem_burst_resp;
SDRAM_CLK : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CSn : out std_logic := '1';
SDRAM_RASn : out std_logic := '1';
SDRAM_CASn : out std_logic := '1';
SDRAM_WEn : out std_logic := '1';
SDRAM_DQM : out std_logic := '0';
MEM_A : out std_logic_vector(A_Width-1 downto 0);
MEM_D : inout std_logic_vector(7 downto 0) := (others => 'Z'));
end ext_mem_ctrl_v5_sdr;
-- ADDR: 25 24 23 ...
-- 0 X X ... SDRAM (32MB)
architecture Gideon of ext_mem_ctrl_v5_sdr is
type t_init is record
addr : std_logic_vector(15 downto 0);
cmd : std_logic_vector(2 downto 0); -- we-cas-ras
end record;
type t_init_array is array(natural range <>) of t_init;
constant c_init_array : t_init_array(0 to 7) := (
( X"0400", "010" ), -- auto precharge
( X"002A", "000" ), -- mode register, burstlen=4, writelen=4, CAS lat = 2, interleaved
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ) );
type t_state is (boot, init, idle, sd_cas, sd_wait);
signal state : t_state;
signal sdram_d_o : std_logic_vector(MEM_D'range) := (others => '1');
signal sdram_d_t : std_logic_vector(3 downto 0) := "0000";
signal delay : integer range 0 to 15;
signal inhibit_d : std_logic;
signal rwn_i : std_logic;
signal mem_a_i : std_logic_vector(MEM_A'range) := (others => '0');
signal cs_n_i : std_logic;
signal col_addr : std_logic_vector(9 downto 0) := (others => '0');
signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1;
signal do_refresh : std_logic := '0';
signal not_clock : std_logic;
signal rdata : std_logic_vector(7 downto 0) := (others => '0');
signal wdata : std_logic_vector(7 downto 0) := (others => '0');
signal refr_delay : integer range 0 to 7;
signal next_delay : integer range 0 to 7;
signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1;
signal init_cnt : integer range 0 to c_init_array'high;
signal enable_sdram : std_logic := '1';
signal req_i : std_logic;
signal rack : std_logic;
signal dack : std_logic_vector(3 downto 0) := "0000";
signal dnext : std_logic_vector(3 downto 0) := "0000";
signal last_bank : std_logic_vector(1 downto 0) := "10";
signal addr_bank : std_logic_vector(1 downto 0);
signal addr_row : std_logic_vector(12 downto 0);
signal addr_column : std_logic_vector(9 downto 0);
-- attribute fsm_encoding : string;
-- attribute fsm_encoding of state : signal is "sequential";
-- attribute register_duplication : string;
-- attribute register_duplication of mem_a_i : signal is "no";
attribute iob : string;
attribute iob of SDRAM_CKE : signal is "false";
attribute iob of rdata : signal is "true"; -- the general memctrl/rdata must be packed in IOB
constant c_address_width : integer := req.address'length;
constant c_data_width : integer := req.data'length;
signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0);
signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0);
signal rwn_fifo : std_logic;
signal address_fifo : std_logic_vector(c_address_width-1 downto 0);
signal cmd_af : std_logic;
signal cmd_av : std_logic;
signal rdata_af : std_logic;
signal push_cmd : std_logic;
begin
addr_bank <= address_fifo(3 downto 2);
addr_row <= address_fifo(24 downto 12);
addr_column <= address_fifo(11 downto 4) & address_fifo(1 downto 0);
-- addr_row <= address_fifo(24 downto 12);
-- addr_bank <= address_fifo(11 downto 10);
-- addr_column <= address_fifo(9 downto 0);
is_idle <= '1' when state = idle else '0';
req_i <= cmd_av;
resp.ready <= not cmd_af;
push_cmd <= req.request and not cmd_af;
-- resp.rack <= rack;
-- resp.dack <= dack(0);
-- resp.dnext <= dnext(0);
-- resp.blast <= (dack(0) and not dack(1)) or (dnext(0) and not dnext(1));
cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address);
address_fifo <= cmd_fifo_data_out(address_fifo'range);
rwn_fifo <= cmd_fifo_data_out(cmd_fifo_data_out'high);
i_command_fifo: entity work.srl_fifo
generic map (
Width => c_address_width + 1,
Depth => 15,
Threshold => 3)
port map (
clock => clock,
reset => reset,
GetElement => rack,
PutElement => push_cmd,
FlushFifo => '0',
DataIn => cmd_fifo_data_in,
DataOut => cmd_fifo_data_out,
SpaceInFifo => open,
AlmostFull => cmd_af,
DataInFifo => cmd_av );
i_read_fifo: entity work.srl_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => req.data_pop,
PutElement => dack(0),
FlushFifo => '0',
DataIn => rdata,
DataOut => resp.data,
SpaceInFifo => open,
AlmostFull => rdata_af,
DataInFifo => resp.rdata_av );
i_write_fifo: entity work.SRL_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => dnext(0),
PutElement => req.data_push,
FlushFifo => '0',
DataIn => req.data,
DataOut => wdata,
SpaceInFifo => open,
AlmostFull => resp.wdata_full,
DataInFifo => open );
process(clock)
procedure send_refresh_cmd is
begin
if refr_delay = 0 then
do_refresh <= '0';
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1'; -- Auto Refresh
refr_delay <= 3;
next_delay <= 3;
end if;
end procedure;
procedure accept_req is
begin
rwn_i <= rwn_fifo;
last_bank <= addr_bank;
mem_a_i(12 downto 0) <= addr_row;
mem_a_i(14 downto 13) <= addr_bank;
col_addr <= addr_column;
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1'; -- Command = ACTIVE
delay <= 0;
state <= sd_cas;
if rwn_fifo='0' then
dnext <= "1111";
delay <= 0;
end if;
end procedure;
begin
if rising_edge(clock) then
inhibit_d <= inhibit;
cs_n_i <= '1';
SDRAM_CKE <= enable_sdram;
SDRAM_RASn <= '1';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1';
sdram_d_o <= wdata;
if refr_delay /= 0 then
refr_delay <= refr_delay - 1;
end if;
if next_delay /= 0 then
next_delay <= next_delay - 1;
end if;
if delay /= 0 then
delay <= delay - 1;
end if;
sdram_d_t <= '0' & sdram_d_t(3 downto 1);
dack <= '0' & dack(3 downto 1);
dnext <= '0' & dnext(3 downto 1);
rdata <= MEM_D;
case state is
when boot =>
enable_sdram <= '1';
if refresh_cnt = 0 then
boot_cnt <= boot_cnt - 1;
if boot_cnt = 1 then
state <= init;
end if;
elsif g_simulation then
state <= idle;
end if;
when init =>
mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range);
SDRAM_RASn <= c_init_array(init_cnt).cmd(0);
SDRAM_CASn <= c_init_array(init_cnt).cmd(1);
SDRAM_WEn <= c_init_array(init_cnt).cmd(2);
if delay = 0 then
delay <= 7;
cs_n_i <= '0';
if init_cnt = c_init_array'high then
state <= idle;
else
init_cnt <= init_cnt + 1;
end if;
end if;
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' or inhibit='1') then
send_refresh_cmd;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
accept_req;
end if;
end if;
when sd_cas =>
-- we always perform auto precharge.
-- If the next access is to ANOTHER bank, then
-- we do not have to wait AFTER issuing this CAS.
-- the delay after the CAS, causes the next RAS to
-- be further away in time. If there is NO access
-- pending, then we assume the same bank, and introduce
-- the delay.
refr_delay <= 5;
if (req_i='1' and addr_bank=last_bank) or req_i='0' then
next_delay <= 5;
else
next_delay <= 2;
end if;
mem_a_i(10) <= '1'; -- auto precharge
mem_a_i(9 downto 0) <= col_addr;
if rwn_i='0' then
if delay=0 then
-- write with auto precharge
sdram_d_t <= "1111";
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '0';
delay <= 2;
state <= sd_wait;
end if;
else
if delay = 0 then
if rdata_af='0' then
-- read with auto precharge
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1';
delay <= 2;
state <= sd_wait;
end if;
end if;
end if;
when sd_wait =>
if delay=1 then
state <= idle;
end if;
if delay=1 and rwn_i='1' then
dack <= "1111";
end if;
when others =>
null;
end case;
if refresh_cnt = SDRAM_Refr_period-1 then
do_refresh <= '1';
refresh_cnt <= 0;
else
refresh_cnt <= refresh_cnt + 1;
end if;
if reset='1' then
state <= boot;
sdram_d_t <= (others => '0');
delay <= 0;
do_refresh <= '0';
boot_cnt <= SDRAM_WakeupTime-1;
init_cnt <= 0;
enable_sdram <= '1';
end if;
end if;
end process;
process(state, do_refresh, inhibit, inhibit_d, req_i, next_delay)
begin
rack <= '0';
case state is
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' and inhibit='0') then
null;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
rack <= '1';
end if;
end if;
when others =>
null;
end case;
end process;
MEM_D <= sdram_d_o after 7 ns when sdram_d_t(0)='1' else (others => 'Z') after 7 ns;
MEM_A <= mem_a_i;
not_clock <= not clk_shifted;
clkout: FDDRRSE
port map (
CE => '1',
C0 => clk_shifted,
C1 => not_clock,
D0 => '0',
D1 => enable_sdram,
Q => SDRAM_CLK,
R => '0',
S => '0' );
SDRAM_CSn <= cs_n_i;
end Gideon;
|
-------------------------------------------------------------------------------
-- Title : External Memory controller for SDRAM
-------------------------------------------------------------------------------
-- Description: This module implements a simple, single burst memory controller.
-- User interface is 16 bit (burst of 2), externally 4x 8 bit.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library work;
use work.mem_bus_pkg.all;
entity ext_mem_ctrl_v5_sdr is
generic (
g_simulation : boolean := false;
A_Width : integer := 15;
SDRAM_WakeupTime : integer := 40; -- refresh periods
SDRAM_Refr_period : integer := 375 );
port (
clock : in std_logic := '0';
clk_shifted : in std_logic := '0';
reset : in std_logic := '0';
inhibit : in std_logic := '0';
is_idle : out std_logic;
req : in t_mem_burst_req;
resp : out t_mem_burst_resp;
SDRAM_CLK : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CSn : out std_logic := '1';
SDRAM_RASn : out std_logic := '1';
SDRAM_CASn : out std_logic := '1';
SDRAM_WEn : out std_logic := '1';
SDRAM_DQM : out std_logic := '0';
MEM_A : out std_logic_vector(A_Width-1 downto 0);
MEM_D : inout std_logic_vector(7 downto 0) := (others => 'Z'));
end ext_mem_ctrl_v5_sdr;
-- ADDR: 25 24 23 ...
-- 0 X X ... SDRAM (32MB)
architecture Gideon of ext_mem_ctrl_v5_sdr is
type t_init is record
addr : std_logic_vector(15 downto 0);
cmd : std_logic_vector(2 downto 0); -- we-cas-ras
end record;
type t_init_array is array(natural range <>) of t_init;
constant c_init_array : t_init_array(0 to 7) := (
( X"0400", "010" ), -- auto precharge
( X"002A", "000" ), -- mode register, burstlen=4, writelen=4, CAS lat = 2, interleaved
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ) );
type t_state is (boot, init, idle, sd_cas, sd_wait);
signal state : t_state;
signal sdram_d_o : std_logic_vector(MEM_D'range) := (others => '1');
signal sdram_d_t : std_logic_vector(3 downto 0) := "0000";
signal delay : integer range 0 to 15;
signal inhibit_d : std_logic;
signal rwn_i : std_logic;
signal mem_a_i : std_logic_vector(MEM_A'range) := (others => '0');
signal cs_n_i : std_logic;
signal col_addr : std_logic_vector(9 downto 0) := (others => '0');
signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1;
signal do_refresh : std_logic := '0';
signal not_clock : std_logic;
signal rdata : std_logic_vector(7 downto 0) := (others => '0');
signal wdata : std_logic_vector(7 downto 0) := (others => '0');
signal refr_delay : integer range 0 to 7;
signal next_delay : integer range 0 to 7;
signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1;
signal init_cnt : integer range 0 to c_init_array'high;
signal enable_sdram : std_logic := '1';
signal req_i : std_logic;
signal rack : std_logic;
signal dack : std_logic_vector(3 downto 0) := "0000";
signal dnext : std_logic_vector(3 downto 0) := "0000";
signal last_bank : std_logic_vector(1 downto 0) := "10";
signal addr_bank : std_logic_vector(1 downto 0);
signal addr_row : std_logic_vector(12 downto 0);
signal addr_column : std_logic_vector(9 downto 0);
-- attribute fsm_encoding : string;
-- attribute fsm_encoding of state : signal is "sequential";
-- attribute register_duplication : string;
-- attribute register_duplication of mem_a_i : signal is "no";
attribute iob : string;
attribute iob of SDRAM_CKE : signal is "false";
attribute iob of rdata : signal is "true"; -- the general memctrl/rdata must be packed in IOB
constant c_address_width : integer := req.address'length;
constant c_data_width : integer := req.data'length;
signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0);
signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0);
signal rwn_fifo : std_logic;
signal address_fifo : std_logic_vector(c_address_width-1 downto 0);
signal cmd_af : std_logic;
signal cmd_av : std_logic;
signal rdata_af : std_logic;
signal push_cmd : std_logic;
begin
addr_bank <= address_fifo(3 downto 2);
addr_row <= address_fifo(24 downto 12);
addr_column <= address_fifo(11 downto 4) & address_fifo(1 downto 0);
-- addr_row <= address_fifo(24 downto 12);
-- addr_bank <= address_fifo(11 downto 10);
-- addr_column <= address_fifo(9 downto 0);
is_idle <= '1' when state = idle else '0';
req_i <= cmd_av;
resp.ready <= not cmd_af;
push_cmd <= req.request and not cmd_af;
-- resp.rack <= rack;
-- resp.dack <= dack(0);
-- resp.dnext <= dnext(0);
-- resp.blast <= (dack(0) and not dack(1)) or (dnext(0) and not dnext(1));
cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address);
address_fifo <= cmd_fifo_data_out(address_fifo'range);
rwn_fifo <= cmd_fifo_data_out(cmd_fifo_data_out'high);
i_command_fifo: entity work.srl_fifo
generic map (
Width => c_address_width + 1,
Depth => 15,
Threshold => 3)
port map (
clock => clock,
reset => reset,
GetElement => rack,
PutElement => push_cmd,
FlushFifo => '0',
DataIn => cmd_fifo_data_in,
DataOut => cmd_fifo_data_out,
SpaceInFifo => open,
AlmostFull => cmd_af,
DataInFifo => cmd_av );
i_read_fifo: entity work.srl_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => req.data_pop,
PutElement => dack(0),
FlushFifo => '0',
DataIn => rdata,
DataOut => resp.data,
SpaceInFifo => open,
AlmostFull => rdata_af,
DataInFifo => resp.rdata_av );
i_write_fifo: entity work.SRL_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => dnext(0),
PutElement => req.data_push,
FlushFifo => '0',
DataIn => req.data,
DataOut => wdata,
SpaceInFifo => open,
AlmostFull => resp.wdata_full,
DataInFifo => open );
process(clock)
procedure send_refresh_cmd is
begin
if refr_delay = 0 then
do_refresh <= '0';
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1'; -- Auto Refresh
refr_delay <= 3;
next_delay <= 3;
end if;
end procedure;
procedure accept_req is
begin
rwn_i <= rwn_fifo;
last_bank <= addr_bank;
mem_a_i(12 downto 0) <= addr_row;
mem_a_i(14 downto 13) <= addr_bank;
col_addr <= addr_column;
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1'; -- Command = ACTIVE
delay <= 0;
state <= sd_cas;
if rwn_fifo='0' then
dnext <= "1111";
delay <= 0;
end if;
end procedure;
begin
if rising_edge(clock) then
inhibit_d <= inhibit;
cs_n_i <= '1';
SDRAM_CKE <= enable_sdram;
SDRAM_RASn <= '1';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1';
sdram_d_o <= wdata;
if refr_delay /= 0 then
refr_delay <= refr_delay - 1;
end if;
if next_delay /= 0 then
next_delay <= next_delay - 1;
end if;
if delay /= 0 then
delay <= delay - 1;
end if;
sdram_d_t <= '0' & sdram_d_t(3 downto 1);
dack <= '0' & dack(3 downto 1);
dnext <= '0' & dnext(3 downto 1);
rdata <= MEM_D;
case state is
when boot =>
enable_sdram <= '1';
if refresh_cnt = 0 then
boot_cnt <= boot_cnt - 1;
if boot_cnt = 1 then
state <= init;
end if;
elsif g_simulation then
state <= idle;
end if;
when init =>
mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range);
SDRAM_RASn <= c_init_array(init_cnt).cmd(0);
SDRAM_CASn <= c_init_array(init_cnt).cmd(1);
SDRAM_WEn <= c_init_array(init_cnt).cmd(2);
if delay = 0 then
delay <= 7;
cs_n_i <= '0';
if init_cnt = c_init_array'high then
state <= idle;
else
init_cnt <= init_cnt + 1;
end if;
end if;
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' or inhibit='1') then
send_refresh_cmd;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
accept_req;
end if;
end if;
when sd_cas =>
-- we always perform auto precharge.
-- If the next access is to ANOTHER bank, then
-- we do not have to wait AFTER issuing this CAS.
-- the delay after the CAS, causes the next RAS to
-- be further away in time. If there is NO access
-- pending, then we assume the same bank, and introduce
-- the delay.
refr_delay <= 5;
if (req_i='1' and addr_bank=last_bank) or req_i='0' then
next_delay <= 5;
else
next_delay <= 2;
end if;
mem_a_i(10) <= '1'; -- auto precharge
mem_a_i(9 downto 0) <= col_addr;
if rwn_i='0' then
if delay=0 then
-- write with auto precharge
sdram_d_t <= "1111";
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '0';
delay <= 2;
state <= sd_wait;
end if;
else
if delay = 0 then
if rdata_af='0' then
-- read with auto precharge
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1';
delay <= 2;
state <= sd_wait;
end if;
end if;
end if;
when sd_wait =>
if delay=1 then
state <= idle;
end if;
if delay=1 and rwn_i='1' then
dack <= "1111";
end if;
when others =>
null;
end case;
if refresh_cnt = SDRAM_Refr_period-1 then
do_refresh <= '1';
refresh_cnt <= 0;
else
refresh_cnt <= refresh_cnt + 1;
end if;
if reset='1' then
state <= boot;
sdram_d_t <= (others => '0');
delay <= 0;
do_refresh <= '0';
boot_cnt <= SDRAM_WakeupTime-1;
init_cnt <= 0;
enable_sdram <= '1';
end if;
end if;
end process;
process(state, do_refresh, inhibit, inhibit_d, req_i, next_delay)
begin
rack <= '0';
case state is
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' and inhibit='0') then
null;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
rack <= '1';
end if;
end if;
when others =>
null;
end case;
end process;
MEM_D <= sdram_d_o after 7 ns when sdram_d_t(0)='1' else (others => 'Z') after 7 ns;
MEM_A <= mem_a_i;
not_clock <= not clk_shifted;
clkout: FDDRRSE
port map (
CE => '1',
C0 => clk_shifted,
C1 => not_clock,
D0 => '0',
D1 => enable_sdram,
Q => SDRAM_CLK,
R => '0',
S => '0' );
SDRAM_CSn <= cs_n_i;
end Gideon;
|
-------------------------------------------------------------------------------
-- Title : External Memory controller for SDRAM
-------------------------------------------------------------------------------
-- Description: This module implements a simple, single burst memory controller.
-- User interface is 16 bit (burst of 2), externally 4x 8 bit.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library work;
use work.mem_bus_pkg.all;
entity ext_mem_ctrl_v5_sdr is
generic (
g_simulation : boolean := false;
A_Width : integer := 15;
SDRAM_WakeupTime : integer := 40; -- refresh periods
SDRAM_Refr_period : integer := 375 );
port (
clock : in std_logic := '0';
clk_shifted : in std_logic := '0';
reset : in std_logic := '0';
inhibit : in std_logic := '0';
is_idle : out std_logic;
req : in t_mem_burst_req;
resp : out t_mem_burst_resp;
SDRAM_CLK : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CSn : out std_logic := '1';
SDRAM_RASn : out std_logic := '1';
SDRAM_CASn : out std_logic := '1';
SDRAM_WEn : out std_logic := '1';
SDRAM_DQM : out std_logic := '0';
MEM_A : out std_logic_vector(A_Width-1 downto 0);
MEM_D : inout std_logic_vector(7 downto 0) := (others => 'Z'));
end ext_mem_ctrl_v5_sdr;
-- ADDR: 25 24 23 ...
-- 0 X X ... SDRAM (32MB)
architecture Gideon of ext_mem_ctrl_v5_sdr is
type t_init is record
addr : std_logic_vector(15 downto 0);
cmd : std_logic_vector(2 downto 0); -- we-cas-ras
end record;
type t_init_array is array(natural range <>) of t_init;
constant c_init_array : t_init_array(0 to 7) := (
( X"0400", "010" ), -- auto precharge
( X"002A", "000" ), -- mode register, burstlen=4, writelen=4, CAS lat = 2, interleaved
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ) );
type t_state is (boot, init, idle, sd_cas, sd_wait);
signal state : t_state;
signal sdram_d_o : std_logic_vector(MEM_D'range) := (others => '1');
signal sdram_d_t : std_logic_vector(3 downto 0) := "0000";
signal delay : integer range 0 to 15;
signal inhibit_d : std_logic;
signal rwn_i : std_logic;
signal mem_a_i : std_logic_vector(MEM_A'range) := (others => '0');
signal cs_n_i : std_logic;
signal col_addr : std_logic_vector(9 downto 0) := (others => '0');
signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1;
signal do_refresh : std_logic := '0';
signal not_clock : std_logic;
signal rdata : std_logic_vector(7 downto 0) := (others => '0');
signal wdata : std_logic_vector(7 downto 0) := (others => '0');
signal refr_delay : integer range 0 to 7;
signal next_delay : integer range 0 to 7;
signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1;
signal init_cnt : integer range 0 to c_init_array'high;
signal enable_sdram : std_logic := '1';
signal req_i : std_logic;
signal rack : std_logic;
signal dack : std_logic_vector(3 downto 0) := "0000";
signal dnext : std_logic_vector(3 downto 0) := "0000";
signal last_bank : std_logic_vector(1 downto 0) := "10";
signal addr_bank : std_logic_vector(1 downto 0);
signal addr_row : std_logic_vector(12 downto 0);
signal addr_column : std_logic_vector(9 downto 0);
-- attribute fsm_encoding : string;
-- attribute fsm_encoding of state : signal is "sequential";
-- attribute register_duplication : string;
-- attribute register_duplication of mem_a_i : signal is "no";
attribute iob : string;
attribute iob of SDRAM_CKE : signal is "false";
attribute iob of rdata : signal is "true"; -- the general memctrl/rdata must be packed in IOB
constant c_address_width : integer := req.address'length;
constant c_data_width : integer := req.data'length;
signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0);
signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0);
signal rwn_fifo : std_logic;
signal address_fifo : std_logic_vector(c_address_width-1 downto 0);
signal cmd_af : std_logic;
signal cmd_av : std_logic;
signal rdata_af : std_logic;
signal push_cmd : std_logic;
begin
addr_bank <= address_fifo(3 downto 2);
addr_row <= address_fifo(24 downto 12);
addr_column <= address_fifo(11 downto 4) & address_fifo(1 downto 0);
-- addr_row <= address_fifo(24 downto 12);
-- addr_bank <= address_fifo(11 downto 10);
-- addr_column <= address_fifo(9 downto 0);
is_idle <= '1' when state = idle else '0';
req_i <= cmd_av;
resp.ready <= not cmd_af;
push_cmd <= req.request and not cmd_af;
-- resp.rack <= rack;
-- resp.dack <= dack(0);
-- resp.dnext <= dnext(0);
-- resp.blast <= (dack(0) and not dack(1)) or (dnext(0) and not dnext(1));
cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address);
address_fifo <= cmd_fifo_data_out(address_fifo'range);
rwn_fifo <= cmd_fifo_data_out(cmd_fifo_data_out'high);
i_command_fifo: entity work.srl_fifo
generic map (
Width => c_address_width + 1,
Depth => 15,
Threshold => 3)
port map (
clock => clock,
reset => reset,
GetElement => rack,
PutElement => push_cmd,
FlushFifo => '0',
DataIn => cmd_fifo_data_in,
DataOut => cmd_fifo_data_out,
SpaceInFifo => open,
AlmostFull => cmd_af,
DataInFifo => cmd_av );
i_read_fifo: entity work.srl_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => req.data_pop,
PutElement => dack(0),
FlushFifo => '0',
DataIn => rdata,
DataOut => resp.data,
SpaceInFifo => open,
AlmostFull => rdata_af,
DataInFifo => resp.rdata_av );
i_write_fifo: entity work.SRL_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => dnext(0),
PutElement => req.data_push,
FlushFifo => '0',
DataIn => req.data,
DataOut => wdata,
SpaceInFifo => open,
AlmostFull => resp.wdata_full,
DataInFifo => open );
process(clock)
procedure send_refresh_cmd is
begin
if refr_delay = 0 then
do_refresh <= '0';
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1'; -- Auto Refresh
refr_delay <= 3;
next_delay <= 3;
end if;
end procedure;
procedure accept_req is
begin
rwn_i <= rwn_fifo;
last_bank <= addr_bank;
mem_a_i(12 downto 0) <= addr_row;
mem_a_i(14 downto 13) <= addr_bank;
col_addr <= addr_column;
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1'; -- Command = ACTIVE
delay <= 0;
state <= sd_cas;
if rwn_fifo='0' then
dnext <= "1111";
delay <= 0;
end if;
end procedure;
begin
if rising_edge(clock) then
inhibit_d <= inhibit;
cs_n_i <= '1';
SDRAM_CKE <= enable_sdram;
SDRAM_RASn <= '1';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1';
sdram_d_o <= wdata;
if refr_delay /= 0 then
refr_delay <= refr_delay - 1;
end if;
if next_delay /= 0 then
next_delay <= next_delay - 1;
end if;
if delay /= 0 then
delay <= delay - 1;
end if;
sdram_d_t <= '0' & sdram_d_t(3 downto 1);
dack <= '0' & dack(3 downto 1);
dnext <= '0' & dnext(3 downto 1);
rdata <= MEM_D;
case state is
when boot =>
enable_sdram <= '1';
if refresh_cnt = 0 then
boot_cnt <= boot_cnt - 1;
if boot_cnt = 1 then
state <= init;
end if;
elsif g_simulation then
state <= idle;
end if;
when init =>
mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range);
SDRAM_RASn <= c_init_array(init_cnt).cmd(0);
SDRAM_CASn <= c_init_array(init_cnt).cmd(1);
SDRAM_WEn <= c_init_array(init_cnt).cmd(2);
if delay = 0 then
delay <= 7;
cs_n_i <= '0';
if init_cnt = c_init_array'high then
state <= idle;
else
init_cnt <= init_cnt + 1;
end if;
end if;
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' or inhibit='1') then
send_refresh_cmd;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
accept_req;
end if;
end if;
when sd_cas =>
-- we always perform auto precharge.
-- If the next access is to ANOTHER bank, then
-- we do not have to wait AFTER issuing this CAS.
-- the delay after the CAS, causes the next RAS to
-- be further away in time. If there is NO access
-- pending, then we assume the same bank, and introduce
-- the delay.
refr_delay <= 5;
if (req_i='1' and addr_bank=last_bank) or req_i='0' then
next_delay <= 5;
else
next_delay <= 2;
end if;
mem_a_i(10) <= '1'; -- auto precharge
mem_a_i(9 downto 0) <= col_addr;
if rwn_i='0' then
if delay=0 then
-- write with auto precharge
sdram_d_t <= "1111";
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '0';
delay <= 2;
state <= sd_wait;
end if;
else
if delay = 0 then
if rdata_af='0' then
-- read with auto precharge
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1';
delay <= 2;
state <= sd_wait;
end if;
end if;
end if;
when sd_wait =>
if delay=1 then
state <= idle;
end if;
if delay=1 and rwn_i='1' then
dack <= "1111";
end if;
when others =>
null;
end case;
if refresh_cnt = SDRAM_Refr_period-1 then
do_refresh <= '1';
refresh_cnt <= 0;
else
refresh_cnt <= refresh_cnt + 1;
end if;
if reset='1' then
state <= boot;
sdram_d_t <= (others => '0');
delay <= 0;
do_refresh <= '0';
boot_cnt <= SDRAM_WakeupTime-1;
init_cnt <= 0;
enable_sdram <= '1';
end if;
end if;
end process;
process(state, do_refresh, inhibit, inhibit_d, req_i, next_delay)
begin
rack <= '0';
case state is
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' and inhibit='0') then
null;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
rack <= '1';
end if;
end if;
when others =>
null;
end case;
end process;
MEM_D <= sdram_d_o after 7 ns when sdram_d_t(0)='1' else (others => 'Z') after 7 ns;
MEM_A <= mem_a_i;
not_clock <= not clk_shifted;
clkout: FDDRRSE
port map (
CE => '1',
C0 => clk_shifted,
C1 => not_clock,
D0 => '0',
D1 => enable_sdram,
Q => SDRAM_CLK,
R => '0',
S => '0' );
SDRAM_CSn <= cs_n_i;
end Gideon;
|
-------------------------------------------------------------------------------
-- Title : External Memory controller for SDRAM
-------------------------------------------------------------------------------
-- Description: This module implements a simple, single burst memory controller.
-- User interface is 16 bit (burst of 2), externally 4x 8 bit.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library work;
use work.mem_bus_pkg.all;
entity ext_mem_ctrl_v5_sdr is
generic (
g_simulation : boolean := false;
A_Width : integer := 15;
SDRAM_WakeupTime : integer := 40; -- refresh periods
SDRAM_Refr_period : integer := 375 );
port (
clock : in std_logic := '0';
clk_shifted : in std_logic := '0';
reset : in std_logic := '0';
inhibit : in std_logic := '0';
is_idle : out std_logic;
req : in t_mem_burst_req;
resp : out t_mem_burst_resp;
SDRAM_CLK : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CSn : out std_logic := '1';
SDRAM_RASn : out std_logic := '1';
SDRAM_CASn : out std_logic := '1';
SDRAM_WEn : out std_logic := '1';
SDRAM_DQM : out std_logic := '0';
MEM_A : out std_logic_vector(A_Width-1 downto 0);
MEM_D : inout std_logic_vector(7 downto 0) := (others => 'Z'));
end ext_mem_ctrl_v5_sdr;
-- ADDR: 25 24 23 ...
-- 0 X X ... SDRAM (32MB)
architecture Gideon of ext_mem_ctrl_v5_sdr is
type t_init is record
addr : std_logic_vector(15 downto 0);
cmd : std_logic_vector(2 downto 0); -- we-cas-ras
end record;
type t_init_array is array(natural range <>) of t_init;
constant c_init_array : t_init_array(0 to 7) := (
( X"0400", "010" ), -- auto precharge
( X"002A", "000" ), -- mode register, burstlen=4, writelen=4, CAS lat = 2, interleaved
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ) );
type t_state is (boot, init, idle, sd_cas, sd_wait);
signal state : t_state;
signal sdram_d_o : std_logic_vector(MEM_D'range) := (others => '1');
signal sdram_d_t : std_logic_vector(3 downto 0) := "0000";
signal delay : integer range 0 to 15;
signal inhibit_d : std_logic;
signal rwn_i : std_logic;
signal mem_a_i : std_logic_vector(MEM_A'range) := (others => '0');
signal cs_n_i : std_logic;
signal col_addr : std_logic_vector(9 downto 0) := (others => '0');
signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1;
signal do_refresh : std_logic := '0';
signal not_clock : std_logic;
signal rdata : std_logic_vector(7 downto 0) := (others => '0');
signal wdata : std_logic_vector(7 downto 0) := (others => '0');
signal refr_delay : integer range 0 to 7;
signal next_delay : integer range 0 to 7;
signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1;
signal init_cnt : integer range 0 to c_init_array'high;
signal enable_sdram : std_logic := '1';
signal req_i : std_logic;
signal rack : std_logic;
signal dack : std_logic_vector(3 downto 0) := "0000";
signal dnext : std_logic_vector(3 downto 0) := "0000";
signal last_bank : std_logic_vector(1 downto 0) := "10";
signal addr_bank : std_logic_vector(1 downto 0);
signal addr_row : std_logic_vector(12 downto 0);
signal addr_column : std_logic_vector(9 downto 0);
-- attribute fsm_encoding : string;
-- attribute fsm_encoding of state : signal is "sequential";
-- attribute register_duplication : string;
-- attribute register_duplication of mem_a_i : signal is "no";
attribute iob : string;
attribute iob of SDRAM_CKE : signal is "false";
attribute iob of rdata : signal is "true"; -- the general memctrl/rdata must be packed in IOB
constant c_address_width : integer := req.address'length;
constant c_data_width : integer := req.data'length;
signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0);
signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0);
signal rwn_fifo : std_logic;
signal address_fifo : std_logic_vector(c_address_width-1 downto 0);
signal cmd_af : std_logic;
signal cmd_av : std_logic;
signal rdata_af : std_logic;
signal push_cmd : std_logic;
begin
addr_bank <= address_fifo(3 downto 2);
addr_row <= address_fifo(24 downto 12);
addr_column <= address_fifo(11 downto 4) & address_fifo(1 downto 0);
-- addr_row <= address_fifo(24 downto 12);
-- addr_bank <= address_fifo(11 downto 10);
-- addr_column <= address_fifo(9 downto 0);
is_idle <= '1' when state = idle else '0';
req_i <= cmd_av;
resp.ready <= not cmd_af;
push_cmd <= req.request and not cmd_af;
-- resp.rack <= rack;
-- resp.dack <= dack(0);
-- resp.dnext <= dnext(0);
-- resp.blast <= (dack(0) and not dack(1)) or (dnext(0) and not dnext(1));
cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address);
address_fifo <= cmd_fifo_data_out(address_fifo'range);
rwn_fifo <= cmd_fifo_data_out(cmd_fifo_data_out'high);
i_command_fifo: entity work.srl_fifo
generic map (
Width => c_address_width + 1,
Depth => 15,
Threshold => 3)
port map (
clock => clock,
reset => reset,
GetElement => rack,
PutElement => push_cmd,
FlushFifo => '0',
DataIn => cmd_fifo_data_in,
DataOut => cmd_fifo_data_out,
SpaceInFifo => open,
AlmostFull => cmd_af,
DataInFifo => cmd_av );
i_read_fifo: entity work.srl_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => req.data_pop,
PutElement => dack(0),
FlushFifo => '0',
DataIn => rdata,
DataOut => resp.data,
SpaceInFifo => open,
AlmostFull => rdata_af,
DataInFifo => resp.rdata_av );
i_write_fifo: entity work.SRL_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => dnext(0),
PutElement => req.data_push,
FlushFifo => '0',
DataIn => req.data,
DataOut => wdata,
SpaceInFifo => open,
AlmostFull => resp.wdata_full,
DataInFifo => open );
process(clock)
procedure send_refresh_cmd is
begin
if refr_delay = 0 then
do_refresh <= '0';
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1'; -- Auto Refresh
refr_delay <= 3;
next_delay <= 3;
end if;
end procedure;
procedure accept_req is
begin
rwn_i <= rwn_fifo;
last_bank <= addr_bank;
mem_a_i(12 downto 0) <= addr_row;
mem_a_i(14 downto 13) <= addr_bank;
col_addr <= addr_column;
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1'; -- Command = ACTIVE
delay <= 0;
state <= sd_cas;
if rwn_fifo='0' then
dnext <= "1111";
delay <= 0;
end if;
end procedure;
begin
if rising_edge(clock) then
inhibit_d <= inhibit;
cs_n_i <= '1';
SDRAM_CKE <= enable_sdram;
SDRAM_RASn <= '1';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1';
sdram_d_o <= wdata;
if refr_delay /= 0 then
refr_delay <= refr_delay - 1;
end if;
if next_delay /= 0 then
next_delay <= next_delay - 1;
end if;
if delay /= 0 then
delay <= delay - 1;
end if;
sdram_d_t <= '0' & sdram_d_t(3 downto 1);
dack <= '0' & dack(3 downto 1);
dnext <= '0' & dnext(3 downto 1);
rdata <= MEM_D;
case state is
when boot =>
enable_sdram <= '1';
if refresh_cnt = 0 then
boot_cnt <= boot_cnt - 1;
if boot_cnt = 1 then
state <= init;
end if;
elsif g_simulation then
state <= idle;
end if;
when init =>
mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range);
SDRAM_RASn <= c_init_array(init_cnt).cmd(0);
SDRAM_CASn <= c_init_array(init_cnt).cmd(1);
SDRAM_WEn <= c_init_array(init_cnt).cmd(2);
if delay = 0 then
delay <= 7;
cs_n_i <= '0';
if init_cnt = c_init_array'high then
state <= idle;
else
init_cnt <= init_cnt + 1;
end if;
end if;
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' or inhibit='1') then
send_refresh_cmd;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
accept_req;
end if;
end if;
when sd_cas =>
-- we always perform auto precharge.
-- If the next access is to ANOTHER bank, then
-- we do not have to wait AFTER issuing this CAS.
-- the delay after the CAS, causes the next RAS to
-- be further away in time. If there is NO access
-- pending, then we assume the same bank, and introduce
-- the delay.
refr_delay <= 5;
if (req_i='1' and addr_bank=last_bank) or req_i='0' then
next_delay <= 5;
else
next_delay <= 2;
end if;
mem_a_i(10) <= '1'; -- auto precharge
mem_a_i(9 downto 0) <= col_addr;
if rwn_i='0' then
if delay=0 then
-- write with auto precharge
sdram_d_t <= "1111";
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '0';
delay <= 2;
state <= sd_wait;
end if;
else
if delay = 0 then
if rdata_af='0' then
-- read with auto precharge
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1';
delay <= 2;
state <= sd_wait;
end if;
end if;
end if;
when sd_wait =>
if delay=1 then
state <= idle;
end if;
if delay=1 and rwn_i='1' then
dack <= "1111";
end if;
when others =>
null;
end case;
if refresh_cnt = SDRAM_Refr_period-1 then
do_refresh <= '1';
refresh_cnt <= 0;
else
refresh_cnt <= refresh_cnt + 1;
end if;
if reset='1' then
state <= boot;
sdram_d_t <= (others => '0');
delay <= 0;
do_refresh <= '0';
boot_cnt <= SDRAM_WakeupTime-1;
init_cnt <= 0;
enable_sdram <= '1';
end if;
end if;
end process;
process(state, do_refresh, inhibit, inhibit_d, req_i, next_delay)
begin
rack <= '0';
case state is
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' and inhibit='0') then
null;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
rack <= '1';
end if;
end if;
when others =>
null;
end case;
end process;
MEM_D <= sdram_d_o after 7 ns when sdram_d_t(0)='1' else (others => 'Z') after 7 ns;
MEM_A <= mem_a_i;
not_clock <= not clk_shifted;
clkout: FDDRRSE
port map (
CE => '1',
C0 => clk_shifted,
C1 => not_clock,
D0 => '0',
D1 => enable_sdram,
Q => SDRAM_CLK,
R => '0',
S => '0' );
SDRAM_CSn <= cs_n_i;
end Gideon;
|
-------------------------------------------------------------------------------
-- Title : External Memory controller for SDRAM
-------------------------------------------------------------------------------
-- Description: This module implements a simple, single burst memory controller.
-- User interface is 16 bit (burst of 2), externally 4x 8 bit.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library work;
use work.mem_bus_pkg.all;
entity ext_mem_ctrl_v5_sdr is
generic (
g_simulation : boolean := false;
A_Width : integer := 15;
SDRAM_WakeupTime : integer := 40; -- refresh periods
SDRAM_Refr_period : integer := 375 );
port (
clock : in std_logic := '0';
clk_shifted : in std_logic := '0';
reset : in std_logic := '0';
inhibit : in std_logic := '0';
is_idle : out std_logic;
req : in t_mem_burst_req;
resp : out t_mem_burst_resp;
SDRAM_CLK : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CSn : out std_logic := '1';
SDRAM_RASn : out std_logic := '1';
SDRAM_CASn : out std_logic := '1';
SDRAM_WEn : out std_logic := '1';
SDRAM_DQM : out std_logic := '0';
MEM_A : out std_logic_vector(A_Width-1 downto 0);
MEM_D : inout std_logic_vector(7 downto 0) := (others => 'Z'));
end ext_mem_ctrl_v5_sdr;
-- ADDR: 25 24 23 ...
-- 0 X X ... SDRAM (32MB)
architecture Gideon of ext_mem_ctrl_v5_sdr is
type t_init is record
addr : std_logic_vector(15 downto 0);
cmd : std_logic_vector(2 downto 0); -- we-cas-ras
end record;
type t_init_array is array(natural range <>) of t_init;
constant c_init_array : t_init_array(0 to 7) := (
( X"0400", "010" ), -- auto precharge
( X"002A", "000" ), -- mode register, burstlen=4, writelen=4, CAS lat = 2, interleaved
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ), -- auto refresh
( X"0000", "001" ) );
type t_state is (boot, init, idle, sd_cas, sd_wait);
signal state : t_state;
signal sdram_d_o : std_logic_vector(MEM_D'range) := (others => '1');
signal sdram_d_t : std_logic_vector(3 downto 0) := "0000";
signal delay : integer range 0 to 15;
signal inhibit_d : std_logic;
signal rwn_i : std_logic;
signal mem_a_i : std_logic_vector(MEM_A'range) := (others => '0');
signal cs_n_i : std_logic;
signal col_addr : std_logic_vector(9 downto 0) := (others => '0');
signal refresh_cnt : integer range 0 to SDRAM_Refr_period-1;
signal do_refresh : std_logic := '0';
signal not_clock : std_logic;
signal rdata : std_logic_vector(7 downto 0) := (others => '0');
signal wdata : std_logic_vector(7 downto 0) := (others => '0');
signal refr_delay : integer range 0 to 7;
signal next_delay : integer range 0 to 7;
signal boot_cnt : integer range 0 to SDRAM_WakeupTime-1 := SDRAM_WakeupTime-1;
signal init_cnt : integer range 0 to c_init_array'high;
signal enable_sdram : std_logic := '1';
signal req_i : std_logic;
signal rack : std_logic;
signal dack : std_logic_vector(3 downto 0) := "0000";
signal dnext : std_logic_vector(3 downto 0) := "0000";
signal last_bank : std_logic_vector(1 downto 0) := "10";
signal addr_bank : std_logic_vector(1 downto 0);
signal addr_row : std_logic_vector(12 downto 0);
signal addr_column : std_logic_vector(9 downto 0);
-- attribute fsm_encoding : string;
-- attribute fsm_encoding of state : signal is "sequential";
-- attribute register_duplication : string;
-- attribute register_duplication of mem_a_i : signal is "no";
attribute iob : string;
attribute iob of SDRAM_CKE : signal is "false";
attribute iob of rdata : signal is "true"; -- the general memctrl/rdata must be packed in IOB
constant c_address_width : integer := req.address'length;
constant c_data_width : integer := req.data'length;
signal cmd_fifo_data_in : std_logic_vector(c_address_width downto 0);
signal cmd_fifo_data_out : std_logic_vector(c_address_width downto 0);
signal rwn_fifo : std_logic;
signal address_fifo : std_logic_vector(c_address_width-1 downto 0);
signal cmd_af : std_logic;
signal cmd_av : std_logic;
signal rdata_af : std_logic;
signal push_cmd : std_logic;
begin
addr_bank <= address_fifo(3 downto 2);
addr_row <= address_fifo(24 downto 12);
addr_column <= address_fifo(11 downto 4) & address_fifo(1 downto 0);
-- addr_row <= address_fifo(24 downto 12);
-- addr_bank <= address_fifo(11 downto 10);
-- addr_column <= address_fifo(9 downto 0);
is_idle <= '1' when state = idle else '0';
req_i <= cmd_av;
resp.ready <= not cmd_af;
push_cmd <= req.request and not cmd_af;
-- resp.rack <= rack;
-- resp.dack <= dack(0);
-- resp.dnext <= dnext(0);
-- resp.blast <= (dack(0) and not dack(1)) or (dnext(0) and not dnext(1));
cmd_fifo_data_in <= req.read_writen & std_logic_vector(req.address);
address_fifo <= cmd_fifo_data_out(address_fifo'range);
rwn_fifo <= cmd_fifo_data_out(cmd_fifo_data_out'high);
i_command_fifo: entity work.srl_fifo
generic map (
Width => c_address_width + 1,
Depth => 15,
Threshold => 3)
port map (
clock => clock,
reset => reset,
GetElement => rack,
PutElement => push_cmd,
FlushFifo => '0',
DataIn => cmd_fifo_data_in,
DataOut => cmd_fifo_data_out,
SpaceInFifo => open,
AlmostFull => cmd_af,
DataInFifo => cmd_av );
i_read_fifo: entity work.srl_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => req.data_pop,
PutElement => dack(0),
FlushFifo => '0',
DataIn => rdata,
DataOut => resp.data,
SpaceInFifo => open,
AlmostFull => rdata_af,
DataInFifo => resp.rdata_av );
i_write_fifo: entity work.SRL_fifo
generic map (
Width => c_data_width,
Depth => 15,
Threshold => 6 )
port map (
clock => clock,
reset => reset,
GetElement => dnext(0),
PutElement => req.data_push,
FlushFifo => '0',
DataIn => req.data,
DataOut => wdata,
SpaceInFifo => open,
AlmostFull => resp.wdata_full,
DataInFifo => open );
process(clock)
procedure send_refresh_cmd is
begin
if refr_delay = 0 then
do_refresh <= '0';
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1'; -- Auto Refresh
refr_delay <= 3;
next_delay <= 3;
end if;
end procedure;
procedure accept_req is
begin
rwn_i <= rwn_fifo;
last_bank <= addr_bank;
mem_a_i(12 downto 0) <= addr_row;
mem_a_i(14 downto 13) <= addr_bank;
col_addr <= addr_column;
cs_n_i <= '0';
SDRAM_RASn <= '0';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1'; -- Command = ACTIVE
delay <= 0;
state <= sd_cas;
if rwn_fifo='0' then
dnext <= "1111";
delay <= 0;
end if;
end procedure;
begin
if rising_edge(clock) then
inhibit_d <= inhibit;
cs_n_i <= '1';
SDRAM_CKE <= enable_sdram;
SDRAM_RASn <= '1';
SDRAM_CASn <= '1';
SDRAM_WEn <= '1';
sdram_d_o <= wdata;
if refr_delay /= 0 then
refr_delay <= refr_delay - 1;
end if;
if next_delay /= 0 then
next_delay <= next_delay - 1;
end if;
if delay /= 0 then
delay <= delay - 1;
end if;
sdram_d_t <= '0' & sdram_d_t(3 downto 1);
dack <= '0' & dack(3 downto 1);
dnext <= '0' & dnext(3 downto 1);
rdata <= MEM_D;
case state is
when boot =>
enable_sdram <= '1';
if refresh_cnt = 0 then
boot_cnt <= boot_cnt - 1;
if boot_cnt = 1 then
state <= init;
end if;
elsif g_simulation then
state <= idle;
end if;
when init =>
mem_a_i <= c_init_array(init_cnt).addr(mem_a_i'range);
SDRAM_RASn <= c_init_array(init_cnt).cmd(0);
SDRAM_CASn <= c_init_array(init_cnt).cmd(1);
SDRAM_WEn <= c_init_array(init_cnt).cmd(2);
if delay = 0 then
delay <= 7;
cs_n_i <= '0';
if init_cnt = c_init_array'high then
state <= idle;
else
init_cnt <= init_cnt + 1;
end if;
end if;
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' or inhibit='1') then
send_refresh_cmd;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
accept_req;
end if;
end if;
when sd_cas =>
-- we always perform auto precharge.
-- If the next access is to ANOTHER bank, then
-- we do not have to wait AFTER issuing this CAS.
-- the delay after the CAS, causes the next RAS to
-- be further away in time. If there is NO access
-- pending, then we assume the same bank, and introduce
-- the delay.
refr_delay <= 5;
if (req_i='1' and addr_bank=last_bank) or req_i='0' then
next_delay <= 5;
else
next_delay <= 2;
end if;
mem_a_i(10) <= '1'; -- auto precharge
mem_a_i(9 downto 0) <= col_addr;
if rwn_i='0' then
if delay=0 then
-- write with auto precharge
sdram_d_t <= "1111";
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '0';
delay <= 2;
state <= sd_wait;
end if;
else
if delay = 0 then
if rdata_af='0' then
-- read with auto precharge
cs_n_i <= '0';
SDRAM_RASn <= '1';
SDRAM_CASn <= '0';
SDRAM_WEn <= '1';
delay <= 2;
state <= sd_wait;
end if;
end if;
end if;
when sd_wait =>
if delay=1 then
state <= idle;
end if;
if delay=1 and rwn_i='1' then
dack <= "1111";
end if;
when others =>
null;
end case;
if refresh_cnt = SDRAM_Refr_period-1 then
do_refresh <= '1';
refresh_cnt <= 0;
else
refresh_cnt <= refresh_cnt + 1;
end if;
if reset='1' then
state <= boot;
sdram_d_t <= (others => '0');
delay <= 0;
do_refresh <= '0';
boot_cnt <= SDRAM_WakeupTime-1;
init_cnt <= 0;
enable_sdram <= '1';
end if;
end if;
end process;
process(state, do_refresh, inhibit, inhibit_d, req_i, next_delay)
begin
rack <= '0';
case state is
when idle =>
-- first cycle after inhibit goes 0, do not do refresh
-- this enables putting cartridge images in sdram
if do_refresh='1' and not (inhibit_d='1' and inhibit='0') then
null;
elsif inhibit='0' then
if req_i='1' and next_delay = 0 then
rack <= '1';
end if;
end if;
when others =>
null;
end case;
end process;
MEM_D <= sdram_d_o after 7 ns when sdram_d_t(0)='1' else (others => 'Z') after 7 ns;
MEM_A <= mem_a_i;
not_clock <= not clk_shifted;
clkout: FDDRRSE
port map (
CE => '1',
C0 => clk_shifted,
C1 => not_clock,
D0 => '0',
D1 => enable_sdram,
Q => SDRAM_CLK,
R => '0',
S => '0' );
SDRAM_CSn <= cs_n_i;
end Gideon;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY OpenSSD2_Dispatcher_uCode_0_0 IS
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END OpenSSD2_Dispatcher_uCode_0_0;
ARCHITECTURE OpenSSD2_Dispatcher_uCode_0_0_arch OF OpenSSD2_Dispatcher_uCode_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF OpenSSD2_Dispatcher_uCode_0_0_arch : ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=OpenSSD2_Dispatcher_uCode_0_0.mif,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=64,C_READ_WIDTH_A=64,C_WRITE_DEPTH_A=256,C_READ_DEPTH_A=256,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=64,C_READ_WIDTH_B=64,C_WRITE_DEPTH_B=256,C_READ_DEPTH_B=256,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.700549 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "OpenSSD2_Dispatcher_uCode_0_0.mif",
C_INIT_FILE => "NONE",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 1,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 64,
C_READ_WIDTH_A => 64,
C_WRITE_DEPTH_A => 256,
C_READ_DEPTH_A => 256,
C_ADDRA_WIDTH => 8,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 64,
C_READ_WIDTH_B => 64,
C_WRITE_DEPTH_B => 256,
C_READ_DEPTH_B => 256,
C_ADDRB_WIDTH => 8,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.700549 mW"
)
PORT MAP (
clka => clka,
rsta => rsta,
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END OpenSSD2_Dispatcher_uCode_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY OpenSSD2_Dispatcher_uCode_0_0 IS
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END OpenSSD2_Dispatcher_uCode_0_0;
ARCHITECTURE OpenSSD2_Dispatcher_uCode_0_0_arch OF OpenSSD2_Dispatcher_uCode_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF OpenSSD2_Dispatcher_uCode_0_0_arch : ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=OpenSSD2_Dispatcher_uCode_0_0.mif,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=64,C_READ_WIDTH_A=64,C_WRITE_DEPTH_A=256,C_READ_DEPTH_A=256,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=64,C_READ_WIDTH_B=64,C_WRITE_DEPTH_B=256,C_READ_DEPTH_B=256,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.700549 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "OpenSSD2_Dispatcher_uCode_0_0.mif",
C_INIT_FILE => "NONE",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 1,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 64,
C_READ_WIDTH_A => 64,
C_WRITE_DEPTH_A => 256,
C_READ_DEPTH_A => 256,
C_ADDRA_WIDTH => 8,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 64,
C_READ_WIDTH_B => 64,
C_WRITE_DEPTH_B => 256,
C_READ_DEPTH_B => 256,
C_ADDRB_WIDTH => 8,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.700549 mW"
)
PORT MAP (
clka => clka,
rsta => rsta,
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END OpenSSD2_Dispatcher_uCode_0_0_arch;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.