content
stringlengths
1
1.04M
LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY light IS PORT ( x1, x2 : IN STD_LOGIC ; f: OUT STD_LOGIC ) ; END light ; ARCHITECTURE LogicFunction OF light IS signal tmp:std_logic :='0'; BEGIN f <= (x1 AND NOT x2) OR (NOT x1 AND x2); --process(x1) --begin --g<=x1; --tmp<= x1 or x2; --h<=tmp; --end process; END LogicFunction ;
--------------------------------------------------------------- -- Title : Wishbone RAM for simulation -- Project : - --------------------------------------------------------------- -- File : iram32_sim.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 13.12.2007 --------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : - --------------------------------------------------------------- -- Description : -- -- Simulation Model of a dynamic internal 64-bit wide RAM with wishbone slave interface for single and burst accesses. -- -- Features: -- 1. Functions -- This sim-model provides the following functions: conf_iram, wr_iram, rd_iram and deallocate_iram. -- 1.1 conf_iram: configure the following parameters: startdelay of address and data phase, waitstates of address and data -- phase, break delay of address and data phase, enable external waitstate interface -- 1.2 wr_iram: write data directly to the IRAM (the wishbone interface will not be used). -- 1.3 rd_iram: read data directly from the IRAM (the wishbone interface will not be used). -- 1.4 deallocate_iram: free the memory of the IRAM (clear the whole content). The depth of the RAM is 0 afterwards. -- -- 2. Split transactions -- The IRAM supports split transactions. Therefore the address phases and the dataphases are seperated (separate acknowledge for address -- phase and for data phase). To use the IRAM for regular transactions (not split transactions) the address acknowledge shall be used as -- acknowledge and all data waitstates have to be configured to 0. -- -- 3. External waitstate interface -- When the external waitstate interface is enabled by the conf_iram function, the parameters for start delay, waitstates and break delay -- are not considered. Instead the external waitstate interface is used in the following way. -- 3.1 Waitstate for one address / data phase are requested by the iram (*_ws_req = true). -- 3.2 Number of waitstates is provided to the IRAM (*_ws_in). -- 3.3 Waitstate is acknowledged to the IRAM (*_ws_ack = true). -- 3.4 Waitstate interface is reset (*_ws_req = false, *_ws_ack = false). -- -- 4. Internal waitstate generation -- When the external waitstate interface is disabled by the conf_iram function, the parameters for start delay, waitstates and break delay -- are considered for address and data acknowledge generation. -- 4.1 Address startdelay: The address startdelay is the amount of clock cycles from the time where wishbone strobe and cycle are both -- be active till the first rising edge of the address acknowledge (this is usable for single as well as for -- burst accesses). The value 0 is invalid for the address startdelay and will be treated as 1. -- 4.2 Address waitstates: The amount of address waitstates represents the amount of clock cycles between a falling edge of wishbone -- address acknowledge and the rising edge of wishbone address acknowledge of the next data phase of a burst -- (this is usable for burst accesses only). -- 4.3 Address break delay: The address break delay has two parameter for configuration: length and position. The position parameter -- specifies the amount of dataphases (of a burst) where the break-delay shall appear. The length-parameter is -- comparative with the waitstates (0 = break delay disabled). If the break-delay is enabled (break delay -- length > 0) and appears within a burst, no additional waitstates will be produced (even if they are different -- from 0). -- 4.4 Data startdelay: The data startdelay is the amount of clock cycles from the time where wishbone address acknowledge is active -- for the first time till the first rising edge of the data acknowledge (this is usable for single as well as -- for burst accesses). The value 0 is valid for the address startdelay. -- 4.5 Data waitstates: The amount of data waitstates represents the amount of clock cycles between a falling edge of wishbone data -- acknowledge and the rising edge of wishbone data acknowledge of the next data phase of a burst (this is -- usable for burst accesses only). -- 4.6 Data break delay: The address break delay has two parameter for configuration: length and position. The position parameter -- specifies the amount of dataphases (of a burst) where the break-delay shall appear. The length-parameter is -- comparative with the waitstates (0 = break delay disabled). If the break-delay is enabled (break delay -- length > 0) and appears within a burst, no additional waitstates will be produced (even if they are different -- from 0). -- -- -- -- -- Generation of acknowledge: -- -- external_ws -- | -- +------------+ | -- | Address | +-----+ +-------------+ -- | Waitstate |------>| MUX |-------->| Address |-----+-------------------------------------------------------> aack -- | Generation | | | | Acknowledge | | -- +------------+ | | | Generation | | -- | | +-------------+ | -- ext. address waitstates ------>| | | -- +-----+ | +-------------+ -- | | Data | -- +-->| Phase | -- | FIFO | -- +-------------+ -- | -- | -- | -- external_ws | -- | | +-------------+ -- +------------+ | +->| Data |-----+------------------------> ack -- | Data | +-----+ | Acknowledge | | -- | Waitstates |------>| MUX |--------------------------------------->| Generation | | -- | Generation | | | +-------------+ | -- +------------+ | | | -- | | | +-------------+ -- ext. data waitstates ------>| | +-------------+ +-->| Process |------> dat_o -- +-----+ | Internal | | Data | -- | Memory |<--------| Phase |<------ dat_i -- | | +-------------+ -- +-------------+ -- -- -- --------------------------------------------------------------- -- Hierarchy: -- -- iram32_sim.vhd -- iram_pkg.vhd --------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE std.textio.all; USE ieee.std_logic_textio.all; USE work.print_pkg.all; USE work.conversions.to_hex_str; USE work.iram32_pkg.all; ENTITY iram32_sim IS GENERIC ( rddata_sel : boolean := TRUE; -- use wishbone byte select signal for read data wbname : string := "wbmon"; sets : std_logic_vector(3 DOWNTO 0) := "1110"; -- 1110 -- |||| -- |||+- write notes to Modelsim out -- ||+-- write errors to Modelsim out -- |+--- write notes to file out -- +---- write errors to file out timeout : integer := 100; file_name : string :="iram.txt" ); PORT ( iram_in : IN iram32_in_type; iram_out : OUT iram32_out_type; clk : IN std_logic; rst : IN std_logic; stb_i : IN std_logic; ack_o : OUT std_logic; aack_o : OUT std_logic; err_o : OUT std_logic; we_i : IN std_logic; sel_i : IN std_logic_vector((DAT_BITS/8)-1 DOWNTO 0); cti_i : IN std_logic_vector(2 DOWNTO 0); bte_i : IN std_logic_vector(1 DOWNTO 0); cyc_i : IN std_logic; dat_o : OUT std_logic_vector(DAT_BITS-1 DOWNTO 0); dat_i : IN std_logic_vector(DAT_BITS-1 DOWNTO 0); adr_i : IN std_logic_vector(ADR_BITS-1 DOWNTO 0); a_ws_req : OUT boolean; a_ws_ack : IN boolean; a_ws_in : IN natural; d_ws_req : OUT boolean; d_ws_ack : IN boolean; d_ws_in : IN natural ); END iram32_sim; ARCHITECTURE iram32_sim_arch OF iram32_sim IS SIGNAL dat_o_int : std_logic_vector(dat_o'range); SIGNAL ack_o_int : std_logic; SIGNAL aack_o_int : std_logic; SIGNAL err_o_int : std_logic; SIGNAL conf_ack : boolean; SIGNAL a_ws_req_int: boolean; SIGNAL a_ws_ack_internal: boolean; SIGNAL a_ws_ack_int: boolean; SIGNAL a_ws_end_acc: boolean; SIGNAL a_ws_int: natural; SIGNAL a_ws_internal: natural; SIGNAL d_ws_req_int: boolean; SIGNAL d_ws_ack_internal: boolean; SIGNAL d_ws_ack_int: boolean; SIGNAL d_ws_end_acc: boolean; SIGNAL d_ws_int: natural; SIGNAL d_ws_internal: natural; SIGNAL external_ws: boolean; SIGNAL aack_enable : boolean; shared VARIABLE a_sd_stored : protected_shared_variable_natural ; shared VARIABLE a_ws_stored : protected_shared_variable_natural ; shared VARIABLE d_sd_stored : protected_shared_variable_natural ; shared VARIABLE d_ws_stored : protected_shared_variable_natural ; shared VARIABLE a_bd_pos_stored : protected_shared_variable_natural ; shared VARIABLE a_bd_len_stored : protected_shared_variable_natural ; shared VARIABLE d_bd_pos_stored : protected_shared_variable_natural ; shared VARIABLE d_bd_len_stored : protected_shared_variable_natural ; CONSTANT DEBUG_MEM_ADR_PHASE : boolean := FALSE; CONSTANT DEBUG_FIFO_ENTRY : boolean := FALSE; CONSTANT DEBUG_MEM_DAT_PHASE : boolean := FALSE; CONSTANT DEBUG_MEM_DATA : boolean := FALSE; CONSTANT DEBUG_ACK_CHECK : boolean := FALSE; SIGNAL err: std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL dbg_a_sd: integer := 0; SIGNAL dbg_a_ws: integer := 0; SIGNAL dbg_a_sd_valid: boolean := FALSE; SIGNAL dbg_a_ws_valid: boolean := FALSE; SIGNAL time_cnt_sig: natural := 0; SIGNAL dgb_ack: std_logic; SIGNAL dgb_ack_dut: std_logic; SIGNAL dbg_a_ws_dat_cnt: integer := 0; BEGIN dat_o <= dat_o_int; ack_o <= ack_o_int; aack_o <= aack_o_int; err_o <= err_o_int; iram_out.conf_ack <= conf_ack; ---------------------------------------------------------------------------------------- -- map internal / external waitstate generation ---------------------------------------------------------------------------------------- a_ws_req <= a_ws_req_int WHEN external_ws ELSE FALSE; a_ws_ack_int <= a_ws_ack WHEN external_ws ELSE a_ws_ack_internal; a_ws_int <= a_ws_in WHEN external_ws ELSE a_ws_internal; d_ws_req <= d_ws_req_int WHEN external_ws ELSE FALSE; d_ws_ack_int <= d_ws_ack WHEN external_ws ELSE d_ws_ack_internal; d_ws_int <= d_ws_in WHEN external_ws ELSE d_ws_internal; ---------------------------------------------------------------------------------------- -- internal address waitstate generation ---------------------------------------------------------------------------------------- address_waitstates: PROCESS VARIABLE dat_cnt : natural; BEGIN dat_cnt := 0; a_ws_ack_internal <= FALSE; a_ws_internal <= 0; LOOP WAIT until a_ws_req_int'event; IF a_ws_req_int'event AND a_ws_req_int AND NOT external_ws THEN IF a_ws_end_acc THEN dat_cnt := 0; END IF; IF dat_cnt = 0 THEN a_ws_internal <= a_sd_stored.get; ELSIF dat_cnt = a_bd_pos_stored.get AND a_bd_pos_stored.get > 0 AND a_bd_len_stored.get > 0 THEN a_ws_internal <= a_bd_len_stored.get; ELSE a_ws_internal <= a_ws_stored.get; END IF; dat_cnt := dat_cnt + 1; gen_ack(a_ws_req_int, a_ws_ack_internal); END IF; dbg_a_ws_dat_cnt <= dat_cnt; END LOOP; END PROCESS; ---------------------------------------------------------------------------------------- -- internal data waitstate generation ---------------------------------------------------------------------------------------- data_waitstates: PROCESS VARIABLE dat_cnt : natural; BEGIN dat_cnt := 0; d_ws_ack_internal <= FALSE; d_ws_internal <= 0; LOOP WAIT until d_ws_req_int'event; IF d_ws_end_acc THEN dat_cnt := 0; END IF; IF d_ws_req_int'event AND d_ws_req_int AND NOT external_ws THEN IF dat_cnt = 0 THEN d_ws_internal <= d_sd_stored.get; ELSIF dat_cnt = d_bd_pos_stored.get AND d_bd_pos_stored.get > 0 AND d_bd_len_stored.get > 0 THEN d_ws_internal <= d_bd_len_stored.get; ELSE d_ws_internal <= d_ws_stored.get; END IF; dat_cnt := dat_cnt + 1; gen_ack(d_ws_req_int, d_ws_ack_internal); END IF; END LOOP; END PROCESS; ---------------------------------------------------------------------------------------- -- main ---------------------------------------------------------------------------------------- PROCESS VARIABLE data : std_logic_vector(dat_o'range); VARIABLE astart_done, dstart_done :boolean; VARIABLE mem_head : iram32_head_ptr; VARIABLE allocated : boolean; VARIABLE acc_req_buf : iram32_acc_req_buffer; VARIABLE acc_req_wrptr : integer:=0; VARIABLE acc_req_rdptr : integer:=0; VARIABLE wradr_buf : iram32_wradr_buffer; VARIABLE wradr_wrptr : integer:=0; VARIABLE wradr_rdptr : integer:=0; VARIABLE wrdat_buf : iram32_wrdat_buffer; VARIABLE wrdat_wrptr : integer:=0; VARIABLE wrdat_rdptr : integer:=0; VARIABLE msg_rd : boolean := FALSE; VARIABLE msg_wr : boolean := FALSE; VARIABLE conf_ack_int : boolean; VARIABLE a_ws_cnt : integer := 0; VARIABLE d_ws_cnt : integer := 0; VARIABLE temp_stb_i : std_logic; VARIABLE temp_ack_o : std_logic; VARIABLE temp_aack_o : std_logic; VARIABLE temp_err_o : std_logic; VARIABLE temp_we_i : std_logic; VARIABLE temp_sel_i : std_logic_vector(sel_i'range); VARIABLE temp_cti_i : std_logic_vector(cti_i'range); VARIABLE temp_bte_i : std_logic_vector(bte_i'range); VARIABLE temp_cyc_i : std_logic; VARIABLE temp_dat_o : std_logic_vector(dat_o'range); VARIABLE temp_dat_i : std_logic_vector(dat_i'range); VARIABLE temp_adr_i : std_logic_vector(adr_i'range); VARIABLE aack_o_int_var : std_logic; VARIABLE ack_o_int_var : std_logic; VARIABLE adr_int_read : std_logic_vector(adr_i'range); VARIABLE acc_running : boolean; VARIABLE time_cnt_var: natural := 0; VARIABLE st_flag : boolean; VARIABLE rising_edge_clk: boolean; BEGIN mem_head := new iram32_head'(0,null); IF sets(0) = '1' THEN msg_rd := TRUE; msg_wr := TRUE; END IF; ack_o_int <= '0'; aack_o_int <= '0'; err_o_int <= '0'; dat_o_int <= (OTHERS => '0'); conf_ack <= iram_in.conf_req; iram_out.rd_dat <= (OTHERS => '0'); a_ws_req_int <= FALSE; a_ws_end_acc <= FALSE; d_ws_req_int <= FALSE; d_ws_end_acc <= FALSE; acc_running := FALSE; acc_req_wrptr := 0; acc_req_rdptr := 0; wradr_wrptr := 0; wradr_rdptr := 0; wrdat_wrptr := 0; wrdat_rdptr := 0; a_ws_cnt := 0; d_ws_cnt := 0; astart_done := FALSE; dstart_done := FALSE; conf_ack_int := FALSE; WAIT until rising_edge(clk) AND rst = '0'; -- wait until bus has initialized a_ws_cnt := 0; gen_loop: LOOP -- access running indication (used to delay config accesses when whishbone access is being performed) IF acc_req_wrptr /= acc_req_rdptr OR (temp_stb_i = '1' AND temp_cyc_i = '1') THEN acc_running := TRUE; ELSE acc_running := FALSE; END IF; rising_edge_clk := FALSE; IF rising_edge(clk) THEN WAIT FOR 1 ps; -- store Wishbone signals at delayed rising edge of clk temp_stb_i := stb_i ; temp_ack_o := ack_o_int ; temp_aack_o := aack_o_int ; temp_err_o := err_o_int ; temp_we_i := we_i ; temp_sel_i := sel_i ; temp_cti_i := cti_i ; temp_bte_i := bte_i ; temp_cyc_i := cyc_i ; temp_dat_o := dat_o_int ; temp_dat_i := dat_i ; temp_adr_i := adr_i ; rising_edge_clk := TRUE; END IF; --************************************************************************************** -- Config Access -- -- Wait until running accesses have finished and handle config request. --************************************************************************************** IF iram_in.conf_req = TRUE AND conf_ack_int = FALSE AND acc_running = FALSE THEN -- config access is only performed when no access is running IF iram_in.config = TRUE THEN a_sd_stored.set(iram_in.a_startdelay); a_ws_stored.set(iram_in.a_waitstates); d_sd_stored.set(iram_in.d_startdelay); d_ws_stored.set(iram_in.d_waitstates); a_bd_pos_stored.set(iram_in.a_break_delay_position); a_bd_len_stored.set(iram_in.a_break_delay_length); d_bd_pos_stored.set(iram_in.d_break_delay_position); d_bd_len_stored.set(iram_in.d_break_delay_length); external_ws <= iram_in.external_ws; ELSIF iram_in.write_req = TRUE THEN -- write to iram wr_data(to_integer(signed(iram_in.adr)), iram_in.wr_dat, "1111", mem_head, msg_wr); ELSE -- read from iram rd_data(to_integer(signed(iram_in.adr)), data, allocated, mem_head, msg_rd); iram_out.rd_dat <= data; END IF; conf_ack_int := TRUE; -- handshake acknowledge conf_ack <= conf_ack_int; WAIT until iram_in.conf_req = FALSE; conf_ack_int := FALSE; -- handshake acknowledge conf_ack <= conf_ack_int; END IF; IF rising_edge_clk THEN time_cnt_var := time_cnt_var + 1; --************************************************************************************** -- Wishbone Access -- --************************************************************************************** IF temp_stb_i = '1' AND temp_cyc_i = '1' THEN --************************************************************************************** -- Generate Address Acknowledge -- -- Detect start of Wishbone access. Request waitstates for the current data phase. -- Generate address acknowledge after the waitstates have been processed. -- Indicate the end of an access to the address waitstate generation engine. --************************************************************************************** IF temp_we_i = '0' OR (temp_we_i = '1' AND acc_req_wrptr = acc_req_rdptr) THEN IF NOT astart_done THEN -- detected start of burst IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 1: first address phase detected" SEVERITY NOTE; END IF; astart_done := TRUE; -- mark start of burst as done gen_req(a_ws_req_int, a_ws_ack_int); -- get address waitstates a_ws_end_acc <= FALSE; -- acknowledged by gen_req() a_ws_cnt := 0; -- set address waitstate counter adr_int_read := temp_adr_i; -- store address because internally incremented IF temp_cti_i = "001" OR temp_cti_i = "011" THEN st_flag := TRUE; ELSE st_flag := FALSE; END IF; ELSIF temp_aack_o = '1' THEN -- end of burst and acknowledge was set for the last clock cycle IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 2: address phase finished" SEVERITY NOTE; END IF; gen_req(a_ws_req_int, a_ws_ack_int); -- get address waitstates -- a_ws_end_acc <= FALSE; -- acknowledged by gen_req() a_ws_cnt := 0; -- set address waitstate counter ELSE -- insert waitstate IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 5: ELSE" SEVERITY NOTE; END IF; IF a_ws_cnt < a_ws_int THEN a_ws_cnt := a_ws_cnt + 1; -- increment waitstate counter END IF; END IF; END IF; END IF; --IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 8: a_ws_cnt=" & integer'image(a_ws_cnt) & " a_ws_int=" & integer'image(a_ws_int) SEVERITY NOTE; END IF; IF astart_done AND a_ws_cnt >= a_ws_int THEN aack_o_int_var := '1'; ELSE aack_o_int_var := '0'; END IF; -- handle end of access for address phase IF temp_stb_i = '0' OR temp_cyc_i = '0' THEN -- previous clock cycle was idle astart_done := FALSE; a_ws_end_acc <= TRUE; --IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 6: set astart_done=false" SEVERITY NOTE; END IF; ELSIF temp_stb_i = '1' AND temp_cyc_i = '1'AND aack_o_int_var = '1' AND (temp_cti_i = "000" OR temp_cti_i = "111" OR temp_cti_i = "001") THEN -- clock cycle is access and last data phase astart_done := FALSE; a_ws_end_acc <= TRUE; --IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 7: set astart_done=false" SEVERITY NOTE; END IF; END IF; aack_o_int <= aack_o_int_var; --************************************************************************************** -- Store address phase into data phase FIFO -- -- Store the current address phase. --************************************************************************************** IF aack_o_int_var = '1' THEN IF temp_we_i = '1' THEN -- store address phase in FIFO in case of address acknowledge (write access) -- store address phase to WRADR FIFO IF DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 1: write: address phase = " & to_hex_str(adr_int_read) SEVERITY NOTE; END IF; wradr_buf(wradr_wrptr).adr := adr_int_read; incr(wradr_wrptr, WRDAT_BUFFER_SIZE, WRAP_ON); END IF; IF temp_we_i = '1' AND DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 2: write to adr_int_read = " & to_hex_str(adr_int_read) SEVERITY NOTE; ELSIF DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 3: read from adr_int_read = " & to_hex_str(adr_int_read) SEVERITY NOTE; END IF; acc_req_buf(acc_req_wrptr).we := temp_we_i; acc_req_buf(acc_req_wrptr).adr := adr_int_read; acc_req_buf(acc_req_wrptr).cti := temp_cti_i; acc_req_buf(acc_req_wrptr).eob_flag := FALSE; -- not end of burst delimiter acc_req_buf(acc_req_wrptr).st_flag := st_flag; acc_req_buf(acc_req_wrptr).time_cnt := time_cnt_var; incr(acc_req_wrptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); IF DAT_BITS = 64 THEN IF temp_cti_i = "011" AND adr_int_read(4 DOWNTO 3) = "11" THEN -- current address is stored for Linear Incrementing / Cache Line Wrap Burst adr_int_read := std_logic_vector(unsigned(adr_int_read) - 3*8); ELSE adr_int_read := std_logic_vector(unsigned(adr_int_read) + 8); END IF; ELSIF DAT_BITS = 32 THEN IF temp_cti_i = "011" AND adr_int_read(3 DOWNTO 2) = "11" THEN -- current address is stored for Linear Incrementing / Cache Line Wrap Burst adr_int_read := std_logic_vector(unsigned(adr_int_read) - 3*4); ELSE adr_int_read := std_logic_vector(unsigned(adr_int_read) + 4); END IF; ELSE REPORT "WRONG DATA WIDTH " SEVERITY NOTE; END IF; END IF; --************************************************************************************** -- Store end of access delimiter into data phase FIFO -- -- Store a delimiter entry into data phase FIFO after the last address phases of an access -- was stored. --************************************************************************************** IF aack_o_int_var = '1' AND (temp_cti_i = "000" OR temp_cti_i = "111" OR temp_cti_i = "001") THEN -- end of burst has been reached -> store delimiter IF DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 1: write eob " SEVERITY NOTE; END IF; acc_req_buf(acc_req_wrptr).we := '0'; acc_req_buf(acc_req_wrptr).adr := adr_int_read; acc_req_buf(acc_req_wrptr).cti := temp_cti_i; acc_req_buf(acc_req_wrptr).eob_flag := TRUE; -- end of burst delimiter acc_req_buf(acc_req_wrptr).st_flag := FALSE; acc_req_buf(acc_req_wrptr).time_cnt := time_cnt_var; incr(acc_req_wrptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END IF; --************************************************************************************** -- Handle end of access delimiter -- -- Read all delimers out of data phase FIFO. Set the generation of data acknowledges to -- an initial state. --************************************************************************************** while acc_req_wrptr /= acc_req_rdptr AND acc_req_buf(acc_req_rdptr).eob_flag LOOP -- special buffer entry: end of burst IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 1: eob_flag" SEVERITY NOTE; END IF; d_ws_end_acc <= TRUE; -- set flag d_ws_end_acc (reset automatic waitstate generation) dstart_done := FALSE; -- indicate start of read burst is not handled yet incr(acc_req_rdptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END LOOP; --************************************************************************************** -- Generate Data Acknowledge -- -- Read data phases out of data phase FIFO. Request waitstates for the current data phase. -- Generate data acknowledge after the waitstates have been processed. -- Indicate the end of an access to the data waitstate generation engine. --************************************************************************************** ack_o_int_var := '0'; IF acc_req_wrptr /= acc_req_rdptr THEN -- write access (any data phase) IF acc_req_buf(acc_req_rdptr).we = '1' THEN IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 2: write: ack of write access (d_ws_int = 0)" SEVERITY NOTE; END IF; dstart_done := TRUE; -- indicate start of access was handled gen_req(d_ws_req_int, d_ws_ack_int); -- get waitstates d_ws_end_acc <= FALSE; d_ws_cnt := d_ws_int; -- set waitstate counter to immediately generate the acknowledge (no waitstates for write access) -- read access (first or following data phase) ELSIF dstart_done = FALSE OR d_ws_cnt >= d_ws_int THEN gen_req(d_ws_req_int, d_ws_ack_int); -- get waitstates d_ws_end_acc <= FALSE; IF acc_req_buf(acc_req_rdptr).st_flag = TRUE THEN d_ws_cnt := 0; -- enable data waitstates for split transaction ELSE d_ws_cnt := d_ws_int; -- disable data waitstates for non-split transaction END IF; IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 3: read: dstart_done=" & boolean'image(dstart_done) & " d_ws_cnt=" & integer'image(d_ws_cnt) & ", d_ws_int=" & integer'image(d_ws_int) SEVERITY NOTE; END IF; -- ensure that data startdelay is hold IF dstart_done = FALSE THEN WHILE acc_req_buf(acc_req_rdptr).time_cnt /= time_cnt_var LOOP d_ws_cnt := d_ws_cnt + 1; acc_req_buf(acc_req_rdptr).time_cnt := acc_req_buf(acc_req_rdptr).time_cnt + 1; END LOOP; END IF; dstart_done := TRUE; -- indicate start of access was handled IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 3a: read: d_ws_cnt=" & integer'image(d_ws_cnt) & ", d_ws_int=" & integer'image(d_ws_int) SEVERITY NOTE; END IF; -- insert waitstates for read access ELSE IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 4: ELSE" SEVERITY NOTE; END IF; IF d_ws_cnt < d_ws_int THEN d_ws_cnt := d_ws_cnt + 1; -- increment waitstate counter END IF; END IF; END IF; -- set data acknowledge in case all waitstates have been processed IF dstart_done AND d_ws_cnt >= d_ws_int AND acc_req_wrptr /= acc_req_rdptr THEN ack_o_int_var := '1'; ELSE ack_o_int_var := '0'; END IF; --************************************************************************************** -- Process Data Phase -- -- Handle the current data phase when the data acknowledge is set. For write accesses -- write the input data of Wishbone bus to internal memory For read accesses perform a -- read access to internal memory and output the read data on Wishbone interface. --************************************************************************************** IF ack_o_int_var = '1' THEN IF acc_req_buf(acc_req_rdptr).we = '0' THEN IF DEBUG_MEM_DATA THEN REPORT "DEBUG_MEM_DATA 1: read data from address " & to_hex_str(acc_req_buf(acc_req_rdptr).adr) SEVERITY NOTE; END IF; rd_data(to_integer(signed(acc_req_buf(acc_req_rdptr).adr)), data, allocated, mem_head, msg_rd); dat_o_int <= (OTHERS => '0'); IF rddata_sel THEN FOR i IN temp_sel_i'low TO temp_sel_i'high LOOP IF temp_sel_i(i) = '1' THEN dat_o_int(i*8+7 DOWNTO i*8) <= data(i*8+7 DOWNTO i*8); END IF; END LOOP; ELSE dat_o_int <= data; END IF; ELSE wr_data(to_integer(signed(acc_req_buf(acc_req_rdptr).adr)), temp_dat_i, temp_sel_i, mem_head, msg_wr); END IF; incr(acc_req_rdptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END IF; ack_o_int <= ack_o_int_var; --************************************************************************************** -- Handle end of access delimiter (second time - if more access delimiters are stored -- after end of access) -- -- Read all delimers out of data phase FIFO. Set the generation of data acknowledges to -- an initial state. --************************************************************************************** while acc_req_wrptr /= acc_req_rdptr AND acc_req_buf(acc_req_rdptr).eob_flag LOOP -- special buffer entry: end of burst IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 1: eob_flag" SEVERITY NOTE; END IF; d_ws_end_acc <= TRUE; -- set flag d_ws_end_acc (reset automatic waitstate generation) dstart_done := FALSE; -- indicate start of read burst is not handled yet incr(acc_req_rdptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END LOOP; END IF; IF rst /= '1' THEN WAIT until rising_edge(clk) OR iram_in.conf_req'event OR rst = '1'; END IF; IF rst = '1' THEN exit gen_loop; END IF; END LOOP gen_loop; END PROCESS; --************************************************************************************** -- Acknowledge Check -- -- Check address acknowledge: detect startdelay and waitstates and check against the -- IRAM configuration -- Check data acknowledge : use IRAM configuration to generate a reference acknowledge -- and check against data acknowledge of IRAM model -- -- Note: The acknowledge check is disabled for external waitstates and break delay. --************************************************************************************** PROCESS BEGIN WAIT until unsigned(err) /= 0; WAIT until rising_edge(clk); WAIT until rising_edge(clk); WAIT until rising_edge(clk); WAIT until rising_edge(clk); WAIT until rising_edge(clk); REPORT "IRAM: END ON ERROR" SEVERITY failure; END PROCESS; PROCESS VARIABLE time_cnt: natural := 0; CONSTANT ACK_ARRAY_SIZE: natural := 100; TYPE ack_array_type IS array (ACK_ARRAY_SIZE-1 DOWNTO 0) OF natural; VARIABLE ack_array: ack_array_type; VARIABLE ack_array_wrptr: natural; VARIABLE ack_array_rdptr: natural; VARIABLE ack_array_last_entry: natural; VARIABLE first_adr_phase: boolean := TRUE; VARIABLE a_ws: integer := 0; VARIABLE a_ws_cnt: integer := 0; VARIABLE dbg_d_sd_stored: integer; VARIABLE dbg_d_ws_stored: integer; VARIABLE st_flag: boolean; VARIABLE disable: boolean := FALSE; VARIABLE st_rd_access: boolean; VARIABLE st_rd_access_q: boolean; BEGIN -- initialize aack array FOR i1 IN ACK_ARRAY_SIZE-1 DOWNTO 0 LOOP ack_array(i1) := 0; END LOOP; ack_array_rdptr := 0; ack_array_wrptr := 0; LOOP WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); dbg_a_sd_valid <= FALSE; dbg_a_ws_valid <= FALSE; IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN dbg_d_sd_stored := iram_in.d_startdelay; dbg_d_ws_stored := iram_in.d_waitstates; IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN dgb_ack_dut <= ack_o_int; -- check detect aack and store expected ack in FIFO -- detect address phases IF stb_i = '1' AND cyc_i = '1' THEN IF aack_o_int = '1' AND first_adr_phase = TRUE THEN IF DEBUG_ACK_CHECK THEN print_now("IRAM DEBUG: first address phase with aack=1, a_ws=" & integer'image(a_ws)); END IF; first_adr_phase := FALSE; st_rd_access_q := st_rd_access; IF (cti_i = "011" OR cti_i = "001") AND we_i = '0' THEN st_rd_access := TRUE; ELSE st_rd_access := FALSE; END IF; dbg_a_sd <= a_ws_cnt; IF st_rd_access_q = TRUE AND we_i = '1' THEN dbg_a_sd_valid <= FALSE; ELSE dbg_a_sd_valid <= TRUE; END IF; IF cti_i = "001" OR cti_i = "011" THEN st_flag := TRUE; ELSE st_flag := FALSE; END IF; IF we_i = '1' OR st_flag = FALSE THEN ack_array(ack_array_wrptr) := time_cnt; ELSE ack_array(ack_array_wrptr) := time_cnt + dbg_d_sd_stored; ack_array_last_entry := ack_array(ack_array_wrptr); END IF; IF ack_array_wrptr = ACK_ARRAY_SIZE-1 THEN ack_array_wrptr := 0; ELSE ack_array_wrptr := ack_array_wrptr + 1; END IF; IF ack_array_wrptr = ack_array_rdptr THEN REPORT "FATAL ERROR: ack_array overflow" SEVERITY failure; END IF; ELSIF aack_o_int = '1' THEN IF DEBUG_ACK_CHECK THEN print_now("IRAM DEBUG: address phase: cti=0b010, a_ws=" & integer'image(a_ws)); END IF; dbg_a_ws <= a_ws_cnt; dbg_a_ws_valid <= TRUE; IF we_i = '1' OR st_flag = FALSE THEN ack_array(ack_array_wrptr) := time_cnt; ELSE IF time_cnt > ack_array_last_entry+1 THEN ack_array(ack_array_wrptr) := time_cnt + dbg_d_ws_stored; ELSE ack_array(ack_array_wrptr) := ack_array_last_entry+1 + dbg_d_ws_stored; END IF; END IF; ack_array_last_entry := ack_array(ack_array_wrptr); IF ack_array_wrptr = ACK_ARRAY_SIZE-1 THEN ack_array_wrptr := 0; ELSE ack_array_wrptr := ack_array_wrptr + 1; END IF; IF ack_array_wrptr = ack_array_rdptr THEN REPORT "FATAL ERROR: ack_array overflow" SEVERITY failure; END IF; ELSIF aack_o_int = '0' THEN a_ws_cnt := a_ws_cnt + 1; END IF; END IF; IF (stb_i = '1' AND cyc_i = '1' AND aack_o_int = '1' AND (cti_i = "000" OR cti_i = "111" OR cti_i = "001") ) OR stb_i = '0' OR cyc_i = '0' THEN first_adr_phase := TRUE; END IF; IF (stb_i = '1' AND cyc_i = '1' AND aack_o_int = '1' ) OR stb_i = '0' OR cyc_i = '0' THEN a_ws_cnt := 0; END IF; IF stb_i = '1' AND cyc_i = '1' THEN IF DEBUG_ACK_CHECK THEN print_now("IRAM DEBUG: a_ws_cnt=" & integer'image(a_ws_cnt)); END IF; END IF; -- generate reference ack dgb_ack <= '0'; IF ack_array_wrptr /= ack_array_rdptr THEN IF DEBUG_ACK_CHECK THEN print_now("ack_array_wrptr=" & integer'image(ack_array_wrptr) & ", ack_array_rdptr=" & integer'image(ack_array_rdptr)); END IF; IF DEBUG_ACK_CHECK THEN print_now("ack_array(ack_array_rdptr)=" & integer'image(ack_array(ack_array_rdptr)) & ", time_cnt=" & integer'image(time_cnt)); END IF; IF time_cnt >= ack_array(ack_array_rdptr) THEN dgb_ack <= '1'; IF ack_array_rdptr = ACK_ARRAY_SIZE-1 THEN ack_array_rdptr := 0; ELSE ack_array_rdptr := ack_array_rdptr + 1; END IF; END IF; END IF; time_cnt := time_cnt + 1; time_cnt_sig <= time_cnt; END IF; END LOOP; END PROCESS; PROCESS VARIABLE disable: boolean := FALSE; BEGIN WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN err(2) <= '0'; IF dgb_ack /= dgb_ack_dut THEN print_now("ERROR: dgb_ack_dut = " & std_logic'image(dgb_ack_dut) & " but shall be " & std_logic'image(dgb_ack)); err(2) <= '1'; END IF; END IF; END PROCESS; PROCESS VARIABLE disable: boolean := FALSE; VARIABLE dbg_a_sd_stored: integer; BEGIN WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN dbg_a_sd_stored := iram_in.a_startdelay; IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN IF dbg_a_sd_valid THEN err(0) <= '0'; IF dbg_a_sd /= dbg_a_sd_stored THEN print_now("ERROR: dbg_a_sd = " & integer'image(dbg_a_sd) & " but shall be " & integer'image(dbg_a_sd_stored)); err(0) <= '1'; END IF; END IF; END IF; END PROCESS; PROCESS VARIABLE disable: boolean := FALSE; VARIABLE dbg_a_ws_stored: integer; BEGIN WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN dbg_a_ws_stored := iram_in.a_waitstates; IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN IF dbg_a_ws_valid THEN err(1) <= '0'; IF dbg_a_ws /= dbg_a_ws_stored THEN print_now("ERROR: dbg_a_ws = " & integer'image(dbg_a_ws) & " but shall be " & integer'image(dbg_a_ws_stored)); err(1) <= '1'; END IF; END IF; END IF; END PROCESS; END iram32_sim_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBSCFIFO80x64WC IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END DPBSCFIFO80x64WC; ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(79 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBSCFIFO80x64WC_arch : ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "DPBSCFIFO80x64WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=80,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=80,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=62,C_PROG_FULL_THRESH_NEGATE_VAL=61,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 80, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 80, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 1, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x72", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 62, C_PROG_FULL_THRESH_NEGATE_VAL => 61, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 64, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 6, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 64, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 6, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, data_count => data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBSCFIFO80x64WC_arch;
---------------------------------------------------------------------------------- -- Company: WUT -- Engineer: abyszuk -- -- Create Date: 12:29:46 04/15/2008 -- Design Name: -- Module Name: DDRs_Control - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: DDR core for Virtex6 or 7series devices -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; library work; use work.abb64Package.all; entity DDRs_Control is generic ( C_ASYNFIFO_WIDTH : integer := 72; DATA_WIDTH : integer := 64; ADDR_WIDTH : integer; P_SIMULATION : string := "FALSE"; DDR_DQ_WIDTH : integer; DDR_PAYLOAD_WIDTH : integer; DEVICE_TYPE : string -- "VIRTEX6" -- "KINTEX7" -- "ARTIX7" ); port ( -- FPGA interface -- wr_clk : in std_logic; wr_eof : in std_logic; wr_v : in std_logic; wr_shift : in std_logic; wr_mask : in std_logic_vector(2-1 downto 0); wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); wr_full : out std_logic; rd_clk : in std_logic; rdc_v : in std_logic; rdc_shift : in std_logic; rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); rdc_full : out std_logic; -- DDR payload FIFO Read Port rdd_fifo_rden : in std_logic; rdd_fifo_empty : out std_logic; rdd_fifo_dout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Memory controller interface -- memc_cmd_rdy : in std_logic; memc_cmd_en : out std_logic; memc_cmd_instr : out std_logic_vector(2 downto 0); memc_cmd_addr : out std_logic_vector(ADDR_WIDTH-1 downto 0); memc_wr_en : out std_logic; memc_wr_end : out std_logic; memc_wr_mask : out std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0); memc_wr_data : out std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); memc_wr_rdy : in std_logic; memc_rd_en : out std_logic; memc_rd_data : in std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); memc_rd_valid : in std_logic; -- Memory arbiter interface memarb_acc_req : out std_logic; memarb_acc_gnt : in std_logic; memc_ui_clk : in std_logic; ddr_rdy : in std_logic; reset : in std_logic ); end entity DDRs_Control; architecture Behavioral of DDRs_Control is constant DDRAM_RDCNT_DECVAL : integer := DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH;--2DW counted constant DDRAM_ADDR_INCVAL : integer := DDRAM_RDCNT_DECVAL*8;--byte counted constant DDRAM_ADDR_DECSHIFT : integer := C_DBUS_WIDTH/DDR_DQ_WIDTH; constant WPIPE_F2M_ASHIFT_BTOP : integer := integer(log2(real(DDR_PAYLOAD_WIDTH)))-4; constant WPIPE_F2M_ASHIFT_BBOT : integer := WPIPE_F2M_ASHIFT_BTOP-2; constant RPIPE_ASHIFT_BTOP : integer := integer(log2(real(DDR_DQ_WIDTH)))-1; constant RPIPE_ASHIFT_BBOT : integer := RPIPE_ASHIFT_BTOP-2; constant MEMC_ADDR_BBOT_LIMIT : integer := integer(log2(real(DDR_PAYLOAD_WIDTH/DDR_DQ_WIDTH))); -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- component prime_FIFO_plain port ( wr_clk : in std_logic; wr_en : in std_logic; din : in std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); full : out std_logic; prog_full : out std_logic; rd_clk : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); empty : out std_logic; rst : in std_logic ); end component; COMPONENT sfifo_15x128 PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(127 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(127 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC ); END COMPONENT; -- -- --------------------------------------------------------------------- signal Rst_i : std_logic; signal rst_n : std_logic; -- -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- write State machine type ddr_wrStates is (wrST_bram_RESET , wrST_Idle , wrST_ACC_REQ , wrST_Address , wrST_1st_Data , wrST_1st_Data_b2b , wrST_more_Data , wrST_last_Dw ); -- State variables signal DDR_wr_state : ddr_wrStates; -- -- -- Write Pipe Channel signal wpipe_wEn : std_logic; signal wpipe_Din : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal wpipe_aFull : std_logic; signal wpipe_Full : std_logic; signal wpipe_rEn : std_logic; signal wpipe_rd_en : std_logic; signal wpipe_ren_stopnow : std_logic; signal wpipe_Qout : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal wpipe_Empty : std_logic; signal wpipe_Qout_latch : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal wpipe_qout_lo32b : std_logic_vector(33-1 downto 0); signal wpipe_qout_hi32b : std_logic_vector(33-1 downto 0); signal wpipe_QW_Aligned : std_logic; signal wpipe_read_valid : std_logic; signal wpipe_wr_en : std_logic; signal ddram_wr_data : std_logic_vector(memc_wr_data'range); signal ddram_wr_addr : unsigned(C_DDR_IAWIDTH-1 downto 0); signal ddram_wr_valid : std_logic; signal ddram_wr_mask : std_logic_vector(memc_wr_mask'range); signal ddram_wr_cmd_valid : std_logic; signal wpipe_f2m_empty : std_logic; signal wpipe_f2m_empty_r1 : std_logic; signal wpipe_f2m_empty_r2 : std_logic; signal wpipe_f2m_qout : std_logic_vector(127 downto 0); signal wpipe_f2m_din : std_logic_vector(127 downto 0) := (others => '0'); signal wpipe_f2m_rd_en : std_logic; signal wpipe_f2m_rd : std_logic; signal wpipe_f2m_rd_fin : std_logic; signal wpipe_f2m_cnt : unsigned(3 downto 0); signal wpipe_wr_mask : std_logic_vector(DATA_WIDTH/8-1 downto 0); signal wpipe_wr_data : std_logic_vector(DATA_WIDTH-1 downto 0); signal wpipe_wr_sof : std_logic; signal wpipe_wr_pause : std_logic; signal wpipe_f2m_full : std_logic; signal wpipe_f2m_valid : std_logic; signal wpipe_arb_req : std_logic; signal wpipe_f2m_arb_req : std_logic; signal wpipe_wr_eof : std_logic; signal wpipe_fill_eof : std_logic; signal pRAM_addra_inc : std_logic; signal wpipe_f2m_shift_start : unsigned(2 downto 0); -- read State machine type ddr_rdstates is (rdst_RESET , rdst_IDLE , rdst_ACC_REQ , rdst_b4_LA , rdst_LA , rdst_CMD , rdst_DATA , rdst_WAIT , rdst_LAST_QW ); -- State variables signal DDR_rd_state : ddr_rdstates; signal rpiped_rd_cnt : unsigned(C_TLP_FLD_WIDTH_OF_LENG-2 downto 0); --2DW counter signal rpiped_rd_cnt_latch : unsigned(rpiped_rd_cnt'range); signal rpiped_wr_EOF : std_logic; signal rpipec_read_valid : std_logic; signal rpiped_wr_skew : std_logic; signal rpiped_written : std_logic; signal rpiped_written_r : std_logic; signal rpiped_written_r2 : std_logic; signal rpiped_rdconv_cnt : unsigned(4 downto 0); signal rpiped_rd_shift_start : unsigned(5 downto 0) := (others => '0'); signal rpiped_omit : std_logic; signal rpiped_omit_skew : std_logic; -- -- -- Read Pipe Command Channel signal rpipec_wEn : std_logic; signal rpipec_Din : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal rpipec_aFull : std_logic; signal rpipec_rEn : std_logic; signal rpipec_Qout : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal rpipec_Empty : std_logic; signal ddram_rd_addr : unsigned(C_DDR_IAWIDTH-1 downto 0); signal rpipe_arb_req : std_logic; -- -- -- Read Pipe Data Channel signal rpiped_wen : std_logic; signal rpiped_wen_last : std_logic := '0'; signal rpiped_wr_en : std_logic; signal rpiped_Din : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal rpiped_aFull : std_logic; signal rpiped_Qout : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); -- DDR UI & width conversion signals signal memc_rd_addr : unsigned(ADDR_WIDTH-1 downto 0) := (others => '0'); signal memc_rd_cmd : std_logic; signal memc_rd_data_r1 : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); signal memc_rd_data_r2 : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); signal memc_rd_data_r3 : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); signal memc_rd_data_conv : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); signal memc_rd_shift_r : std_logic_vector(31 downto 0); signal memc_wr_addr : unsigned(ADDR_WIDTH-1 downto 0) := (others => '0'); signal memc_wr_data_en : std_logic; signal memc_wr_cmd_en : std_logic; signal memarb_acc_req_i : std_logic; begin Rst_i <= reset or not(ddr_rdy); rst_n <= not(rst_i); coreaddr_7s: if DEVICE_TYPE = "KINTEX7" or DEVICE_TYPE = "ARTIX7" generate -- memc_*_addr address LSb is DQ_WIDTH aligned, but addresses passed to DDR core need to be PAYLOAD_WIDTH aligned -- while ddram_*_addr has byte alignment memc_rd_addr(ddram_rd_addr'left-(RPIPE_ASHIFT_BTOP+1)+MEMC_ADDR_BBOT_LIMIT downto MEMC_ADDR_BBOT_LIMIT) <= ddram_rd_addr(ddram_rd_addr'left downto RPIPE_ASHIFT_BTOP+1); memc_wr_addr(ddram_wr_addr'left-(WPIPE_F2M_ASHIFT_BTOP+1)+MEMC_ADDR_BBOT_LIMIT downto MEMC_ADDR_BBOT_LIMIT) <= ddram_wr_addr(ddram_wr_addr'left downto WPIPE_F2M_ASHIFT_BTOP+1); end generate; coreaddr_v6: if DEVICE_TYPE = "VIRTEX6" generate -- memc_*_addr address LSb is DQ_WIDTH aligned, but addresses passed to DDR core need to be PAYLOAD_WIDTH aligned -- while ddram_*_addr has byte alignment memc_rd_addr(ddram_rd_addr'left-(RPIPE_ASHIFT_BTOP+1)+MEMC_ADDR_BBOT_LIMIT-1 downto MEMC_ADDR_BBOT_LIMIT-1) <= ddram_rd_addr(ddram_rd_addr'left downto RPIPE_ASHIFT_BTOP+1); memc_wr_addr(ddram_wr_addr'left-(WPIPE_F2M_ASHIFT_BTOP+1)+MEMC_ADDR_BBOT_LIMIT-1 downto MEMC_ADDR_BBOT_LIMIT-1) <= ddram_wr_addr(ddram_wr_addr'left downto WPIPE_F2M_ASHIFT_BTOP+1); end generate; memc_cmd_en <= memc_rd_cmd or memc_wr_cmd_en; memc_cmd_instr <= "00" & memc_rd_cmd; memc_cmd_addr <= std_logic_vector(memc_wr_addr) when memc_wr_cmd_en = '1' else std_logic_vector(memc_rd_addr); memc_wr_en <= memc_wr_data_en; memc_wr_end <= memc_wr_data_en; memc_wr_data <= ddram_wr_data; memc_wr_mask <= ddram_wr_mask; memarb_acc_req_i <= wpipe_arb_req or wpipe_f2m_arb_req or rpipe_arb_req; memarb_acc_req <= memarb_acc_req_i; -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- DDR_pipe_write_fifo : prime_FIFO_plain port map( wr_clk => wr_clk, -- IN std_logic; wr_en => wpipe_wEn, -- IN std_logic; din => wpipe_Din, -- IN std_logic_VECTOR(35 downto 0); prog_full => wpipe_aFull, -- OUT std_logic; full => wpipe_Full, -- OUT std_logic; rd_clk => memc_ui_clk, -- IN std_logic; rd_en => wpipe_rd_en, -- IN std_logic; dout => wpipe_Qout, -- OUT std_logic_VECTOR(35 downto 0); empty => wpipe_Empty, -- OUT std_logic; rst => Rst_i -- IN std_logic ); wpipe_wEn <= wr_v; wpipe_Din <= wr_mask & wr_shift & '0' & '0' & wr_eof & '0' & '0' & wr_din; wr_full <= wpipe_aFull; wpipe_rd_en <= wpipe_rEn and not(wpipe_ren_stopnow) and not(wpipe_f2m_full); -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- DDR_pipe_write_f2m_fifo : sfifo_15x128 PORT MAP ( clk => memc_ui_clk, rst => Rst_i, din => wpipe_f2m_din, wr_en => wpipe_wr_en, rd_en => wpipe_f2m_rd_en, dout => wpipe_f2m_qout, full => open, empty => wpipe_f2m_empty, prog_full => wpipe_f2m_full, prog_empty => open ); wpipe_f2m_din(74-1 downto 0) <= wpipe_wr_eof & wpipe_wr_sof & wpipe_wr_mask & wpipe_wr_data; --stall FIFO readout when data was written, but command wasn't yet --or if EOF bit is valid --or if it's last word in a DDR_PAYLOAD_WIDTH block wpipe_f2m_rd_en <= wpipe_f2m_rd and memc_wr_rdy and not(not(ddram_wr_valid) and ddram_wr_cmd_valid) and not(wpipe_f2m_qout(73) and wpipe_f2m_valid) and wpipe_f2m_rd_fin; wpipe_f2m_rd_fin <= '0' when wpipe_f2m_cnt >= (DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH - 1) and wpipe_f2m_valid = '1' else '1'; --keep requesting arbiter access if there's any data left to write wpipe_f2m_arb_req <= '1' when ((wpipe_f2m_cnt /= 0) or wpipe_f2m_empty_r2 = '0' or wpipe_f2m_empty_r1 = '0' or wpipe_f2m_empty = '0') else '0'; memc_wr_data_en <= ddram_wr_valid; memc_wr_cmd_en <= ddram_wr_cmd_valid; -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- DDR_pipe_read_C_fifo : prime_FIFO_plain port map( wr_clk => rd_clk, -- IN std_logic; wr_en => rpipec_wEn, -- IN std_logic; din => rpipec_Din, -- IN std_logic_VECTOR(35 downto 0); prog_full => rpipec_aFull, -- OUT std_logic; full => open, --rpipec_Full , -- OUT std_logic; rd_clk => memc_ui_clk, -- IN std_logic; rd_en => rpipec_rEn, -- IN std_logic; dout => rpipec_Qout, -- OUT std_logic_VECTOR(35 downto 0); empty => rpipec_Empty, -- OUT std_logic; rst => Rst_i -- IN std_logic ); rpipec_wEn <= rdc_v; rpipec_Din <= "00" & rdc_shift & '0' & '0' & '0' & '0' & '0' & rdc_din; rdc_full <= rpipec_aFull; -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- DDR_pipe_read_D_fifo : prime_FIFO_plain port map( wr_clk => memc_ui_clk, -- IN std_logic; wr_en => rpiped_wr_en, -- IN std_logic; din => rpiped_Din, -- IN std_logic_VECTOR(35 downto 0); prog_full => rpiped_aFull, -- OUT std_logic; full => open, -- rpiped_Full , -- OUT std_logic; rd_clk => rd_clk, -- IN std_logic; rd_en => rdd_fifo_rden, -- IN std_logic; dout => rpiped_Qout, -- OUT std_logic_VECTOR(35 downto 0); empty => rdd_fifo_empty, -- OUT std_logic; rst => Rst_i -- IN std_logic ); rdd_fifo_dout <= rpiped_Qout(C_DBUS_WIDTH-1 downto 0); rpiped_wr_en <= rpiped_wen or rpiped_wen_last; -- ------------------------------------------------ -- write States synchronous -- DDR_wr_States : process (memc_ui_clk, rst_i) begin if rst_i = '1' then DDR_wr_state <= wrST_bram_RESET; wpipe_rEn <= '0'; wpipe_wr_en <= '0'; wpipe_arb_req <= '0'; elsif memc_ui_clk'event and memc_ui_clk = '1' then case DDR_wr_state is when wrST_bram_RESET => DDR_wr_state <= wrST_Idle; wpipe_rEn <= '0'; wpipe_wr_en <= '0'; wpipe_arb_req <= '0'; when wrST_Idle => wpipe_rEn <= '0'; wpipe_wr_en <= '0'; wpipe_arb_req <= '0'; --don't request access if memory read is in progress if wpipe_Empty = '0' and rpipe_arb_req = '0' then DDR_wr_state <= wrST_ACC_REQ; else DDR_wr_state <= wrST_Idle; end if; when wrST_ACC_REQ => wpipe_rEn <= '0'; wpipe_wr_en <= '0'; wpipe_arb_req <= '1'; if memarb_acc_gnt = '1' then DDR_wr_state <= wrST_Address; else DDR_wr_state <= wrST_ACC_REQ; end if; when wrST_Address => pRAM_AddrA_Inc <= wpipe_Qout(2); wpipe_QW_Aligned <= not wpipe_Qout(69); wpipe_qout_lo32b <= (32 => '1', others => '0'); wpipe_qout_hi32b <= (32 => '1', others => '0'); wpipe_wr_mask <= (others => '1'); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); wpipe_rEn <= not(wpipe_f2m_full); wpipe_arb_req <= '1'; wpipe_wr_sof <= '1'; wpipe_wr_eof <= '0'; if wpipe_read_valid = '1' then DDR_wr_state <= wrST_1st_Data; wpipe_wr_en <= '1'; else DDR_wr_state <= wrST_Address; wpipe_wr_en <= '0'; end if; when wrST_1st_Data => wpipe_rEn <= not(wpipe_wr_pause or wpipe_f2m_full) and pRAM_AddrA_Inc; wpipe_arb_req <= '1'; wpipe_wr_sof <= '0'; if wpipe_read_valid = '0' then DDR_wr_state <= wrST_1st_Data; wpipe_wr_mask <= wpipe_wr_mask; wpipe_wr_data <= wpipe_wr_data; wpipe_wr_en <= '0'; elsif wpipe_Qout(66) = '1' then -- eof wpipe_wr_en <= '1'; if wpipe_QW_Aligned = '1' then DDR_wr_state <= wrST_Idle; wpipe_wr_eof <= '1'; wpipe_rEn <= '0'; wpipe_wr_mask <= (wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70)); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); elsif wpipe_Qout(70) = '1' then -- mask(0) DDR_wr_state <= wrST_Idle; wpipe_wr_eof <= '1'; wpipe_rEn <= '0'; wpipe_wr_mask <= (wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71)); wpipe_wr_data <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); elsif wpipe_Qout(71) = '1' then -- mask(1) DDR_wr_state <= wrST_Idle; wpipe_wr_eof <= '1'; wpipe_rEn <= '0'; wpipe_wr_mask <= X"0" & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH-1-32 downto 0) & wpipe_qout_hi32b(32-1 downto 0); else DDR_wr_state <= wrST_last_Dw; wpipe_wr_eof <= '0'; wpipe_wr_mask <= (wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32)); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH/2-1 downto 0) & wpipe_qout_lo32b(32-1 downto 0); wpipe_qout_hi32b <= wpipe_Qout(71) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); end if; else wpipe_wr_eof <= '0'; wpipe_qout_hi32b <= wpipe_Qout(71) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); if wpipe_QW_Aligned = '1' then DDR_wr_state <= wrST_more_Data; wpipe_wr_en <= '1'; wpipe_wr_mask <= (wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70)); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); elsif pRAM_AddrA_Inc = '1' then DDR_wr_state <= wrST_more_Data; wpipe_wr_en <= '1'; wpipe_wr_mask <= (wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32)); wpipe_wr_data <= wpipe_Qout(32-1 downto 0) & wpipe_qout_hi32b(32-1 downto 0); wpipe_qout_lo32b <= wpipe_Qout(70) & wpipe_Qout(32-1 downto 0); else DDR_wr_state <= wrST_1st_Data; wpipe_wr_en <= '0'; pRAM_AddrA_Inc <= '1'; wpipe_wr_mask <= X"FF"; wpipe_wr_data <= wpipe_wr_data; wpipe_qout_lo32b <= wpipe_Qout(70) & wpipe_Qout(32-1 downto 0); end if; end if; when wrST_more_Data => wpipe_rEn <= not(wpipe_wr_pause or wpipe_f2m_full); wpipe_arb_req <= '1'; wpipe_wr_sof <= '0'; if wpipe_read_valid = '0' then DDR_wr_state <= wrST_more_Data; -- wrST_1st_Data; wpipe_wr_mask <= (others => '1'); --wpipe_wr_mask; wpipe_wr_data <= wpipe_wr_data; wpipe_wr_en <= '0'; elsif wpipe_Qout(66) = '1' then -- eof wpipe_wr_en <= '1'; wpipe_rEn <= '0'; --!!! insert 1 cycle break, so the state machine can catch up with data flow wpipe_qout_hi32b <= wpipe_Qout(71) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); if wpipe_QW_Aligned = '1' then DDR_wr_state <= wrST_Idle; wpipe_wr_eof <= '1'; wpipe_rEn <= '0'; wpipe_wr_mask <= (wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70)); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); elsif wpipe_Qout(70) = '1' then -- mask(0) DDR_wr_state <= wrST_Idle; wpipe_wr_eof <= '1'; wpipe_rEn <= '0'; wpipe_wr_mask <= (wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71)); wpipe_wr_data <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); elsif wpipe_Qout(71) = '1' then -- mask(1) DDR_wr_state <= wrST_Idle; wpipe_wr_eof <= '1'; wpipe_wr_mask <= (wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32)); wpipe_wr_data <= wpipe_Qout(32-1 downto 0) & wpipe_qout_hi32b(32-1 downto 0); else DDR_wr_state <= wrST_last_Dw; wpipe_wr_eof <= '0'; wpipe_wr_mask <= (wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71)); wpipe_wr_data <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); end if; else wpipe_wr_en <= '1'; wpipe_wr_eof <= '0'; wpipe_qout_hi32b <= wpipe_Qout(71) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); if wpipe_QW_Aligned = '1' then DDR_wr_state <= wrST_more_Data; wpipe_wr_mask <= (wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70)); wpipe_wr_data <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); else DDR_wr_state <= wrST_more_Data; --wpipe_wr_mask <= (wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) --wpipe_wr_mask <= wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) --& wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70); wpipe_wr_mask <= (wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32) & wpipe_qout_hi32b(32)); wpipe_wr_data <= wpipe_Qout(32-1 downto 0) & wpipe_qout_hi32b(32-1 downto 0); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); end if; end if; when wrST_last_Dw => wpipe_rEn <= '0'; DDR_wr_state <= wrST_Idle; wpipe_wr_mask <= X"F0"; wpipe_wr_data <= wpipe_Qout(32-1 downto 0) & wpipe_qout_hi32b(32-1 downto 0); wpipe_wr_en <= '1'; wpipe_arb_req <= '1'; wpipe_wr_sof <= '0'; wpipe_wr_eof <= '1'; when others => DDR_wr_state <= wrST_bram_RESET; wpipe_wr_mask <= (others => '1'); wpipe_wr_data <= (others => '0'); wpipe_qout_lo32b <= (others => '0'); wpipe_QW_Aligned <= '1'; wpipe_wr_en <= '0'; pRAM_AddrA_Inc <= '1'; wpipe_arb_req <= '0'; end case; end if; end process; -- Syn_wPipe_read : process (memc_ui_clk, rst_n) begin if rst_n = '0' then wpipe_read_valid <= '0'; elsif memc_ui_clk'event and memc_ui_clk = '1' then wpipe_read_valid <= wpipe_rd_en and not wpipe_Empty; end if; end process; -- we have to stop reading FIFO in the same clock cycle that valid EOF flag is present, -- otherwise we lose one word wpipe_ren_stopnow <= wpipe_read_valid and wpipe_Qout(66); Syn_wPipe_f2m : process (memc_ui_clk, rst_n) begin if rst_n = '0' then wpipe_f2m_valid <= '0'; wpipe_f2m_empty_r1 <= '0'; wpipe_f2m_empty_r2 <= '0'; elsif rising_edge(memc_ui_clk) then wpipe_f2m_valid <= wpipe_f2m_rd_en and not wpipe_f2m_empty; wpipe_f2m_empty_r1 <= wpipe_f2m_empty; wpipe_f2m_empty_r2 <= wpipe_f2m_empty_r1; end if; end process; Syn_wPipe_memc_wr : process (memc_ui_clk, rst_n) begin if rising_edge(memc_ui_clk) then if rst_n = '0' then wpipe_f2m_rd <= '0'; ddram_wr_valid <= '0'; ddram_wr_cmd_valid <= '0'; wpipe_f2m_cnt <= (others => '0'); wpipe_fill_eof <= '0'; else wpipe_wr_pause <= not(memc_cmd_rdy); ddram_wr_addr <= ddram_wr_addr; ddram_wr_valid <= ddram_wr_valid; ddram_wr_cmd_valid <= ddram_wr_cmd_valid; if wpipe_f2m_cnt = DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH then wpipe_f2m_rd <= '0'; wpipe_f2m_cnt <= wpipe_f2m_cnt; wpipe_fill_eof <= '0'; if memc_wr_rdy = '1' and ddram_wr_valid = '1' then ddram_wr_valid <= '0'; end if; if memc_cmd_rdy = '1' and ddram_wr_cmd_valid = '1' then ddram_wr_cmd_valid <= '0'; wpipe_f2m_cnt <= (others => '0'); ddram_wr_addr <= ddram_wr_addr + DDRAM_ADDR_INCVAL - wpipe_f2m_shift_start; wpipe_f2m_shift_start <= (others => '0'); --no longer needed after 1st write end if; else ddram_wr_valid <= '0'; ddram_wr_cmd_valid <= '0'; if wpipe_fill_eof = '0' then wpipe_f2m_rd <= '1'; wpipe_fill_eof <= wpipe_fill_eof; if wpipe_f2m_valid = '1' then ddram_wr_data(to_integer(wpipe_f2m_cnt+1)*C_DBUS_WIDTH - 1 downto to_integer(wpipe_f2m_cnt)*C_DBUS_WIDTH) <= wpipe_f2m_qout(DATA_WIDTH-1 downto 0); ddram_wr_mask(to_integer(wpipe_f2m_cnt+1)*C_DBUS_WIDTH/8 - 1 downto to_integer(wpipe_f2m_cnt)*C_DBUS_WIDTH/8) <= wpipe_f2m_qout(DATA_WIDTH+8-1 downto DATA_WIDTH); if wpipe_f2m_qout(73) = '1' then --wpipe_wr_eof wpipe_fill_eof <= '1'; wpipe_f2m_rd <= '0'; end if; if wpipe_f2m_qout(72) = '1' then --wpipe_wr_sof --because first write access can be unaligned with respect to DDR core PAYLOAD_WIDTH --we have to preload respective registers with correct values wpipe_f2m_cnt <= (others => '0'); wpipe_f2m_cnt(WPIPE_F2M_ASHIFT_BBOT-1 downto 0) <= unsigned(wpipe_f2m_qout(WPIPE_F2M_ASHIFT_BTOP downto 3)); ddram_wr_mask <= (others => '1'); ddram_wr_addr <= unsigned(wpipe_f2m_qout(C_DDR_IAWIDTH-1 downto 0)); wpipe_f2m_shift_start <= unsigned(wpipe_f2m_qout(WPIPE_F2M_ASHIFT_BTOP downto WPIPE_F2M_ASHIFT_BBOT)); else wpipe_f2m_cnt <= wpipe_f2m_cnt + 1; end if; if wpipe_f2m_cnt = (DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH - 1) then ddram_wr_valid <= '1'; ddram_wr_cmd_valid <= '1'; wpipe_f2m_rd <= '0'; end if; else wpipe_f2m_cnt <= wpipe_f2m_cnt; ddram_wr_data <= ddram_wr_data; ddram_wr_mask <= ddram_wr_mask; end if; else ddram_wr_data <= ddram_wr_data; ddram_wr_mask(to_integer(wpipe_f2m_cnt+1)*C_DBUS_WIDTH/8 - 1 downto to_integer(wpipe_f2m_cnt)*C_DBUS_WIDTH/8) <= x"FF"; wpipe_f2m_cnt <= wpipe_f2m_cnt + 1; wpipe_f2m_rd <= '0'; if wpipe_f2m_cnt = (DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH - 1) then ddram_wr_valid <= '1'; ddram_wr_cmd_valid <= '1'; end if; end if; end if; end if; end if; end process; -- Syn_rPipeC_read : process (memc_ui_clk, rst_n) begin if rst_n = '0' then rpipec_read_valid <= '0'; rpiped_wr_skew <= '0'; elsif memc_ui_clk'event and memc_ui_clk = '1' then rpipec_read_valid <= rpipec_rEn and not rpipec_Empty; if rpipec_read_valid = '1' then rpiped_wr_skew <= rpipec_Qout(69) and not(rpipec_Qout(2)); else rpiped_wr_skew <= rpiped_wr_skew; end if; end if; end process; -- ------------------------------------------------ -- Read States synchronous -- DDR_rd_States : process (memc_ui_clk, rst_n) begin if rst_n = '0' then DDR_rd_state <= rdst_RESET; rpipec_rEn <= '0'; ddram_rd_addr <= (others => '0'); rpiped_rd_cnt <= (others => '0'); rpiped_wr_EOF <= '0'; memc_rd_cmd <= '0'; elsif memc_ui_clk'event and memc_ui_clk = '1' then case DDR_rd_state is when rdst_RESET => DDR_rd_state <= rdst_IDLE; rpipec_rEn <= '0'; ddram_rd_addr <= (others => '0'); rpiped_rd_cnt <= (others => '0'); rpiped_wr_EOF <= '0'; rpipe_arb_req <= '0'; memc_rd_cmd <= '0'; rpiped_wen_last <= '0'; when rdst_IDLE => ddram_rd_addr <= (others => '0'); rpiped_rd_cnt <= (others => '0'); rpiped_wr_EOF <= rpiped_wr_EOF; rpipec_rEn <= '0'; memc_rd_cmd <= '0'; rpiped_wen_last <= '0'; --don't start if our module has write operation running if rpipec_Empty = '0' and memarb_acc_req_i = '0' then rpipe_arb_req <= '1'; DDR_rd_state <= rdst_ACC_REQ; else rpipe_arb_req <= '0'; DDR_rd_state <= rdst_IDLE; end if; when rdst_ACC_REQ => ddram_rd_addr <= (others => '0'); rpiped_rd_cnt <= (others => '0'); rpiped_wr_EOF <= '0'; rpipe_arb_req <= '1'; memc_rd_cmd <= '0'; if memarb_acc_gnt = '1' then rpipec_rEn <= '1'; DDR_rd_state <= rdst_b4_LA; else rpipec_rEn <= '0'; DDR_rd_state <= rdst_ACC_REQ; end if; when rdst_b4_LA => ddram_rd_addr <= (others => '0'); rpiped_rd_cnt <= (others => '0'); rpiped_wr_EOF <= '0'; rpipec_rEn <= '0'; rpipe_arb_req <= '1'; memc_rd_cmd <= '0'; DDR_rd_state <= rdst_LA; when rdst_LA => rpipec_rEn <= '0'; ddram_rd_addr <= unsigned(rpipec_Qout(C_DDR_IAWIDTH - 1 downto 0)); rpiped_rd_shift_start(RPIPE_ASHIFT_BBOT-1 downto 0) <= unsigned(rpipec_Qout(RPIPE_ASHIFT_BTOP downto 3)); rpiped_wr_EOF <= '0'; rpipe_arb_req <= '1'; memc_rd_cmd <= '0'; -- because we operate on QW data chunks, add one in case of odd number of DW to read if rpipec_Qout(69) = '1' then --rdc_shift rpiped_rd_cnt <= unsigned(rpipec_Qout(11+32 downto 3+32)) + 1; else rpiped_rd_cnt <= unsigned(rpipec_Qout(11+32 downto 3+32)) + unsigned(rpipec_Qout(2+32 downto 2+32)); end if; DDR_rd_state <= rdst_CMD; when rdst_CMD => rpipec_rEn <= '0'; ddram_rd_addr <= ddram_rd_addr; rpiped_wr_EOF <= '0'; rpipe_arb_req <= '1'; rpiped_rd_cnt <= rpiped_rd_cnt; if memc_cmd_rdy = '1' and memc_rd_cmd = '1' then memc_rd_cmd <= '0'; DDR_rd_state <= rdst_DATA; else memc_rd_cmd <= '1'; DDR_rd_state <= rdst_CMD; end if; when rdst_DATA => rpipec_rEn <= '0'; rpipe_arb_req <= '1'; memc_rd_cmd <= '0'; DDR_rd_state <= DDR_rd_state; if rpiped_rd_cnt <= to_unsigned(DDRAM_RDCNT_DECVAL, rpiped_rd_cnt'length) then rpiped_wr_EOF <= '1'; rpiped_rd_cnt <= rpiped_rd_cnt; ddram_rd_addr <= ddram_rd_addr; if memc_rd_valid = '1' then --wait until data arrives before relinquishing access if (rpiped_rd_shift_start >= DDRAM_RDCNT_DECVAL - 1) and rpiped_rd_cnt(0) = '0' then --reading last QW from PAYLOAD_WIDTH block needs a bit of special handling DDR_rd_state <= rdst_LAST_QW; else DDR_rd_state <= rdst_IDLE; end if; end if; else rpiped_wr_EOF <= '0'; if memc_rd_valid = '1' then DDR_rd_state <= rdst_WAIT; end if; end if; when rdst_WAIT => if rpiped_written = '1' then -- if read access data_count/address combination spans across more than one DDR_PAYLOAD_WIDTH, -- we try to make only the first access unaligned, rpiped_rd_shift_start should be equal 0 -- after first access ddram_rd_addr <= ddram_rd_addr + DDRAM_ADDR_INCVAL - rpiped_rd_shift_start*DDRAM_ADDR_DECSHIFT; rpiped_rd_cnt <= rpiped_rd_cnt - DDRAM_RDCNT_DECVAL + rpiped_rd_shift_start; rpiped_rd_shift_start <= (others => '0'); DDR_rd_state <= rdst_CMD; else ddram_rd_addr <= ddram_rd_addr; rpiped_rd_cnt <= rpiped_rd_cnt; DDR_rd_state <= rdst_WAIT; end if; when rdst_LAST_QW => if rpiped_wen = '1' then rpiped_wen_last <= '1'; DDR_rd_state <= rdst_IDLE; end if; when others => rpipec_rEn <= '0'; ddram_rd_addr <= ddram_rd_addr; rpiped_rd_cnt <= rpiped_rd_cnt; rpiped_wr_EOF <= '0'; rpipe_arb_req <= '0'; memc_rd_cmd <= '0'; DDR_rd_state <= rdst_RESET; end case; end if; end process; DDR_rdd_write : process (memc_ui_clk, rst_n) begin if rst_n = '0' then rpiped_wen <= '0'; rpiped_written_r <= '1'; rpiped_rdconv_cnt <= (others => '1'); else if rising_edge(memc_ui_clk) then rpiped_written_r <= rpiped_written; rpiped_written_r2 <= rpiped_written_r; if memc_rd_valid = '1' then memc_rd_data_r1 <= memc_rd_data_conv; rpiped_rd_cnt_latch <= rpiped_rd_cnt + rpiped_rd_shift_start; --FIXME: assuming that DATAWIDTH is multiple of DBUS_WIDTH rpiped_rdconv_cnt <= (others => '0'); end if; if rpiped_written_r = '0' and rpiped_afull = '0' then rpiped_rdconv_cnt <= rpiped_rdconv_cnt + 1; --memc_rd_data_r1 <= memc_rd_data_r1(memc_rd_data_r1'left - C_DBUS_WIDTH downto 0) & (others => '0'); memc_rd_data_r1(memc_rd_data_r1'left downto C_DBUS_WIDTH) <= memc_rd_data_r1(memc_rd_data_r1'left - C_DBUS_WIDTH downto 0); memc_rd_data_r1(C_DBUS_WIDTH -1 downto 0) <= (others => '0'); memc_rd_shift_r <= memc_rd_data_r1(memc_rd_data_r1'left - 32 downto memc_rd_data_r1'left - C_DBUS_WIDTH + 1); end if; if (rpiped_written_r or rpiped_afull) = '0' then memc_rd_data_r2 <= memc_rd_data_r1; end if; if (rpiped_written_r or rpiped_written_r2 or rpiped_afull) = '0' then memc_rd_data_r3 <= memc_rd_data_r2; end if; if rpiped_wr_skew = '1' then rpiped_wen <= not(rpiped_written_r or rpiped_written_r2 or rpiped_afull) and not(rpiped_omit_skew); rpiped_Din <= "0000" & '0' & (rpiped_wr_EOF and rpiped_written) & "00" & memc_rd_shift_r & memc_rd_data_r3(memc_rd_data_r3'left downto memc_rd_data_r3'left - 32+1); else rpiped_wen <= not(rpiped_written or rpiped_written_r or rpiped_afull) and not(rpiped_omit); rpiped_Din <= "0000" & '0' & (rpiped_wr_EOF and rpiped_written) & "00" & memc_rd_data_r1(memc_rd_data_r1'left downto memc_rd_data_r1'left - C_DBUS_WIDTH+1); end if; end if; end if; end process; rpiped_written <= '1' when rpiped_rdconv_cnt >= (rpiped_rd_cnt_latch) or rpiped_rdconv_cnt >= DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH else '0'; rpiped_omit_skew <= '1' when rpiped_rd_shift_start >= rpiped_rdconv_cnt else '0'; rpiped_omit <= '1' when rpiped_rd_shift_start > rpiped_rdconv_cnt else '0'; --FIXME: assuming that DATAWIDTH is multiple of DBUS_WIDTH memc_rd_data_connect: for i in 0 to DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH -1 generate constant ratio : integer := DDR_PAYLOAD_WIDTH/C_DBUS_WIDTH; begin memc_rd_data_conv((ratio - i)*C_DBUS_WIDTH-1 downto ((ratio - i - 1)*C_DBUS_WIDTH)) <= memc_rd_data(C_DBUS_WIDTH*(i+1) - 1 downto C_DBUS_WIDTH*i); end generate; end architecture Behavioral;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic; -- Checker outputs -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is component FIFO_credit_based_control_part_checkers is port ( valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; read_pointer: in std_logic_vector(3 downto 0); read_pointer_in: in std_logic_vector(3 downto 0); write_pointer: in std_logic_vector(3 downto 0); write_pointer_in: in std_logic_vector(3 downto 0); credit_out: in std_logic; empty_out: in std_logic; full_out: in std_logic; read_en_out: in std_logic; write_en_out: in std_logic; fake_credit: in std_logic; fake_credit_counter: in std_logic_vector(1 downto 0); fake_credit_counter_in: in std_logic_vector(1 downto 0); state_out: in std_logic_vector(4 downto 0); state_in: in std_logic_vector(4 downto 0); fault_info: in std_logic; fault_info_out: in std_logic; fault_info_in: in std_logic; health_info: in std_logic; faulty_packet_out: in std_logic; faulty_packet_in: in std_logic; flit_type: in std_logic_vector(2 downto 0); fault_out: in std_logic; write_fake_flit: in std_logic; -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end component; signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; -- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection) CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; --alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal fault_info_in, fault_info_out: std_logic; signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; --type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); --signal state_out, state_in : state_type; signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); -- Signal(s) needed for FIFO control part checkers signal fault_info_sig, health_info_sig : std_logic; begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ -- FIFO control part with packet drop and fault classifier support checkers instantiation FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers port map ( valid_in => valid_in, read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L, read_pointer => read_pointer, read_pointer_in => read_pointer_in, write_pointer => write_pointer, write_pointer_in => write_pointer_in, credit_out => credit_in, -- correct ? empty_out => empty, full_out => full, read_en_out => read_en, write_en_out => write_en, fake_credit => fake_credit, fake_credit_counter => fake_credit_counter, fake_credit_counter_in => fake_credit_counter_in, state_out => state_out, state_in => state_in, fault_info => fault_info_sig, -- connected to signal fault_info_out => fault_info_out, fault_info_in => fault_info_in, health_info => health_info_sig, -- connected to signal faulty_packet_out => faulty_packet_out, faulty_packet_in => faulty_packet_in, flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3), fault_out => fault_out, write_fake_flit => write_fake_flit, -- Functional checkers err_empty_full => err_empty_full, err_empty_read_en => err_empty_read_en, err_full_write_en => err_full_write_en, err_state_in_onehot => err_state_in_onehot, err_read_pointer_in_onehot => err_read_pointer_in_onehot, err_write_pointer_in_onehot => err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer => err_write_en_write_pointer, err_not_write_en_write_pointer => err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full, err_read_pointer_increment => err_read_pointer_increment, err_read_pointer_not_increment => err_read_pointer_not_increment, err_write_en => err_write_en, err_not_write_en => err_not_write_en, err_not_write_en1 => err_not_write_en1, err_not_write_en2 => err_not_write_en2, err_read_en_mismatch => err_read_en_mismatch, err_read_en_mismatch1 => err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in ); fault_info <= fault_info_sig; -- Not sure yet ?! health_info <= health_info_sig; -- Sequential part process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; fault_info_out <= '0'; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; fault_info_out <= fault_info_in; end if; end process; -- anything below here is pure combinational -- combinatorial part fault_info_sig <= fault_info_out; process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fault_info_in <= '0'; health_info_sig <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= Body_flit; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= state_out; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; health_info_sig <= '1'; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else -- fault_out = '1' fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else -- fault_out might have been '1' if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then fault_info_in <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic; -- Checker outputs -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is component FIFO_credit_based_control_part_checkers is port ( valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; read_pointer: in std_logic_vector(3 downto 0); read_pointer_in: in std_logic_vector(3 downto 0); write_pointer: in std_logic_vector(3 downto 0); write_pointer_in: in std_logic_vector(3 downto 0); credit_out: in std_logic; empty_out: in std_logic; full_out: in std_logic; read_en_out: in std_logic; write_en_out: in std_logic; fake_credit: in std_logic; fake_credit_counter: in std_logic_vector(1 downto 0); fake_credit_counter_in: in std_logic_vector(1 downto 0); state_out: in std_logic_vector(4 downto 0); state_in: in std_logic_vector(4 downto 0); fault_info: in std_logic; fault_info_out: in std_logic; fault_info_in: in std_logic; health_info: in std_logic; faulty_packet_out: in std_logic; faulty_packet_in: in std_logic; flit_type: in std_logic_vector(2 downto 0); fault_out: in std_logic; write_fake_flit: in std_logic; -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end component; signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; -- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection) CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; --alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal fault_info_in, fault_info_out: std_logic; signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; --type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); --signal state_out, state_in : state_type; signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); -- Signal(s) needed for FIFO control part checkers signal fault_info_sig, health_info_sig : std_logic; begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ -- FIFO control part with packet drop and fault classifier support checkers instantiation FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers port map ( valid_in => valid_in, read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L, read_pointer => read_pointer, read_pointer_in => read_pointer_in, write_pointer => write_pointer, write_pointer_in => write_pointer_in, credit_out => credit_in, -- correct ? empty_out => empty, full_out => full, read_en_out => read_en, write_en_out => write_en, fake_credit => fake_credit, fake_credit_counter => fake_credit_counter, fake_credit_counter_in => fake_credit_counter_in, state_out => state_out, state_in => state_in, fault_info => fault_info_sig, -- connected to signal fault_info_out => fault_info_out, fault_info_in => fault_info_in, health_info => health_info_sig, -- connected to signal faulty_packet_out => faulty_packet_out, faulty_packet_in => faulty_packet_in, flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3), fault_out => fault_out, write_fake_flit => write_fake_flit, -- Functional checkers err_empty_full => err_empty_full, err_empty_read_en => err_empty_read_en, err_full_write_en => err_full_write_en, err_state_in_onehot => err_state_in_onehot, err_read_pointer_in_onehot => err_read_pointer_in_onehot, err_write_pointer_in_onehot => err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer => err_write_en_write_pointer, err_not_write_en_write_pointer => err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full, err_read_pointer_increment => err_read_pointer_increment, err_read_pointer_not_increment => err_read_pointer_not_increment, err_write_en => err_write_en, err_not_write_en => err_not_write_en, err_not_write_en1 => err_not_write_en1, err_not_write_en2 => err_not_write_en2, err_read_en_mismatch => err_read_en_mismatch, err_read_en_mismatch1 => err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in ); fault_info <= fault_info_sig; -- Not sure yet ?! health_info <= health_info_sig; -- Sequential part process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; fault_info_out <= '0'; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; fault_info_out <= fault_info_in; end if; end process; -- anything below here is pure combinational -- combinatorial part fault_info_sig <= fault_info_out; process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fault_info_in <= '0'; health_info_sig <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= Body_flit; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= state_out; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; health_info_sig <= '1'; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else -- fault_out = '1' fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else -- fault_out might have been '1' if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then fault_info_in <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic; -- Checker outputs -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is component FIFO_credit_based_control_part_checkers is port ( valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; read_pointer: in std_logic_vector(3 downto 0); read_pointer_in: in std_logic_vector(3 downto 0); write_pointer: in std_logic_vector(3 downto 0); write_pointer_in: in std_logic_vector(3 downto 0); credit_out: in std_logic; empty_out: in std_logic; full_out: in std_logic; read_en_out: in std_logic; write_en_out: in std_logic; fake_credit: in std_logic; fake_credit_counter: in std_logic_vector(1 downto 0); fake_credit_counter_in: in std_logic_vector(1 downto 0); state_out: in std_logic_vector(4 downto 0); state_in: in std_logic_vector(4 downto 0); fault_info: in std_logic; fault_info_out: in std_logic; fault_info_in: in std_logic; health_info: in std_logic; faulty_packet_out: in std_logic; faulty_packet_in: in std_logic; flit_type: in std_logic_vector(2 downto 0); fault_out: in std_logic; write_fake_flit: in std_logic; -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic ); end component; signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; -- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection) CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; --alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal fault_info_in, fault_info_out: std_logic; signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; --type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); --signal state_out, state_in : state_type; signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); -- Signal(s) needed for FIFO control part checkers signal fault_info_sig, health_info_sig : std_logic; begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ -- FIFO control part with packet drop and fault classifier support checkers instantiation FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers port map ( valid_in => valid_in, read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L, read_pointer => read_pointer, read_pointer_in => read_pointer_in, write_pointer => write_pointer, write_pointer_in => write_pointer_in, credit_out => credit_in, -- correct ? empty_out => empty, full_out => full, read_en_out => read_en, write_en_out => write_en, fake_credit => fake_credit, fake_credit_counter => fake_credit_counter, fake_credit_counter_in => fake_credit_counter_in, state_out => state_out, state_in => state_in, fault_info => fault_info_sig, -- connected to signal fault_info_out => fault_info_out, fault_info_in => fault_info_in, health_info => health_info_sig, -- connected to signal faulty_packet_out => faulty_packet_out, faulty_packet_in => faulty_packet_in, flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3), fault_out => fault_out, write_fake_flit => write_fake_flit, -- Functional checkers err_empty_full => err_empty_full, err_empty_read_en => err_empty_read_en, err_full_write_en => err_full_write_en, err_state_in_onehot => err_state_in_onehot, err_read_pointer_in_onehot => err_read_pointer_in_onehot, err_write_pointer_in_onehot => err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer => err_write_en_write_pointer, err_not_write_en_write_pointer => err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full, err_read_pointer_increment => err_read_pointer_increment, err_read_pointer_not_increment => err_read_pointer_not_increment, err_write_en => err_write_en, err_not_write_en => err_not_write_en, err_not_write_en1 => err_not_write_en1, err_not_write_en2 => err_not_write_en2, err_read_en_mismatch => err_read_en_mismatch, err_read_en_mismatch1 => err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in, err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in, err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in, err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change, err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal, err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in ); fault_info <= fault_info_sig; -- Not sure yet ?! health_info <= health_info_sig; -- Sequential part process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; fault_info_out <= '0'; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; fault_info_out <= fault_info_in; end if; end process; -- anything below here is pure combinational -- combinatorial part fault_info_sig <= fault_info_out; process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fault_info_in <= '0'; health_info_sig <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= Body_flit; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then state_in <= state_out; elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then state_in <= Tail_flit; health_info_sig <= '1'; else -- we should not be here! state_in <= state_out; end if; else -- fault_out = '1' write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else -- fault_out = '1' fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info_in <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else -- fault_out might have been '1' if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then fault_info_in <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:RegisterFile:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_RegisterFile_0_0 IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END RAT_RegisterFile_0_0; ARCHITECTURE RAT_RegisterFile_0_0_arch OF RAT_RegisterFile_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_RegisterFile_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT RegisterFile IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END COMPONENT RegisterFile; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : RegisterFile PORT MAP ( D_IN => D_IN, DX_OUT => DX_OUT, DY_OUT => DY_OUT, ADRX => ADRX, ADRY => ADRY, WE => WE, CLK => CLK ); END RAT_RegisterFile_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:RegisterFile:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_RegisterFile_0_0 IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END RAT_RegisterFile_0_0; ARCHITECTURE RAT_RegisterFile_0_0_arch OF RAT_RegisterFile_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_RegisterFile_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT RegisterFile IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END COMPONENT RegisterFile; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : RegisterFile PORT MAP ( D_IN => D_IN, DX_OUT => DX_OUT, DY_OUT => DY_OUT, ADRX => ADRX, ADRY => ADRY, WE => WE, CLK => CLK ); END RAT_RegisterFile_0_0_arch;
-- -- Authors: Francisco Paiva Knebel -- Gabriel Alexandre Zillmer -- -- Universidade Federal do Rio Grande do Sul -- Instituto de Informática -- Sistemas Digitais -- Prof. Fernanda Lima Kastensmidt -- -- Create Date: 17:04:14 05/14/2016 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.NUMERIC_STD.ALL; entity bcdTo7SEG is port ( clk: in std_logic; bcd: in std_logic_vector(3 downto 0); segmented: out std_logic_vector(6 downto 0) ); end bcdTo7SEG; architecture Behavioral of bcdTo7SEG is begin process(clk) begin if(clk'event AND clk = '1') then case bcd is when "0000"=> segmented <="0000001"; when "0001"=> segmented <="1001111"; when "0010"=> segmented <="0010010"; when "0011"=> segmented <="0000110"; when "0100"=> segmented <="1001100"; when "0101"=> segmented <="0100100"; when "0110"=> segmented <="0100000"; when "0111"=> segmented <="0001111"; when "1000"=> segmented <="0000000"; when "1001"=> segmented <="0000100"; when others=> segmented <="1111111"; end case; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 09/14/2014 --! Module Name: EPATH_FIFO_WRAP --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.all; --! EPATH FIFO 16 bit wide, 1K deep entity EPATH_FIFO_WRAP is port ( rst : in std_logic; fifoFlush : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(15 downto 0); almost_full : out std_logic; prog_full : out std_logic ); end EPATH_FIFO_WRAP; architecture Behavioral of EPATH_FIFO_WRAP is ---------------------------------- ---------------------------------- component EPATH_FIFO -- IP port ( wr_clk : in std_logic; wr_rst : in std_logic; rd_clk : in std_logic; rd_rst : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(15 downto 0); full : out std_logic; empty : out std_logic; prog_full : out std_logic; prog_empty : out std_logic; prog_empty_thresh : in std_logic_vector(9 downto 0); prog_full_thresh : in std_logic_vector(9 downto 0) ); end component; ---------------------------------- ---------------------------------- signal rd_en_s, wr_en_s : std_logic; signal prog_full_s, full_s, empty_s, prog_empty_s : std_logic; signal rst_state : std_logic; begin -- rd_en_s <= rd_en and (not rst_state); wr_en_s <= wr_en and (not rst_state); -- EPATH_FIFO_INST: EPATH_FIFO PORT MAP ( wr_clk => wr_clk, wr_rst => fifoFlush, rd_clk => rd_clk, rd_rst => fifoFlush, din => din, wr_en => wr_en_s, rd_en => rd_en_s, dout => dout, full => full_s, empty => empty_s, prog_full => prog_full_s, prog_empty => prog_empty_s, prog_full_thresh => std_logic_vector(to_unsigned(512, 10)), prog_empty_thresh => std_logic_vector(to_unsigned(1010, 10)) ); -- rst_state <= rst or (full_s and empty_s); -- process(rd_clk) begin if rising_edge(rd_clk) then prog_full <= prog_full_s and (not rst_state); end if; end process; -- process(wr_clk) begin if rising_edge(wr_clk) then almost_full <= not prog_empty_s; end if; end process; -- end Behavioral;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 09/14/2014 --! Module Name: EPATH_FIFO_WRAP --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.all; --! EPATH FIFO 16 bit wide, 1K deep entity EPATH_FIFO_WRAP is port ( rst : in std_logic; fifoFlush : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(15 downto 0); almost_full : out std_logic; prog_full : out std_logic ); end EPATH_FIFO_WRAP; architecture Behavioral of EPATH_FIFO_WRAP is ---------------------------------- ---------------------------------- component EPATH_FIFO -- IP port ( wr_clk : in std_logic; wr_rst : in std_logic; rd_clk : in std_logic; rd_rst : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(15 downto 0); full : out std_logic; empty : out std_logic; prog_full : out std_logic; prog_empty : out std_logic; prog_empty_thresh : in std_logic_vector(9 downto 0); prog_full_thresh : in std_logic_vector(9 downto 0) ); end component; ---------------------------------- ---------------------------------- signal rd_en_s, wr_en_s : std_logic; signal prog_full_s, full_s, empty_s, prog_empty_s : std_logic; signal rst_state : std_logic; begin -- rd_en_s <= rd_en and (not rst_state); wr_en_s <= wr_en and (not rst_state); -- EPATH_FIFO_INST: EPATH_FIFO PORT MAP ( wr_clk => wr_clk, wr_rst => fifoFlush, rd_clk => rd_clk, rd_rst => fifoFlush, din => din, wr_en => wr_en_s, rd_en => rd_en_s, dout => dout, full => full_s, empty => empty_s, prog_full => prog_full_s, prog_empty => prog_empty_s, prog_full_thresh => std_logic_vector(to_unsigned(512, 10)), prog_empty_thresh => std_logic_vector(to_unsigned(1010, 10)) ); -- rst_state <= rst or (full_s and empty_s); -- process(rd_clk) begin if rising_edge(rd_clk) then prog_full <= prog_full_s and (not rst_state); end if; end process; -- process(wr_clk) begin if rising_edge(wr_clk) then almost_full <= not prog_empty_s; end if; end process; -- end Behavioral;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 09/14/2014 --! Module Name: EPATH_FIFO_WRAP --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.all; --! EPATH FIFO 16 bit wide, 1K deep entity EPATH_FIFO_WRAP is port ( rst : in std_logic; fifoFlush : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(15 downto 0); almost_full : out std_logic; prog_full : out std_logic ); end EPATH_FIFO_WRAP; architecture Behavioral of EPATH_FIFO_WRAP is ---------------------------------- ---------------------------------- component EPATH_FIFO -- IP port ( wr_clk : in std_logic; wr_rst : in std_logic; rd_clk : in std_logic; rd_rst : in std_logic; din : in std_logic_vector(15 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(15 downto 0); full : out std_logic; empty : out std_logic; prog_full : out std_logic; prog_empty : out std_logic; prog_empty_thresh : in std_logic_vector(9 downto 0); prog_full_thresh : in std_logic_vector(9 downto 0) ); end component; ---------------------------------- ---------------------------------- signal rd_en_s, wr_en_s : std_logic; signal prog_full_s, full_s, empty_s, prog_empty_s : std_logic; signal rst_state : std_logic; begin -- rd_en_s <= rd_en and (not rst_state); wr_en_s <= wr_en and (not rst_state); -- EPATH_FIFO_INST: EPATH_FIFO PORT MAP ( wr_clk => wr_clk, wr_rst => fifoFlush, rd_clk => rd_clk, rd_rst => fifoFlush, din => din, wr_en => wr_en_s, rd_en => rd_en_s, dout => dout, full => full_s, empty => empty_s, prog_full => prog_full_s, prog_empty => prog_empty_s, prog_full_thresh => std_logic_vector(to_unsigned(512, 10)), prog_empty_thresh => std_logic_vector(to_unsigned(1010, 10)) ); -- rst_state <= rst or (full_s and empty_s); -- process(rd_clk) begin if rising_edge(rd_clk) then prog_full <= prog_full_s and (not rst_state); end if; end process; -- process(wr_clk) begin if rising_edge(wr_clk) then almost_full <= not prog_empty_s; end if; end process; -- end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1244.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p04n01i01244ent IS END c08s02b00x00p04n01i01244ent; ARCHITECTURE c08s02b00x00p04n01i01244arch OF c08s02b00x00p04n01i01244ent IS BEGIN TESTING: PROCESS variable N2 : integer; BEGIN assert FALSE report N2 severity NOTE; assert FALSE report "***FAILED TEST: c08s02b00x00p04n01i01244 - Expression type used in a report clause should be STRING" severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p04n01i01244arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1244.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p04n01i01244ent IS END c08s02b00x00p04n01i01244ent; ARCHITECTURE c08s02b00x00p04n01i01244arch OF c08s02b00x00p04n01i01244ent IS BEGIN TESTING: PROCESS variable N2 : integer; BEGIN assert FALSE report N2 severity NOTE; assert FALSE report "***FAILED TEST: c08s02b00x00p04n01i01244 - Expression type used in a report clause should be STRING" severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p04n01i01244arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1244.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p04n01i01244ent IS END c08s02b00x00p04n01i01244ent; ARCHITECTURE c08s02b00x00p04n01i01244arch OF c08s02b00x00p04n01i01244ent IS BEGIN TESTING: PROCESS variable N2 : integer; BEGIN assert FALSE report N2 severity NOTE; assert FALSE report "***FAILED TEST: c08s02b00x00p04n01i01244 - Expression type used in a report clause should be STRING" severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p04n01i01244arch;
---------------------------------------------------------------------------------- -- Company: NTU ATHENS - BNL -- Engineer: Paris Moschovakos -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Paris Moschovakos -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 18.05.2016 -- Design Name: -- Module Name: trigger.vhd - Behavioral -- Project Name: MMFE8 -- Target Devices: Artix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- -- Changelog: -- 18.08.2016 Added tr_hold signal to hold trigger when reading out (Reid Pinkham) -- 26.02.2017 Moved to a global clock domain @125MHz (Paris) -- 27.02.2017 Synced trout (Paris) -- 31.03.2017 Added 2 ckbc mode, requests 2 CKBC upon ext trigger (Paris) -- 06.04.2017 Configurable latency was added for the 2 CKBC mode (Paris) -- 28.04.2017 Added two processes that assert the level0 signal. (Christos Bakalis) -- ---------------------------------------------------------------------------------- library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; use IEEE.NUMERIC_STD.ALL; use UNISIM.VComponents.all; entity trigger is Generic ( vmmReadoutMode : STD_LOGIC); Port ( clk : in STD_LOGIC; ckbc : in STD_LOGIC; clk_art : in STD_LOGIC; rst_trig : in STD_LOGIC; ckbcMode : in STD_LOGIC; request2ckbc : out STD_LOGIC; cktp_enable : in std_logic; cktp_pulse_width: in STD_LOGIC_VECTOR(4 downto 0); CKTP_raw : in STD_LOGIC; pfBusy : in STD_LOGIC; tren : in STD_LOGIC; tr_hold : in STD_LOGIC; trmode : in STD_LOGIC; trext : in STD_LOGIC; level_0 : out STD_LOGIC; accept_wr : out STD_LOGIC; reset : in STD_LOGIC; event_counter : out STD_LOGIC_VECTOR(31 DOWNTO 0); tr_out : out STD_LOGIC; trraw_synced125 : out STD_LOGIC; latency : in STD_LOGIC_VECTOR(15 DOWNTO 0) ); end trigger; architecture Behavioral of trigger is -- Signals signal event_counter_i : std_logic_vector(31 downto 0) := ( others => '0' ); signal tr_out_i : std_logic := '0'; signal mode : std_logic := '0'; signal trint_pre : std_logic := '0'; signal trext_pre : std_logic := '0'; signal trext_stage1 : std_logic := '0'; signal trext_ff_synced : std_logic := '0'; signal tren_buff : std_logic := '0'; -- buffered enable signal signal tr_out_i_stage1 : std_logic := '0'; signal tr_out_i_ff_synced : std_logic := '0'; signal trext_stage_resynced : std_logic := '0'; signal trext_ff_resynced : std_logic := '0'; signal tren_buff_stage1 : std_logic := '0'; signal tren_buff_ff_synced : std_logic := '0'; signal mode_stage1 : std_logic := '0'; signal mode_ff_synced : std_logic := '0'; signal ckbcMode_stage1 : std_logic := '0'; signal ckbcMode_ff_synced : std_logic := '0'; signal trmode_stage1 : std_logic := '0'; signal trmode_ff_synced : std_logic := '0'; signal accept_wr_i : std_logic := '0'; signal accept_wr_i_stage1 : std_logic := '0'; signal accept_wr_synced125 : std_logic := '0'; signal trraw_synced125_i : std_logic := '0'; signal pfBusy_stage1 : std_logic := '0'; signal pfBusy_stage_synced : std_logic := '0'; signal trint_stage_synced : std_logic := '0'; signal trint_stage_synced125: std_logic := '0'; signal trint_ff_synced125 : std_logic := '0'; signal flag_sent_stage1 : std_logic := '0'; signal flag_sent_synced : std_logic := '0'; signal cktp_width_final : std_logic_vector(11 downto 0) := "000101000000"; --4 * 80 = 320 signal trint : std_logic := '0'; signal cnt : integer range 0 to 7 := 0; signal level_0_req : std_logic := '0'; signal level_0_25ns : std_logic := '0'; signal flag_sent : std_logic := '0'; -- Special Readout Mode signal request2ckbc_i : std_logic := '0'; signal trigLatencyCnt : integer range 0 to 255 := 0; signal trigLatency : integer := 140; type stateType is (waitingForTrigger, waitingForLatency, waitingForLatency_1, waitingForLatency_2, issueRequest, checkTrigger); signal state : stateType := waitingForTrigger; signal state_l0 : stateType := waitingForTrigger; ---------------------------------------------------------------------------------------------- Uncomment for hold window Start -- signal hold_state : std_logic_vector(3 downto 0); -- signal hold_cnt : std_logic_vector(31 downto 0); -- signal start : std_logic; -- signal hold : std_logic; -- signal state : std_logic_vector(2 downto 0) := ( others => '0' ); ---------------------------------------------------------------------------------------------- Uncomment for hold window End -- Debugging signal probe0_out : std_logic_vector(63 downto 0); -- Attributes ---------------------------------------------------------------------------------------------- Uncomment for hold window Start -- constant delay : std_logic_vector(31 downto 0) := x"00000002"; -- Number of 200 MHz clock cycles to hold trigger in hex ---------------------------------------------------------------------------------------------- Uncomment for hold window End attribute keep : string; attribute keep of tren : signal is "true"; attribute keep of tren_buff : signal is "true"; attribute keep of tr_out_i : signal is "true"; attribute keep of trmode : signal is "true"; attribute keep of trint : signal is "true"; ------------------------------------------------------------------- -- Mark debug signals for ILA ------------------------------------------------------------------- attribute mark_debug : string; -- attribute mark_debug of event_counter_i : signal is "true"; -- attribute mark_debug of tr_out_i : signal is "true"; -- attribute mark_debug of tren : signal is "true"; -- attribute mark_debug of trmode : signal is "true"; -- attribute mark_debug of trint : signal is "true"; -- attribute mark_debug of mode : signal is "true"; -- attribute mark_debug of trint_pre : signal is "true"; -- attribute mark_debug of trext_pre : signal is "true"; -- attribute mark_debug of tr_out_i_ff_synced : signal is "true"; -- attribute mark_debug of trext : signal is "true"; -- attribute mark_debug of tren_buff : signal is "true"; ------------------------------------------------------------------- -- Async Regs ------------------------------------------------------------------- attribute ASYNC_REG : string; attribute ASYNC_REG of tr_out_i_stage1 : signal is "true"; attribute ASYNC_REG of tr_out_i_ff_synced : signal is "true"; attribute ASYNC_REG of trext_stage_resynced : signal is "true"; attribute ASYNC_REG of trext_ff_resynced : signal is "true"; attribute ASYNC_REG of trext_stage1 : signal is "true"; attribute ASYNC_REG of trext_ff_synced : signal is "true"; attribute ASYNC_REG of tren_buff_stage1 : signal is "true"; attribute ASYNC_REG of tren_buff_ff_synced : signal is "true"; attribute ASYNC_REG of mode_stage1 : signal is "true"; attribute ASYNC_REG of mode_ff_synced : signal is "true"; attribute ASYNC_REG of trmode_stage1 : signal is "true"; attribute ASYNC_REG of trmode_ff_synced : signal is "true"; attribute ASYNC_REG of accept_wr_i_stage1 : signal is "true"; attribute ASYNC_REG of accept_wr_synced125 : signal is "true"; attribute ASYNC_REG of pfBusy_stage1 : signal is "true"; attribute ASYNC_REG of pfBusy_stage_synced : signal is "true"; attribute ASYNC_REG of flag_sent_stage1 : signal is "true"; attribute ASYNC_REG of flag_sent_synced : signal is "true"; attribute ASYNC_REG of ckbcMode_stage1 : signal is "true"; attribute ASYNC_REG of ckbcMode_ff_synced : signal is "true"; -- Components if any component ila_trigger port( clk : in std_logic; probe0 : in std_logic_vector(63 downto 0) ); end component; component trint_gen generic(vmmReadoutMode : std_logic); port( clk_160 : in std_logic; clk_125 : in std_logic; cktp_start : in std_logic; cktp_pulse : in std_logic; ckbc_mode : in std_logic; cktp_width : in std_logic_vector(11 downto 0); trint : out std_logic ); end component; begin -- Processes ---------------------------------------------------------------------------------------------- Uncomment for hold window Start --holdDelay: process (clk, reset, start, tr_out_i, trext, trint) -- state machine to manage delay --begin -- if (reset = '1') then -- hold <= '0'; -- state <= ( others => '0' ); -- elsif rising_edge(clk) then -- case state is -- when "000" => -- Idle -- if (start = '1') then -- wait for start signal -- state <= "001"; -- else -- state <= "000"; -- end if; -- when "001" => -- st1 -- if (tr_out_i = '0') then -- trigger returned to zero, start the count -- hold <= '1'; -- hold_cnt <= ( others => '0' ); -- reset the counter -- state <= "010"; -- else -- state <= "001"; -- end if; -- when "010" => -- st2 -- if (hold_cnt = delay) then -- reached end of deadtime -- if ((trext = '0' and mode = '1') or (trint = '0' and mode = '0')) then -- No current trigger -- hold <= '0'; -- state <= "000"; -- else -- state <= "011"; -- end if; -- hold_cnt <= ( others => '0'); -- else -- hold_cnt <= hold_cnt + '1'; -- end if; -- when "011" => -- st3 -- if ((trext = '0' and mode = '1') or (trint = '0' and mode = '0')) then -- wait until missed trigger ends -- state <= "000"; -- hold <= '0'; -- else -- state <= "011"; -- end if; -- when others => -- state <= "000"; -- end case ; -- end if; --end process; --triggerLatch: process (tr_out_i, hold) --begin -- if (tr_out_i = '1' and hold = '0') then -- start of trigger -- start <= '1'; -- else -- Release the start command -- start <= '0'; -- end if; --end process; ---------------------------------------------------------------------------------------------- Uncomment for hold window End generate_2ckbc: if (vmmReadoutMode = '0') generate trReadoutMode2CkbcDelayedRequest: process(clk_art) begin if rising_edge(clk_art) then if(rst_trig = '1')then request2ckbc_i <= '0'; trigLatencyCnt <= 0; state <= waitingForTrigger; else case state is when waitingForTrigger => request2ckbc_i <= '0'; if tren_buff_ff_synced = '1' and tr_out_i = '1' and ckbcMode_ff_synced = '1' then trigLatencyCnt <= 0; state <= waitingForLatency; end if; when waitingForLatency => if trigLatencyCnt < trigLatency then trigLatencyCnt <= trigLatencyCnt + 1; else state <= issueRequest; end if; when issueRequest => request2ckbc_i <= '1'; state <= waitingForTrigger; when others => request2ckbc_i <= '0'; trigLatencyCnt <= 0; state <= waitingForTrigger; end case; end if; end if; end process; end generate generate_2ckbc; generate_level0: if (vmmReadoutMode = '1') generate -- asserts level0 accept signal at the VMMs with a maximum of ~1.6 us latency level0Asserter: process(clk_art) begin if(rising_edge(clk_art))then if(rst_trig = '1')then level_0_req <= '0'; trigLatencyCnt <= 0; accept_wr_i <= '0'; state_l0 <= waitingForTrigger; else case state_l0 is when waitingForTrigger => level_0_req <= '0'; accept_wr_i <= '0'; trigLatencyCnt <= 0; -- proceed only if pf is @ idle if((trext_ff_synced = '1' and trmode_ff_synced = '1' and pfBusy_stage_synced = '0') or (trint = '1' and trmode_ff_synced = '0' and pfBusy_stage_synced = '0'))then state_l0 <= waitingForLatency_1; else state_l0 <= waitingForTrigger; end if; when waitingForLatency_1 => -- open the acceptance window for the level-0 buffer if trigLatencyCnt < trigLatency - 30 then trigLatencyCnt <= trigLatencyCnt + 1; state_l0 <= waitingForLatency_1; else accept_wr_i <= '1'; state_l0 <= waitingForLatency_2; end if; when waitingForLatency_2 => if trigLatencyCnt < trigLatency then trigLatencyCnt <= trigLatencyCnt + 1; state_l0 <= waitingForLatency_2; else trigLatencyCnt <= 0; state_l0 <= issueRequest; end if; when issueRequest => level_0_req <= '1'; accept_wr_i <= '0'; if(flag_sent_synced = '1')then state_l0 <= checkTrigger; else state_l0 <= issueRequest; end if; when checkTrigger => level_0_req <= '0'; if((trext_ff_synced = '0' and trmode_ff_synced = '1') or (trint = '0' and trmode_ff_synced = '0'))then state_l0 <= waitingForTrigger; else state_l0 <= checkTrigger; end if; when others => level_0_req <= '0'; trigLatencyCnt <= 0; accept_wr_i <= '0'; state_l0 <= waitingForTrigger; end case; end if; end if; end process; -- process that ensures a one-CKBC-width level0 pulse is asserted level0_40_proc: process(ckbc) begin if(rising_edge(ckbc))then if(flag_sent = '1' and level_0_req = '1')then null; -- wait elsif(flag_sent = '1' and level_0_req = '0')then -- reset everything level_0_25ns <= '0'; flag_sent <= '0'; elsif(level_0_25ns = '1')then -- level_0 to VMMs has a width of 25ns level_0_25ns <= '0'; flag_sent <= '1'; elsif(level_0_req = '1')then -- level_0 latched from level0Asserter level_0_25ns <= '1'; else level_0_25ns <= '0'; flag_sent <= '0'; end if; end if; end process; end generate generate_level0; trenAnd: process(clk) begin if rising_edge(clk) then if (tren = '1' and tr_hold = '0') then -- No hold command, trigger enabled tren_buff <= '1'; else tren_buff <= '0'; end if; end if; end process; changeModeCommandProc: process (clk) begin if rising_edge(clk) then if tren_buff = '1' then if trmode = '0' then -- Internal trigger mode <= '0'; else -- External trigger mode <= '1'; end if; end if; end if; end process; triggerDistrSignalProc: process (clk_art, reset) begin if reset = '1' then tr_out_i <= '0'; elsif rising_edge(clk_art) then if mode_ff_synced = '0' then if (tren_buff_ff_synced = '1' and trmode_ff_synced = '0' and trint = '1') then tr_out_i <= '1'; elsif (trmode_ff_synced = '0' and trint = '0') then tr_out_i <= '0'; else tr_out_i <= '0'; end if; else if (tren_buff_ff_synced = '1' and trmode_ff_synced = '1' and trext_ff_synced = '1') then tr_out_i <= '1'; elsif (trmode_ff_synced = '1' and trext_ff_synced = '0') then tr_out_i <= '0'; else tr_out_i <= '0'; end if; end if; end if; end process; troutSyncToFpgaLogic: process(clk) begin if rising_edge(clk) then tr_out_i_stage1 <= tr_out_i; tr_out_i_ff_synced <= tr_out_i_stage1; trext_stage_resynced <= trext_ff_synced; trext_ff_resynced <= trext_stage_resynced; trint_stage_synced125 <= trint; trint_ff_synced125 <= trint_stage_synced125; accept_wr_i_stage1 <= accept_wr_i; accept_wr_synced125 <= accept_wr_i_stage1; end if; end process; externalTriggerSynchronizer160: process(clk_art) begin if rising_edge(clk_art) then trext_stage1 <= trext; trext_ff_synced <= trext_stage1; tren_buff_stage1 <= tren_buff; tren_buff_ff_synced <= tren_buff_stage1; mode_stage1 <= mode; mode_ff_synced <= mode_stage1; trmode_stage1 <= trmode; trmode_ff_synced <= trmode_stage1; pfBusy_stage1 <= pfBusy; pfBusy_stage_synced <= pfBusy_stage1; flag_sent_stage1 <= flag_sent; flag_sent_synced <= flag_sent_stage1; ckbcMode_stage1 <= ckbcMode; ckbcMode_ff_synced <= ckbcMode_stage1; end if; end process; eventCounterProc: process (clk_art, reset) begin if reset = '1' then event_counter_i <= x"00000000"; else if rising_edge(clk_art) then if mode_ff_synced = '0' then if (tren_buff_ff_synced = '1' and trmode_ff_synced = '0' and trint = '1' and trint_pre = '0') then event_counter_i <= event_counter_i + 1; trint_pre <= '1'; elsif (trmode_ff_synced = '0' and trint = '0') then event_counter_i <= event_counter_i; trint_pre <= '0'; else event_counter_i <= event_counter_i; end if; else if (tren_buff_ff_synced = '1' and trmode_ff_synced = '1' and trext_ff_synced = '1' and trext_pre = '0') then event_counter_i <= event_counter_i + 1; trext_pre <= '1'; elsif (trmode_ff_synced = '1' and trext_ff_synced = '0') then event_counter_i <= event_counter_i; trext_pre <= '0'; else event_counter_i <= event_counter_i; end if; end if; end if; end if; end process; triggerRawMux:process (trext_ff_resynced, trint_ff_synced125, trmode, reset) begin if reset = '1' then trraw_synced125_i <= '0'; else if trmode = '1' then trraw_synced125_i <= trext_ff_resynced; elsif trmode = '0' then trraw_synced125_i <= trint_ff_synced125; end if; end if; end process; -- Signal assignments event_counter <= event_counter_i; tr_out <= tr_out_i_ff_synced; request2ckbc <= request2ckbc_i; trraw_synced125 <= trraw_synced125_i; trigLatency <= to_integer(unsigned(latency)); accept_wr <= accept_wr_synced125; level_0 <= level_0_25ns; cktp_width_final <= std_logic_vector(unsigned(cktp_pulse_width)*"1010000"); -- input x 80 -- Instantiations if any cktp_trint_module: trint_gen generic map(vmmReadoutMode => vmmReadoutMode) port map( clk_160 => clk_art, clk_125 => clk, cktp_start => cktp_enable, cktp_pulse => CKTP_raw, ckbc_mode => ckbcMode_ff_synced, cktp_width => cktp_width_final, trint => trint -- synced to 160 Mhz ); --ilaTRIG: ila_trigger --port map( -- clk => clk_art, -- probe0 => probe0_out -- ); probe0_out(0) <= tr_out_i_ff_synced; probe0_out(1) <= trext; probe0_out(2) <= trmode; probe0_out(3) <= trint; probe0_out(4) <= mode; probe0_out(5) <= trint_pre; probe0_out(6) <= trext_pre; probe0_out(7) <= tren_buff; probe0_out(8) <= request2ckbc_i; probe0_out(9) <= trext_ff_synced; probe0_out(63 downto 10) <= (others => '0'); end Behavioral;
entity buf is port ( a : in bit; y : out bit ); end entity; architecture test of buf is begin y <= a after 1 ns; end architecture; ------------------------------------------------------------------------------- entity fanout_tree is generic ( h : natural; d : positive ); port ( input : in bit; output : out bit_vector (0 to d**h - 1) ); end fanout_tree; architecture recursive of fanout_tree is component buf port ( a : in bit; y : out bit ); end component; component fanout_tree generic ( h : natural; d : positive ); port ( input : in bit; output : out bit_vector(0 to d**h - 1) ); end component; signal buffered_input : bit_vector(0 to d - 1); begin degenerate_tree : if h = 0 generate output(0) <= input; end generate degenerate_tree; compound_tree : if h > 0 generate subtree_array : for i in 0 to d - 1 generate the_buffer : buf port map ( a => input, y => buffered_input(i) ); the_subtree : fanout_tree generic map ( h => h - 1, d => d ) port map ( input => buffered_input(i), output => output(i * d**(h-1) to (i+1) * d**(h-1) -1) ); end generate subtree_array; end generate compound_tree; end recursive; ------------------------------------------------------------------------------- entity issue405 is end entity; architecture test of issue405 is signal input : bit; signal output : bit_vector(0 to 4**3 - 1); begin top_i: entity work.fanout_tree generic map ( h => 3, d => 4 ) port map ( input, output ); check: process is begin wait for 5 ns; assert output = (output'range => '0'); input <= '1'; wait for 5 ns; assert output = (output'range => '1'); wait; end process; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: i2cmst_gen -- File: i2cmst_gen.vhd -- Author: Jan Andersson - Aeroflex Gaisler -- Contact: [email protected] -- Description: Generic I2CMST, see i2cmst.vhd -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; library gaisler; use gaisler.i2c.all; entity i2cmst_gen is generic ( oepol : integer range 0 to 1 := 0; -- output enable polarity filter : integer range 2 to 512 := 2; -- filter bit size dynfilt : integer range 0 to 1 := 0); port ( rstn : in std_ulogic; clk : in std_ulogic; -- APB signals psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); prdata : out std_logic_vector(31 downto 0); irq : out std_logic; -- I2C signals --i2ci : in i2c_in_type; i2ci_scl : in std_ulogic; i2ci_sda : in std_ulogic; --i2co : out i2c_out_type i2co_scl : out std_ulogic; i2co_scloen : out std_ulogic; i2co_sda : out std_ulogic; i2co_sdaoen : out std_ulogic; i2co_enable : out std_ulogic ); end entity i2cmst_gen; architecture rtl of i2cmst_gen is -- APB signals signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_type; -- I2C signals signal i2ci : i2c_in_type; signal i2co : i2c_out_type; begin apbi.psel(0) <= psel; apbi.psel(1 to NAPBSLV-1) <= (others => '0'); apbi.penable <= penable; apbi.paddr <= paddr; apbi.pwrite <= pwrite; apbi.pwdata <= pwdata; apbi.pirq <= (others => '0'); apbi.testen <= '0'; apbi.testrst <= '0'; apbi.scanen <= '0'; apbi.testoen <= '0'; prdata <= apbo.prdata; irq <= apbo.pirq(0); i2ci.scl <= i2ci_scl; i2ci.sda <= i2ci_sda; i2co_scl <= i2co.scl; i2co_scloen <= i2co.scloen; i2co_sda <= i2co.sda; i2co_sdaoen <= i2co.sdaoen; i2co_enable <= i2co.enable; i2c0 : i2cmst generic map (pindex => 0, paddr => 0, pmask => 0, pirq => 0, oepol => oepol, filter => filter, dynfilt => dynfilt) port map (rstn, clk, apbi, apbo, i2ci, i2co); end architecture rtl;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_sg_afifo_autord is generic ( C_DWIDTH : integer := 32; C_DEPTH : integer := 16; C_CNT_WIDTH : Integer := 5; C_USE_BLKMEM : Integer := 0 ; C_USE_AUTORD : Integer := 1; C_FAMILY : String := "virtex7" ); port ( -- Inputs AFIFO_Ainit : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- -- -- Outputs -- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ); end entity axi_sg_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_sg_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : integer range 0 to C_DEPTH+1 := 0; signal rd_count_int_corr : integer range 0 to C_DEPTH+1 := 0; signal rd_count_int_corr_minus1 : integer range 0 to C_DEPTH+1 := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; Signal first_write : std_logic := '0'; Signal first_read : std_logic := '0'; Signal first_read1 : std_logic := '0'; -- Component declarations ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; GEN_EMPTY : if (C_USE_AUTORD = 1) generate begin AFIFO_Empty <= corrected_empty; end generate GEN_EMPTY; GEN_EMPTY1 : if (C_USE_AUTORD = 0) generate begin AFIFO_Empty <= sig_afifo_empty; end generate GEN_EMPTY1; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.async_fifo_fg generic map ( -- C_ALLOW_2N_DEPTH => 1, C_ALLOW_2N_DEPTH => 0, C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0 -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, -- Not used by axi_dma Wr_ack => open, -- Not used by axi_dma Wr_err => open -- Not used by axi_dma ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- I_ACK_HOLD_FF : FDRE -- port map( -- Q => hold_ff_q, -- C => AFIFO_Rd_clk, -- CE => '1', -- D => sig_rddata_valid, -- R => ored_ack_ff_reset -- ); -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. GEN_AUTORD1 : if C_USE_AUTORD = 1 generate autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; end generate GEN_AUTORD1; GEN_AUTORD2 : if C_USE_AUTORD = 0 generate process (AFIFO_Wr_clk, AFIFO_Ainit) begin if (AFIFO_Ainit = '0') then first_write <= '0'; elsif (AFIFO_Wr_clk'event and AFIFO_Wr_clk = '1') then if (AFIFO_Wr_en = '1') then first_write <= '1'; end if; end if; end process; process (AFIFO_Rd_clk, AFIFO_Ainit) begin if (AFIFO_Ainit = '0') then first_read <= '0'; first_read1 <= '0'; elsif (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (sig_afifo_empty = '0') then first_read <= first_write; first_read1 <= first_read; end if; end if; end process; autoread <= first_read xor first_read1; end generate GEN_AUTORD2; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty, sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00312 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.2.2 (5) -- 7.2.2 (7) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00312) -- ENT00312_Test_Bench(ARCH00312_Test_Bench) -- -- REVISION HISTORY: -- -- 28-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00312 of E00000 is begin process subtype str_1 is string ( 1 downto 3 ) ; subtype str_2 is string ( 10 downto 3 ) ; subtype str_3 is string ( 5 to 10 ) ; type int_arr is array ( integer range <> ) of integer ; subtype int_arr_1 is int_arr (1 to 4) ; subtype int_arr_2 is int_arr (-3 to 4) ; type matrix is array ( integer range <> , bit range <>) of integer ; subtype arr_1 is matrix ( 1 to 2 , '0' downto '0' ) ; -- do not match -- in successive -- indices subtype arr_2 is matrix ( 1 to 1 , '1' downto '0' ) ; subtype arr_3 is matrix ( 0 to 1 , '1' downto '1' ) ; variable str1 : str_1 ; variable str2 : str_2 ; variable str3 : str_3 ; variable int_arr1 : int_arr_1 ; variable int_arr2 : int_arr_2 ; variable arr1 : arr_1 ; variable arr2 : arr_2 ; variable arr3 : arr_3 ; variable bool : boolean ; begin str2 := "abcdefgh" ; str3 := "abcdef" ; int_arr1 := (1, 2, 3, 4) ; int_arr2 := (-3, -2, -1, 0, 1, 2, 3, 4) ; arr1(1, '0') := 0 ; arr1(2, '0') := 0 ; arr2(1, '1') := 0 ; arr2(1, '0') := 0 ; arr3(0, '1') := 0 ; arr3(1, '1') := 0 ; bool := str1 < str2 and str3 < str2 and str3 /= str2 and str2(3 to 5) < str3 -- MG SLICE BUG; FAILED STILL, JTH 5/19/88; and str2(10 downto 5) = str3 and str1 = str1 and str2 > str1 and str2 >= str3 ; bool := bool and not (int_arr1 = int_arr2) and int_arr1 > int_arr2 and int_arr2 <= int_arr1 and int_arr2 = (-3, -2, -1, 0, 1, 2, 3, 4) and not ( int_arr1 <= int_arr2) and int_arr1 >= int_arr2 and int_arr2 < int_arr1 ; bool := bool and arr1 = arr2 and arr1 = arr3 ; arr3(1, '1') := 1 ; bool := bool and arr1 /= arr3 ; test_report ( "ARCH00312" , "Array operands need not have same length for relational" & " operators" , bool ) ; wait ; end process ; end ARCH00312 ; entity ENT00312_Test_Bench is end ENT00312_Test_Bench ; architecture ARCH00312_Test_Bench of ENT00312_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00312 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00312_Test_Bench ;
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:30:59) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_nsga2_entity; ARCHITECTURE ewf_nsga2_description OF ewf_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register3 + register5; register6 := register4 * 10; WHEN "00000111" => register3 := register3 + register5; WHEN "00001000" => register3 := register3 * 12; register4 := register4 + register5; register6 := register1 + register6; WHEN "00001001" => register1 := register1 + register6; output1 <= register6 + register4; WHEN "00001010" => register1 := register1 * 15; register3 := register2 + register3; WHEN "00001011" => register2 := register2 + register3; WHEN "00001100" => register2 := register2 * 17; WHEN "00001101" => register2 := register2 + 19; WHEN "00001110" => output2 <= register3 + register2; register2 := register5 + register3; WHEN "00001111" => register2 := register2 + 22; WHEN "00010000" => register3 := register2 * 24; WHEN "00010001" => register3 := register3 + 26; WHEN "00010010" => output3 <= register2 + register3; register1 := register1 + 29; WHEN "00010011" => register2 := register1 + 31; WHEN "00010100" => register2 := register2 * 33; WHEN "00010101" => output4 <= register1 + register2; register1 := register6 + register1; WHEN "00010110" => register1 := register1 + 36; WHEN "00010111" => register2 := register1 * 38; WHEN "00011000" => register2 := register2 + 40; WHEN "00011001" => output5 <= register1 + register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_nsga2_description;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Mon Sep 18 12:32:27 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -- C:/Projects/srio_test/srio_test/srio_test.srcs/sources_1/ip/vio_0/vio_0_stub.vhdl -- Design : vio_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vio_0 is Port ( clk : in STD_LOGIC; probe_in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in1 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in3 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end vio_0; architecture stub of vio_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe_in0[0:0],probe_in1[0:0],probe_in2[0:0],probe_in3[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "vio,Vivado 2016.3"; begin end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Mon Sep 18 12:32:27 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -- C:/Projects/srio_test/srio_test/srio_test.srcs/sources_1/ip/vio_0/vio_0_stub.vhdl -- Design : vio_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vio_0 is Port ( clk : in STD_LOGIC; probe_in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in1 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in3 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end vio_0; architecture stub of vio_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe_in0[0:0],probe_in1[0:0],probe_in2[0:0],probe_in3[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "vio,Vivado 2016.3"; begin end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity slot_timing is port ( clock : in std_logic; reset : in std_logic; -- Cartridge pins PHI2 : in std_logic; BA : in std_logic; serve_vic : in std_logic; serve_enable : in std_logic; serve_inhibit : in std_logic; timing_addr : in unsigned(2 downto 0) := "000"; edge_recover : in std_logic; allow_serve : out std_logic; phi2_tick : out std_logic; phi2_recovered : out std_logic; clock_det : out std_logic; vic_cycle : out std_logic; inhibit : out std_logic; do_sample_addr : out std_logic; do_probe_end : out std_logic; do_sample_io : out std_logic; do_io_event : out std_logic ); end slot_timing; architecture gideon of slot_timing is signal phi2_c : std_logic; signal phi2_d : std_logic; signal ba_c : std_logic; signal phase_h : integer range 0 to 63 := 0; signal phase_l : integer range 0 to 63 := 0; signal allow_tick_h : boolean := true; signal allow_tick_l : boolean := true; signal phi2_falling : std_logic; signal ba_hist : std_logic_vector(3 downto 0) := (others => '0'); signal phi2_rec_i : std_logic := '0'; signal phi2_tick_i : std_logic; signal serve_en_i : std_logic := '0'; signal off_cnt : integer range 0 to 7; constant c_memdelay : integer := 5; constant c_sample : integer := 6; constant c_probe_end : integer := 11; constant c_sample_vic : integer := 10; constant c_io : integer := 19; attribute register_duplication : string; attribute register_duplication of ba_c : signal is "no"; attribute register_duplication of phi2_c : signal is "no"; begin vic_cycle <= '1' when (ba_hist = "0000") else '0'; phi2_recovered <= phi2_rec_i; phi2_tick <= phi2_tick_i; process(clock) begin if rising_edge(clock) then ba_c <= BA; phi2_c <= PHI2; phi2_d <= phi2_c; phi2_tick_i <= '0'; -- Off counter, to allow software to gracefully quit if serve_enable='1' and serve_inhibit='0' then off_cnt <= 7; serve_en_i <= '1'; elsif off_cnt = 0 then serve_en_i <= '0'; elsif phi2_tick_i='1' and ba_c='1' then off_cnt <= off_cnt - 1; serve_en_i <= '1'; end if; -- if (phi2_rec_i='0' and allow_tick_h) or -- (phi2_rec_i='1' and allow_tick_l) then -- phi2_rec_i <= PHI2; -- end if; -- related to rising edge -- if then -- rising edge if ((edge_recover = '1') and (phase_l = 24)) or ((edge_recover = '0') and phi2_d='0' and phi2_c='1' and allow_tick_h) then ba_hist <= ba_hist(2 downto 0) & ba_c; phi2_tick_i <= '1'; phi2_rec_i <= '1'; phase_h <= 0; clock_det <= '1'; allow_tick_h <= false; -- filter elsif phase_h = 63 then clock_det <= '0'; else phase_h <= phase_h + 1; end if; if phase_h = 46 then -- max 1.06 MHz allow_tick_h <= true; end if; -- related to falling edge phi2_falling <= '0'; if phi2_d='1' and phi2_c='0' and allow_tick_l then -- falling edge phi2_falling <= '1'; phi2_rec_i <= '0'; phase_l <= 0; allow_tick_l <= false; -- filter elsif phase_l /= 63 then phase_l <= phase_l + 1; end if; if phase_l = 46 then -- max 1.06 MHz allow_tick_l <= true; end if; do_io_event <= phi2_falling; -- timing pulses if phase_h = 0 then inhibit <= serve_en_i; elsif phase_h = c_sample then inhibit <= '0'; end if; do_sample_addr <= '0'; if phase_h = timing_addr then do_sample_addr <= '1'; end if; do_probe_end <= '0'; if phase_h = c_probe_end then do_probe_end <= '1'; end if; if serve_vic='1' then if phase_l = (c_sample_vic - c_memdelay) then inhibit <= serve_en_i; elsif phase_l = (c_sample_vic - 1) then do_sample_addr <= '1'; end if; end if; if phase_l = c_sample_vic then inhibit <= '0'; end if; do_sample_io <= '0'; if phase_h = c_io - 1 then do_sample_io <= '1'; end if; if reset='1' then allow_tick_h <= true; allow_tick_l <= true; phase_h <= 63; phase_l <= 63; inhibit <= '0'; clock_det <= '0'; end if; end if; end process; allow_serve <= serve_en_i; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity slot_timing is port ( clock : in std_logic; reset : in std_logic; -- Cartridge pins PHI2 : in std_logic; BA : in std_logic; serve_vic : in std_logic; serve_enable : in std_logic; serve_inhibit : in std_logic; timing_addr : in unsigned(2 downto 0) := "000"; edge_recover : in std_logic; allow_serve : out std_logic; phi2_tick : out std_logic; phi2_recovered : out std_logic; clock_det : out std_logic; vic_cycle : out std_logic; inhibit : out std_logic; do_sample_addr : out std_logic; do_probe_end : out std_logic; do_sample_io : out std_logic; do_io_event : out std_logic ); end slot_timing; architecture gideon of slot_timing is signal phi2_c : std_logic; signal phi2_d : std_logic; signal ba_c : std_logic; signal phase_h : integer range 0 to 63 := 0; signal phase_l : integer range 0 to 63 := 0; signal allow_tick_h : boolean := true; signal allow_tick_l : boolean := true; signal phi2_falling : std_logic; signal ba_hist : std_logic_vector(3 downto 0) := (others => '0'); signal phi2_rec_i : std_logic := '0'; signal phi2_tick_i : std_logic; signal serve_en_i : std_logic := '0'; signal off_cnt : integer range 0 to 7; constant c_memdelay : integer := 5; constant c_sample : integer := 6; constant c_probe_end : integer := 11; constant c_sample_vic : integer := 10; constant c_io : integer := 19; attribute register_duplication : string; attribute register_duplication of ba_c : signal is "no"; attribute register_duplication of phi2_c : signal is "no"; begin vic_cycle <= '1' when (ba_hist = "0000") else '0'; phi2_recovered <= phi2_rec_i; phi2_tick <= phi2_tick_i; process(clock) begin if rising_edge(clock) then ba_c <= BA; phi2_c <= PHI2; phi2_d <= phi2_c; phi2_tick_i <= '0'; -- Off counter, to allow software to gracefully quit if serve_enable='1' and serve_inhibit='0' then off_cnt <= 7; serve_en_i <= '1'; elsif off_cnt = 0 then serve_en_i <= '0'; elsif phi2_tick_i='1' and ba_c='1' then off_cnt <= off_cnt - 1; serve_en_i <= '1'; end if; -- if (phi2_rec_i='0' and allow_tick_h) or -- (phi2_rec_i='1' and allow_tick_l) then -- phi2_rec_i <= PHI2; -- end if; -- related to rising edge -- if then -- rising edge if ((edge_recover = '1') and (phase_l = 24)) or ((edge_recover = '0') and phi2_d='0' and phi2_c='1' and allow_tick_h) then ba_hist <= ba_hist(2 downto 0) & ba_c; phi2_tick_i <= '1'; phi2_rec_i <= '1'; phase_h <= 0; clock_det <= '1'; allow_tick_h <= false; -- filter elsif phase_h = 63 then clock_det <= '0'; else phase_h <= phase_h + 1; end if; if phase_h = 46 then -- max 1.06 MHz allow_tick_h <= true; end if; -- related to falling edge phi2_falling <= '0'; if phi2_d='1' and phi2_c='0' and allow_tick_l then -- falling edge phi2_falling <= '1'; phi2_rec_i <= '0'; phase_l <= 0; allow_tick_l <= false; -- filter elsif phase_l /= 63 then phase_l <= phase_l + 1; end if; if phase_l = 46 then -- max 1.06 MHz allow_tick_l <= true; end if; do_io_event <= phi2_falling; -- timing pulses if phase_h = 0 then inhibit <= serve_en_i; elsif phase_h = c_sample then inhibit <= '0'; end if; do_sample_addr <= '0'; if phase_h = timing_addr then do_sample_addr <= '1'; end if; do_probe_end <= '0'; if phase_h = c_probe_end then do_probe_end <= '1'; end if; if serve_vic='1' then if phase_l = (c_sample_vic - c_memdelay) then inhibit <= serve_en_i; elsif phase_l = (c_sample_vic - 1) then do_sample_addr <= '1'; end if; end if; if phase_l = c_sample_vic then inhibit <= '0'; end if; do_sample_io <= '0'; if phase_h = c_io - 1 then do_sample_io <= '1'; end if; if reset='1' then allow_tick_h <= true; allow_tick_l <= true; phase_h <= 63; phase_l <= 63; inhibit <= '0'; clock_det <= '0'; end if; end if; end process; allow_serve <= serve_en_i; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity slot_timing is port ( clock : in std_logic; reset : in std_logic; -- Cartridge pins PHI2 : in std_logic; BA : in std_logic; serve_vic : in std_logic; serve_enable : in std_logic; serve_inhibit : in std_logic; timing_addr : in unsigned(2 downto 0) := "000"; edge_recover : in std_logic; allow_serve : out std_logic; phi2_tick : out std_logic; phi2_recovered : out std_logic; clock_det : out std_logic; vic_cycle : out std_logic; inhibit : out std_logic; do_sample_addr : out std_logic; do_probe_end : out std_logic; do_sample_io : out std_logic; do_io_event : out std_logic ); end slot_timing; architecture gideon of slot_timing is signal phi2_c : std_logic; signal phi2_d : std_logic; signal ba_c : std_logic; signal phase_h : integer range 0 to 63 := 0; signal phase_l : integer range 0 to 63 := 0; signal allow_tick_h : boolean := true; signal allow_tick_l : boolean := true; signal phi2_falling : std_logic; signal ba_hist : std_logic_vector(3 downto 0) := (others => '0'); signal phi2_rec_i : std_logic := '0'; signal phi2_tick_i : std_logic; signal serve_en_i : std_logic := '0'; signal off_cnt : integer range 0 to 7; constant c_memdelay : integer := 5; constant c_sample : integer := 6; constant c_probe_end : integer := 11; constant c_sample_vic : integer := 10; constant c_io : integer := 19; attribute register_duplication : string; attribute register_duplication of ba_c : signal is "no"; attribute register_duplication of phi2_c : signal is "no"; begin vic_cycle <= '1' when (ba_hist = "0000") else '0'; phi2_recovered <= phi2_rec_i; phi2_tick <= phi2_tick_i; process(clock) begin if rising_edge(clock) then ba_c <= BA; phi2_c <= PHI2; phi2_d <= phi2_c; phi2_tick_i <= '0'; -- Off counter, to allow software to gracefully quit if serve_enable='1' and serve_inhibit='0' then off_cnt <= 7; serve_en_i <= '1'; elsif off_cnt = 0 then serve_en_i <= '0'; elsif phi2_tick_i='1' and ba_c='1' then off_cnt <= off_cnt - 1; serve_en_i <= '1'; end if; -- if (phi2_rec_i='0' and allow_tick_h) or -- (phi2_rec_i='1' and allow_tick_l) then -- phi2_rec_i <= PHI2; -- end if; -- related to rising edge -- if then -- rising edge if ((edge_recover = '1') and (phase_l = 24)) or ((edge_recover = '0') and phi2_d='0' and phi2_c='1' and allow_tick_h) then ba_hist <= ba_hist(2 downto 0) & ba_c; phi2_tick_i <= '1'; phi2_rec_i <= '1'; phase_h <= 0; clock_det <= '1'; allow_tick_h <= false; -- filter elsif phase_h = 63 then clock_det <= '0'; else phase_h <= phase_h + 1; end if; if phase_h = 46 then -- max 1.06 MHz allow_tick_h <= true; end if; -- related to falling edge phi2_falling <= '0'; if phi2_d='1' and phi2_c='0' and allow_tick_l then -- falling edge phi2_falling <= '1'; phi2_rec_i <= '0'; phase_l <= 0; allow_tick_l <= false; -- filter elsif phase_l /= 63 then phase_l <= phase_l + 1; end if; if phase_l = 46 then -- max 1.06 MHz allow_tick_l <= true; end if; do_io_event <= phi2_falling; -- timing pulses if phase_h = 0 then inhibit <= serve_en_i; elsif phase_h = c_sample then inhibit <= '0'; end if; do_sample_addr <= '0'; if phase_h = timing_addr then do_sample_addr <= '1'; end if; do_probe_end <= '0'; if phase_h = c_probe_end then do_probe_end <= '1'; end if; if serve_vic='1' then if phase_l = (c_sample_vic - c_memdelay) then inhibit <= serve_en_i; elsif phase_l = (c_sample_vic - 1) then do_sample_addr <= '1'; end if; end if; if phase_l = c_sample_vic then inhibit <= '0'; end if; do_sample_io <= '0'; if phase_h = c_io - 1 then do_sample_io <= '1'; end if; if reset='1' then allow_tick_h <= true; allow_tick_l <= true; phase_h <= 63; phase_l <= 63; inhibit <= '0'; clock_det <= '0'; end if; end if; end process; allow_serve <= serve_en_i; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity slot_timing is port ( clock : in std_logic; reset : in std_logic; -- Cartridge pins PHI2 : in std_logic; BA : in std_logic; serve_vic : in std_logic; serve_enable : in std_logic; serve_inhibit : in std_logic; timing_addr : in unsigned(2 downto 0) := "000"; edge_recover : in std_logic; allow_serve : out std_logic; phi2_tick : out std_logic; phi2_recovered : out std_logic; clock_det : out std_logic; vic_cycle : out std_logic; inhibit : out std_logic; do_sample_addr : out std_logic; do_probe_end : out std_logic; do_sample_io : out std_logic; do_io_event : out std_logic ); end slot_timing; architecture gideon of slot_timing is signal phi2_c : std_logic; signal phi2_d : std_logic; signal ba_c : std_logic; signal phase_h : integer range 0 to 63 := 0; signal phase_l : integer range 0 to 63 := 0; signal allow_tick_h : boolean := true; signal allow_tick_l : boolean := true; signal phi2_falling : std_logic; signal ba_hist : std_logic_vector(3 downto 0) := (others => '0'); signal phi2_rec_i : std_logic := '0'; signal phi2_tick_i : std_logic; signal serve_en_i : std_logic := '0'; signal off_cnt : integer range 0 to 7; constant c_memdelay : integer := 5; constant c_sample : integer := 6; constant c_probe_end : integer := 11; constant c_sample_vic : integer := 10; constant c_io : integer := 19; attribute register_duplication : string; attribute register_duplication of ba_c : signal is "no"; attribute register_duplication of phi2_c : signal is "no"; begin vic_cycle <= '1' when (ba_hist = "0000") else '0'; phi2_recovered <= phi2_rec_i; phi2_tick <= phi2_tick_i; process(clock) begin if rising_edge(clock) then ba_c <= BA; phi2_c <= PHI2; phi2_d <= phi2_c; phi2_tick_i <= '0'; -- Off counter, to allow software to gracefully quit if serve_enable='1' and serve_inhibit='0' then off_cnt <= 7; serve_en_i <= '1'; elsif off_cnt = 0 then serve_en_i <= '0'; elsif phi2_tick_i='1' and ba_c='1' then off_cnt <= off_cnt - 1; serve_en_i <= '1'; end if; -- if (phi2_rec_i='0' and allow_tick_h) or -- (phi2_rec_i='1' and allow_tick_l) then -- phi2_rec_i <= PHI2; -- end if; -- related to rising edge -- if then -- rising edge if ((edge_recover = '1') and (phase_l = 24)) or ((edge_recover = '0') and phi2_d='0' and phi2_c='1' and allow_tick_h) then ba_hist <= ba_hist(2 downto 0) & ba_c; phi2_tick_i <= '1'; phi2_rec_i <= '1'; phase_h <= 0; clock_det <= '1'; allow_tick_h <= false; -- filter elsif phase_h = 63 then clock_det <= '0'; else phase_h <= phase_h + 1; end if; if phase_h = 46 then -- max 1.06 MHz allow_tick_h <= true; end if; -- related to falling edge phi2_falling <= '0'; if phi2_d='1' and phi2_c='0' and allow_tick_l then -- falling edge phi2_falling <= '1'; phi2_rec_i <= '0'; phase_l <= 0; allow_tick_l <= false; -- filter elsif phase_l /= 63 then phase_l <= phase_l + 1; end if; if phase_l = 46 then -- max 1.06 MHz allow_tick_l <= true; end if; do_io_event <= phi2_falling; -- timing pulses if phase_h = 0 then inhibit <= serve_en_i; elsif phase_h = c_sample then inhibit <= '0'; end if; do_sample_addr <= '0'; if phase_h = timing_addr then do_sample_addr <= '1'; end if; do_probe_end <= '0'; if phase_h = c_probe_end then do_probe_end <= '1'; end if; if serve_vic='1' then if phase_l = (c_sample_vic - c_memdelay) then inhibit <= serve_en_i; elsif phase_l = (c_sample_vic - 1) then do_sample_addr <= '1'; end if; end if; if phase_l = c_sample_vic then inhibit <= '0'; end if; do_sample_io <= '0'; if phase_h = c_io - 1 then do_sample_io <= '1'; end if; if reset='1' then allow_tick_h <= true; allow_tick_l <= true; phase_h <= 63; phase_l <= 63; inhibit <= '0'; clock_det <= '0'; end if; end if; end process; allow_serve <= serve_en_i; end gideon;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:14:32 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBMUX32.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: MUX32 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBMUX32 IS END TBMUX32; ARCHITECTURE behavior OF TBMUX32 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT MUX32 PORT( SEUIMM : IN std_logic_vector(31 downto 0); CRS2 : IN std_logic_vector(31 downto 0); OPER2 : OUT std_logic_vector(31 downto 0); Instruction : IN std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal SEUIMM : std_logic_vector(31 downto 0) := (others => '0'); signal CRS2 : std_logic_vector(31 downto 0) := (others => '0'); signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OPER2 : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: MUX32 PORT MAP ( SEUIMM => SEUIMM, CRS2 => CRS2, OPER2 => OPER2, Instruction => Instruction ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000001000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000010000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:14:32 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBMUX32.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: MUX32 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBMUX32 IS END TBMUX32; ARCHITECTURE behavior OF TBMUX32 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT MUX32 PORT( SEUIMM : IN std_logic_vector(31 downto 0); CRS2 : IN std_logic_vector(31 downto 0); OPER2 : OUT std_logic_vector(31 downto 0); Instruction : IN std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal SEUIMM : std_logic_vector(31 downto 0) := (others => '0'); signal CRS2 : std_logic_vector(31 downto 0) := (others => '0'); signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OPER2 : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: MUX32 PORT MAP ( SEUIMM => SEUIMM, CRS2 => CRS2, OPER2 => OPER2, Instruction => Instruction ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000001000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000010000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:14:32 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBMUX32.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: MUX32 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBMUX32 IS END TBMUX32; ARCHITECTURE behavior OF TBMUX32 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT MUX32 PORT( SEUIMM : IN std_logic_vector(31 downto 0); CRS2 : IN std_logic_vector(31 downto 0); OPER2 : OUT std_logic_vector(31 downto 0); Instruction : IN std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal SEUIMM : std_logic_vector(31 downto 0) := (others => '0'); signal CRS2 : std_logic_vector(31 downto 0) := (others => '0'); signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OPER2 : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: MUX32 PORT MAP ( SEUIMM => SEUIMM, CRS2 => CRS2, OPER2 => OPER2, Instruction => Instruction ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000001000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; Instruction <= "00000000000000000010000000000000"; CRS2 <= "00000000000000000000000000000011"; SEUIMM <= "11000000000000000000000000000011"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-- $Id: sys_tst_rlink_n3.vhd 538 2013-10-06 17:21:25Z mueller $ -- -- Copyright 2011-2013 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: sys_tst_rlink_n3 - syn -- Description: rlink tester design for nexys3 -- -- Dependencies: vlib/xlib/s6_cmt_sfs -- vlib/genlib/clkdivce -- bplib/bpgen/bp_rs232_2l4l_iob -- bplib/bpgen/sn_humanio_rbus -- vlib/rlink/rlink_sp1c -- rbd_tst_rlink -- vlib/rbus/rb_sres_or_2 -- vlib/nxcramlib/nx_cram_dummy -- -- Test bench: tb/tb_tst_rlink_n3 -- -- Target Devices: generic -- Tool versions: xst 13.1, 14.6; ghdl 0.29 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2011-12-18 440 13.1 O40d xc6slx16-2 752 1258 48 439 t 7.9 -- 2011-11-26 433 13.1 O40d xc6slx16-2 722 1199 36 423 t 9.7 -- -- Revision History: -- Date Rev Version Comment -- 2013-10-06 538 1.2 pll support, use clksys_vcodivide ect -- 2011-12-18 440 1.1.1 use [rt]xok for DSP_DP -- 2011-12-11 438 1.1 use now rbd_tst_rlink and rlink_sp1c -- 2011-11-26 433 1.0 Initial version (derived from sys_tst_rlink_n2) ------------------------------------------------------------------------------ -- Usage of Nexys 3 Switches, Buttons, LEDs: -- -- SWI(7:2): no function (only connected to sn_humanio_rbus) -- SWI(1): 1 enable XON -- SWI(0): 0 -> main board RS232 port - implemented in bp_rs232_2l4l_iob -- 1 -> Pmod B/top RS232 port / -- -- LED(7): SER_MONI.abact -- LED(6:2): no function (only connected to sn_humanio_rbus) -- LED(0): timer 0 busy -- LED(1): timer 1 busy -- -- DSP: SER_MONI.clkdiv (from auto bauder) -- DP(3): not SER_MONI.txok (shows tx back preasure) -- DP(2): SER_MONI.txact (shows tx activity) -- DP(1): not SER_MONI.rxok (shows rx back preasure) -- DP(0): SER_MONI.rxact (shows rx activity) -- library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.xlib.all; use work.genlib.all; use work.serportlib.all; use work.rblib.all; use work.rlinklib.all; use work.bpgenlib.all; use work.bpgenrbuslib.all; use work.nxcramlib.all; use work.sys_conf.all; -- ---------------------------------------------------------------------------- entity sys_tst_rlink_n3 is -- top level -- implements nexys3_fusp_aif port ( I_CLK100 : in slbit; -- 100 MHz clock I_RXD : in slbit; -- receive data (board view) O_TXD : out slbit; -- transmit data (board view) I_SWI : in slv8; -- n3 switches I_BTN : in slv5; -- n3 buttons O_LED : out slv8; -- n3 leds O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low) O_SEG_N : out slv8; -- 7 segment disp: segments (act.low) O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) O_MEM_WE_N : out slbit; -- cram: write enable (act.low) O_MEM_OE_N : out slbit; -- cram: output enable (act.low) O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) O_MEM_CLK : out slbit; -- cram: clock O_MEM_CRE : out slbit; -- cram: command register enable I_MEM_WAIT : in slbit; -- cram: mem wait O_MEM_ADDR : out slv23; -- cram: address lines IO_MEM_DATA : inout slv16; -- cram: data lines O_PPCM_CE_N : out slbit; -- ppcm: ... O_PPCM_RST_N : out slbit; -- ppcm: ... O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n I_FUSP_RXD : in slbit; -- fusp: rs232 rx O_FUSP_TXD : out slbit -- fusp: rs232 tx ); end sys_tst_rlink_n3; architecture syn of sys_tst_rlink_n3 is signal CLK : slbit := '0'; signal RXD : slbit := '1'; signal TXD : slbit := '0'; signal RTS_N : slbit := '0'; signal CTS_N : slbit := '0'; signal SWI : slv8 := (others=>'0'); signal BTN : slv5 := (others=>'0'); signal LED : slv8 := (others=>'0'); signal DSP_DAT : slv16 := (others=>'0'); signal DSP_DP : slv4 := (others=>'0'); signal RESET : slbit := '0'; signal CE_USEC : slbit := '0'; signal CE_MSEC : slbit := '0'; signal RB_MREQ : rb_mreq_type := rb_mreq_init; signal RB_SRES : rb_sres_type := rb_sres_init; signal RB_SRES_HIO : rb_sres_type := rb_sres_init; signal RB_SRES_TST : rb_sres_type := rb_sres_init; signal RB_LAM : slv16 := (others=>'0'); signal RB_STAT : slv3 := (others=>'0'); signal SER_MONI : serport_moni_type := serport_moni_init; signal STAT : slv8 := (others=>'0'); constant rbaddr_hio : slv8 := "11000000"; -- 110000xx begin assert (sys_conf_clksys mod 1000000) = 0 report "assert sys_conf_clksys on MHz grid" severity failure; RESET <= '0'; -- so far not used GEN_CLKSYS : s6_cmt_sfs generic map ( VCO_DIVIDE => sys_conf_clksys_vcodivide, VCO_MULTIPLY => sys_conf_clksys_vcomultiply, OUT_DIVIDE => sys_conf_clksys_outdivide, CLKIN_PERIOD => 10.0, CLKIN_JITTER => 0.01, STARTUP_WAIT => false, GEN_TYPE => sys_conf_clksys_gentype) port map ( CLKIN => I_CLK100, CLKFX => CLK, LOCKED => open ); CLKDIV : clkdivce generic map ( CDUWIDTH => 7, USECDIV => sys_conf_clksys_mhz, MSECDIV => 1000) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC ); IOB_RS232 : bp_rs232_2l4l_iob port map ( CLK => CLK, RESET => '0', SEL => SWI(0), RXD => RXD, TXD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, I_RXD0 => I_RXD, O_TXD0 => O_TXD, I_RXD1 => I_FUSP_RXD, O_TXD1 => O_FUSP_TXD, I_CTS1_N => I_FUSP_CTS_N, O_RTS1_N => O_FUSP_RTS_N ); HIO : sn_humanio_rbus generic map ( BWIDTH => 5, DEBOUNCE => sys_conf_hio_debounce, RB_ADDR => rbaddr_hio) port map ( CLK => CLK, RESET => RESET, CE_MSEC => CE_MSEC, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_HIO, SWI => SWI, BTN => BTN, LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => O_LED, O_ANO_N => O_ANO_N, O_SEG_N => O_SEG_N ); RLINK : rlink_sp1c generic map ( ATOWIDTH => 6, ITOWIDTH => 6, CPREF => c_rlink_cpref, IFAWIDTH => 5, OFAWIDTH => 5, ENAPIN_RLMON => sbcntl_sbf_rlmon, ENAPIN_RBMON => sbcntl_sbf_rbmon, CDWIDTH => 15, CDINIT => sys_conf_ser2rri_cdinit) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC, CE_INT => CE_MSEC, RESET => RESET, ENAXON => SWI(1), ENAESC => SWI(1), RXSD => RXD, TXSD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES, RB_LAM => RB_LAM, RB_STAT => RB_STAT, RL_MONI => open, SER_MONI => SER_MONI ); RBDTST : entity work.rbd_tst_rlink port map ( CLK => CLK, RESET => RESET, CE_USEC => CE_USEC, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_TST, RB_LAM => RB_LAM, RB_STAT => RB_STAT, RB_SRES_TOP => RB_SRES, RXSD => RXD, RXACT => SER_MONI.rxact, STAT => STAT ); RB_SRES_OR1 : rb_sres_or_2 port map ( RB_SRES_1 => RB_SRES_HIO, RB_SRES_2 => RB_SRES_TST, RB_SRES_OR => RB_SRES ); SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy port map ( O_MEM_CE_N => O_MEM_CE_N, O_MEM_BE_N => O_MEM_BE_N, O_MEM_WE_N => O_MEM_WE_N, O_MEM_OE_N => O_MEM_OE_N, O_MEM_ADV_N => O_MEM_ADV_N, O_MEM_CLK => O_MEM_CLK, O_MEM_CRE => O_MEM_CRE, I_MEM_WAIT => I_MEM_WAIT, O_MEM_ADDR => O_MEM_ADDR, IO_MEM_DATA => IO_MEM_DATA ); O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled O_PPCM_RST_N <= '1'; -- DSP_DAT <= SER_MONI.abclkdiv; DSP_DP(3) <= not SER_MONI.txok; DSP_DP(2) <= SER_MONI.txact; DSP_DP(1) <= not SER_MONI.rxok; DSP_DP(0) <= SER_MONI.rxact; LED(7) <= SER_MONI.abact; LED(6 downto 2) <= (others=>'0'); LED(1) <= STAT(1); LED(0) <= STAT(0); end syn;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: clkgen_saed32 -- File: clkgen_saed32.vhd -- Author: Fredrik Ringhage - Aeroflex Gaisler AB -- Description: Clock generator for SAED32 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity clkgen_saed32 is port ( clkin : in std_logic; clk : out std_logic; -- main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic); -- unscaled 2X clock end; architecture struct of clkgen_saed32 is component PLL port ( -- VDD25 : in std_logic; -- DVDD : inout std_logic; -- VSSA : in std_logic; -- AVDD : inout std_logic; REF_CLK : in std_logic; FB_CLK : in std_logic; FB_MODE : in std_logic; PLL_BYPASS : in std_logic; CLK_4X : out std_logic; CLK_2X : out std_logic; CLK_1X : out std_logic); end component; ----------------------------------------------------------------------------- -- attributes ----------------------------------------------------------------------------- attribute DONT_TOUCH : Boolean; attribute DONT_TOUCH of pll0 : label is True; begin pll0 : PLL port map ( -- VDD25 => '1', -- DVDD => open, -- VSSA => '0', -- AVDD => open, REF_CLK => clkin, FB_CLK => cgi.pllref, FB_MODE => cgi.pllctrl(1), PLL_BYPASS => cgi.pllctrl(0), CLK_4X => clk4x, CLK_2X => clk2x, CLK_1X => clk ); cgo.clklock <= '1'; sdclk <= '0'; pciclk <= '0'; cgo.pcilock <= '1'; clk1xu <= '0'; clk2xu <= '0'; end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off --library saed32; --use saed32.CGLPPSX4_LVT; -- pragma translate_on entity clkand_saed32 is port ( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0'); end clkand_saed32; architecture rtl of clkand_saed32 is component CGLPPSX4_LVT port ( GCLK : out std_ulogic; CLK : in std_ulogic; EN : in std_ulogic; SE : in std_ulogic ); end component; attribute DONT_TOUCH : Boolean; attribute DONT_TOUCH of gate : label is True; begin gate: CGLPPSX4_LVT port map (GCLK => o , CLK => i , EN => en, SE => tsten); end rtl; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off --library saed32; --use saed32.MUX21X1_LVT; -- pragma translate_on entity clkmux_saed32 is port ( i0 : in std_ulogic; i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end clkmux_saed32; architecture rtl of clkmux_saed32 is component MUX21X1_LVT port ( Y : out std_ulogic; A1 : in std_ulogic; A2 : in std_ulogic; S0 : in std_ulogic ); end component; attribute DONT_TOUCH : Boolean; attribute DONT_TOUCH of m0 : label is True; begin m0: MUX21X1_LVT port map (A1 => i0 , A2 => i1 , S0 => sel, Y => o); end rtl; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off --library saed32; --use saed32.INVX4_LVT; -- pragma translate_on entity clkinv_saed32 is port ( i : in std_ulogic; o : out std_ulogic); end clkinv_saed32; architecture rtl of clkinv_saed32 is component INVX4_LVT port ( Y : out std_ulogic; A : in std_ulogic ); end component; attribute DONT_TOUCH : Boolean; attribute DONT_TOUCH of gate : label is True; begin gate: INVX4_LVT port map (A => i , Y => o); end rtl;
library verilog; use verilog.vl_types.all; entity View_input_vlg_sample_tst is port( clk : in vl_logic; reset : in vl_logic; w : in vl_logic; sampler_tx : out vl_logic ); end View_input_vlg_sample_tst;
package pkg is type nat_rec is record a, b : natural; end record; type nat_arr is array (natural range <>) of natural; end pkg;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2571.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s05b00x00p16n02i02571ent IS END c07s05b00x00p16n02i02571ent; ARCHITECTURE c07s05b00x00p16n02i02571arch OF c07s05b00x00p16n02i02571ent IS BEGIN TESTING: PROCESS BEGIN assert NOT(2E26 = (2E13*1E13)) report "***PASSED TEST: c07s05b00x00p16n02i02571" severity NOTE; assert (2E26 = (2E13*1E13)) report "***FAILED TEST: c07s05b00x00p16n02i02571 - The values of the operands and the result lie within the range of the integer type." severity ERROR; wait; END PROCESS TESTING; END c07s05b00x00p16n02i02571arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2571.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s05b00x00p16n02i02571ent IS END c07s05b00x00p16n02i02571ent; ARCHITECTURE c07s05b00x00p16n02i02571arch OF c07s05b00x00p16n02i02571ent IS BEGIN TESTING: PROCESS BEGIN assert NOT(2E26 = (2E13*1E13)) report "***PASSED TEST: c07s05b00x00p16n02i02571" severity NOTE; assert (2E26 = (2E13*1E13)) report "***FAILED TEST: c07s05b00x00p16n02i02571 - The values of the operands and the result lie within the range of the integer type." severity ERROR; wait; END PROCESS TESTING; END c07s05b00x00p16n02i02571arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2571.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s05b00x00p16n02i02571ent IS END c07s05b00x00p16n02i02571ent; ARCHITECTURE c07s05b00x00p16n02i02571arch OF c07s05b00x00p16n02i02571ent IS BEGIN TESTING: PROCESS BEGIN assert NOT(2E26 = (2E13*1E13)) report "***PASSED TEST: c07s05b00x00p16n02i02571" severity NOTE; assert (2E26 = (2E13*1E13)) report "***FAILED TEST: c07s05b00x00p16n02i02571 - The values of the operands and the result lie within the range of the integer type." severity ERROR; wait; END PROCESS TESTING; END c07s05b00x00p16n02i02571arch;
entity tb_case02 is end tb_case02; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture behav of tb_case02 is signal s : std_logic_vector (4 downto 0); signal o : std_logic; begin dut: entity work.case02 port map (s, o); process constant ov : std_logic_vector (0 to 31) := b"00010011000010001100000000000000"; begin for i in ov'range loop s <= std_logic_vector(to_unsigned(i, 5)); wait for 1 ns; assert o = ov(i) severity failure; end loop; wait; end process; end behav;
------------------------------------------------------------------------------- -- -- File: CRC16_behavioral.vhd -- Author: Elod Gyorgy -- Original Project: MIPI CSI-2 Receiver IP -- Date: 15 December 2017 -- ------------------------------------------------------------------------------- --MIT License -- --Copyright (c) 2016 Digilent -- --Permission is hereby granted, free of charge, to any person obtaining a copy --of this software and associated documentation files (the "Software"), to deal --in the Software without restriction, including without limitation the rights --to use, copy, modify, merge, publish, distribute, sublicense, and/or sell --copies of the Software, and to permit persons to whom the Software is --furnished to do so, subject to the following conditions: -- --The above copyright notice and this permission notice shall be included in all --copies or substantial portions of the Software. -- --THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR --IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, --FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE --AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER --LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, --OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE --SOFTWARE. -- ------------------------------------------------------------------------------- -- Additional Comments: Sub-optimal implementation of CRC-16, with untested -- bByteIgnore. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity CRC16 is Generic ( kLaneCount : natural range 1 to 4 := 2 ); Port ( ByteClk : in STD_LOGIC; bData : in STD_LOGIC_VECTOR (kLaneCount*8-1 downto 0); bDataEnable : in std_logic; bKeep : in STD_LOGIC_VECTOR (kLaneCount-1 downto 0); bCRC : out STD_LOGIC_VECTOR (15 downto 0); bRst : in STD_LOGIC); end CRC16; architecture Behavioral of CRC16 is function crc16_serial ( crc : std_logic_vector; data_in : std_logic) return std_logic_vector is variable crc_new : std_logic_vector(15 downto 0); begin if ((crc(0) xor data_in) = '1') then crc_new := ('0' & crc(15 downto 1)) xor x"8408"; else crc_new := '0' & crc(15 downto 1); end if; return crc_new; end crc16_serial; signal crc : std_logic_vector(15 downto 0); begin process(ByteClk) variable crc_temp : std_logic_vector(15 downto 0); begin if Rising_Edge(ByteClk) then if (bRst = '1') then crc <= x"FFFF"; elsif (bDataEnable = '1') then crc_temp := crc; if std_match(bKeep, "1111") then for i in 0 to 32-0*8-1 loop crc_temp := crc16_serial(crc_temp, bData(i)); end loop; elsif std_match(bKeep, "0111") then for i in 0 to 32-1*8-1 loop crc_temp := crc16_serial(crc_temp, bData(i)); end loop; elsif std_match(bKeep, "-011") then for i in 0 to 32-2*8-1 loop crc_temp := crc16_serial(crc_temp, bData(i)); end loop; elsif std_match(bKeep, "--01") then for i in 0 to 32-3*8-1 loop crc_temp := crc16_serial(crc_temp, bData(i)); end loop; end if; crc <= crc_temp; end if; end if; end process; bCRC <= crc; end Behavioral;
entity fifo is generic ( gen_dec1 : integer := 0; -- Comment gen_dec2 : integer := 1; -- Comment gen_dec3 : integer := 2 -- Comment ); port ( sig1 : std_logic := '0'; -- Comment sig2 : std_logic := '1'; -- Comment sig3 : std_logic := 'Z' -- Comment ); end entity fifo; -- Failures below entity fifo is generic ( gen_dec1 : integer := 0; -- Comment gen_dec2 : integer := 1; -- Comment gen_dec3 : integer := 2 -- Comment ); port ( sig1 : std_logic := '0'; -- Comment sig2 : std_logic := '1'; -- Comment sig3 : std_logic := 'Z' -- Comment ); end entity fifo;
------------------------------------------------------------------------------- -- baudrate - entity/architecture pair ------------------------------------------------------------------------------- -- -- ******************************************************************* -- -- ** (c) Copyright [2007] - [2011] Xilinx, Inc. All rights reserved.* -- -- ** * -- -- ** This file contains confidential and proprietary information * -- -- ** of Xilinx, Inc. and is protected under U.S. and * -- -- ** international copyright and other intellectual property * -- -- ** laws. * -- -- ** * -- -- ** DISCLAIMER * -- -- ** This disclaimer is not a license and does not grant any * -- -- ** rights to the materials distributed herewith. Except as * -- -- ** otherwise provided in a valid license issued to you by * -- -- ** Xilinx, and to the maximum extent permitted by applicable * -- -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- -- ** including negligence, or under any other theory of * -- -- ** liability) for any loss or damage of any kind or nature * -- -- ** related to, arising under or in connection with these * -- -- ** materials, including for any direct, or any indirect, * -- -- ** special, incidental, or consequential loss or damage * -- -- ** (including loss of data, profits, goodwill, or any type of * -- -- ** loss or damage suffered as a result of any action brought * -- -- ** by a third party) even if such damage or loss was * -- -- ** reasonably foreseeable or Xilinx had been advised of the * -- -- ** possibility of the same. * -- -- ** * -- -- ** CRITICAL APPLICATIONS * -- -- ** Xilinx products are not designed or intended to be fail- * -- -- ** safe, or for use in any application requiring fail-safe * -- -- ** performance, such as life-support or safety devices or * -- -- ** systems, Class III medical devices, nuclear facilities, * -- -- ** applications related to the deployment of airbags, or any * -- -- ** other applications that could lead to death, personal * -- -- ** injury, or severe property or environmental damage * -- -- ** (individually and collectively, "Critical * -- -- ** Applications"). Customer assumes the sole risk and * -- -- ** liability of any use of Xilinx products in Critical * -- -- ** Applications, subject only to applicable laws and * -- -- ** regulations governing limitations on product liability. * -- -- ** * -- -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: baudrate.vhd -- Version: v2.0 -- Description: Baud rate enable logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_uartlite. -- -- axi_uartlite.vhd -- --axi_lite_ipif.vhd -- --uartlite_core.vhd -- --uartlite_tx.vhd -- --uartlite_rx.vhd -- --baudrate.vhd ------------------------------------------------------------------------------- -- Author: USM -- -- USM 07/22/09 -- ^^^^^^ -- - Initial release of v1.00.a -- ~~~~~~ -- ~~~~~~ -- 20/09/20 SK -- - Updated the version as AXI Lite IPIF version is updated. -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics : ------------------------------------------------------------------------------- -- UART Lite generics -- C_RATIO -- The ratio between clk and the asked baudrate -- multiplied with 16 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports : ------------------------------------------------------------------------------- -- System Signals -- Clk -- Clock signal -- Reset -- Reset signal -- Internal UART interface signals -- EN_16x_Baud -- Enable signal which is 16x times baud rate ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Section ------------------------------------------------------------------------------- entity baudrate is generic ( C_RATIO : integer := 48 -- The ratio between clk and the asked -- baudrate multiplied with 16 ); port ( Clk : in std_logic; Reset : in std_logic; EN_16x_Baud : out std_logic ); end entity baudrate; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture RTL of baudrate is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes"; --------------------------------------------------------------------------- -- Signal Declarations --------------------------------------------------------------------------- signal count : natural range 0 to C_RATIO-1; begin -- architecture VHDL_RTL --------------------------------------------------------------------------- -- COUNTER_PROCESS : Down counter for generating EN_16x_Baud signal --------------------------------------------------------------------------- COUNTER_PROCESS : process (Clk) is begin if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then count <= 0; EN_16x_Baud <= '0'; else if (count = 0) then count <= C_RATIO-1; EN_16x_Baud <= '1'; else count <= count - 1; EN_16x_Baud <= '0'; end if; end if; end if; end process COUNTER_PROCESS; end architecture RTL;
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (lin64) Build 1756540 Mon Jan 23 19:11:19 MST 2017 --Date : Mon Mar 27 01:47:30 2017 --Host : andrewandrepowell2-desktop running 64-bit Ubuntu 16.04 LTS --Command : generate_target mig_wrap.bd --Design : mig_wrap --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s00_couplers_imp_GVFDLK is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awvalid : out STD_LOGIC; M_AXI_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_rlast : in STD_LOGIC; M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wlast : out STD_LOGIC; M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awvalid : in STD_LOGIC; S_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_rlast : out STD_LOGIC; S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wlast : in STD_LOGIC; S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s00_couplers_imp_GVFDLK; architecture STRUCTURE of s00_couplers_imp_GVFDLK is component mig_wrap_auto_cc_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component mig_wrap_auto_cc_0; signal M_ACLK_1 : STD_LOGIC; signal M_ARESETN_1 : STD_LOGIC; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC; signal auto_cc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_cc_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_cc_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal auto_cc_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_cc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_cc_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_ARREADY : STD_LOGIC; signal auto_cc_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_cc_to_s00_couplers_ARVALID : STD_LOGIC; signal auto_cc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_cc_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_cc_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal auto_cc_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_cc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_cc_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_AWREADY : STD_LOGIC; signal auto_cc_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_cc_to_s00_couplers_AWVALID : STD_LOGIC; signal auto_cc_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_BREADY : STD_LOGIC; signal auto_cc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_cc_to_s00_couplers_BVALID : STD_LOGIC; signal auto_cc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_cc_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_RLAST : STD_LOGIC; signal auto_cc_to_s00_couplers_RREADY : STD_LOGIC; signal auto_cc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_cc_to_s00_couplers_RVALID : STD_LOGIC; signal auto_cc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_cc_to_s00_couplers_WLAST : STD_LOGIC; signal auto_cc_to_s00_couplers_WREADY : STD_LOGIC; signal auto_cc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_cc_to_s00_couplers_WVALID : STD_LOGIC; signal s00_couplers_to_auto_cc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_cc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_cc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_ARID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s00_couplers_to_auto_cc_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_auto_cc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_cc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_ARREADY : STD_LOGIC; signal s00_couplers_to_auto_cc_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_cc_ARVALID : STD_LOGIC; signal s00_couplers_to_auto_cc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_cc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_cc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_AWID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s00_couplers_to_auto_cc_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_auto_cc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_cc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_AWREADY : STD_LOGIC; signal s00_couplers_to_auto_cc_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_cc_AWVALID : STD_LOGIC; signal s00_couplers_to_auto_cc_BID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_BREADY : STD_LOGIC; signal s00_couplers_to_auto_cc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_cc_BVALID : STD_LOGIC; signal s00_couplers_to_auto_cc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_cc_RID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_RLAST : STD_LOGIC; signal s00_couplers_to_auto_cc_RREADY : STD_LOGIC; signal s00_couplers_to_auto_cc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_cc_RVALID : STD_LOGIC; signal s00_couplers_to_auto_cc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_cc_WLAST : STD_LOGIC; signal s00_couplers_to_auto_cc_WREADY : STD_LOGIC; signal s00_couplers_to_auto_cc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_cc_WVALID : STD_LOGIC; signal NLW_auto_cc_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_auto_cc_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); begin M_ACLK_1 <= M_ACLK; M_ARESETN_1 <= M_ARESETN; M_AXI_araddr(31 downto 0) <= auto_cc_to_s00_couplers_ARADDR(31 downto 0); M_AXI_arburst(1 downto 0) <= auto_cc_to_s00_couplers_ARBURST(1 downto 0); M_AXI_arcache(3 downto 0) <= auto_cc_to_s00_couplers_ARCACHE(3 downto 0); M_AXI_arid(3 downto 0) <= auto_cc_to_s00_couplers_ARID(3 downto 0); M_AXI_arlen(7 downto 0) <= auto_cc_to_s00_couplers_ARLEN(7 downto 0); M_AXI_arlock(0) <= auto_cc_to_s00_couplers_ARLOCK(0); M_AXI_arprot(2 downto 0) <= auto_cc_to_s00_couplers_ARPROT(2 downto 0); M_AXI_arqos(3 downto 0) <= auto_cc_to_s00_couplers_ARQOS(3 downto 0); M_AXI_arsize(2 downto 0) <= auto_cc_to_s00_couplers_ARSIZE(2 downto 0); M_AXI_arvalid <= auto_cc_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_cc_to_s00_couplers_AWADDR(31 downto 0); M_AXI_awburst(1 downto 0) <= auto_cc_to_s00_couplers_AWBURST(1 downto 0); M_AXI_awcache(3 downto 0) <= auto_cc_to_s00_couplers_AWCACHE(3 downto 0); M_AXI_awid(3 downto 0) <= auto_cc_to_s00_couplers_AWID(3 downto 0); M_AXI_awlen(7 downto 0) <= auto_cc_to_s00_couplers_AWLEN(7 downto 0); M_AXI_awlock(0) <= auto_cc_to_s00_couplers_AWLOCK(0); M_AXI_awprot(2 downto 0) <= auto_cc_to_s00_couplers_AWPROT(2 downto 0); M_AXI_awqos(3 downto 0) <= auto_cc_to_s00_couplers_AWQOS(3 downto 0); M_AXI_awsize(2 downto 0) <= auto_cc_to_s00_couplers_AWSIZE(2 downto 0); M_AXI_awvalid <= auto_cc_to_s00_couplers_AWVALID; M_AXI_bready <= auto_cc_to_s00_couplers_BREADY; M_AXI_rready <= auto_cc_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_cc_to_s00_couplers_WDATA(31 downto 0); M_AXI_wlast <= auto_cc_to_s00_couplers_WLAST; M_AXI_wstrb(3 downto 0) <= auto_cc_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_cc_to_s00_couplers_WVALID; S_ACLK_1 <= S_ACLK; S_ARESETN_1 <= S_ARESETN; S_AXI_arready <= s00_couplers_to_auto_cc_ARREADY; S_AXI_awready <= s00_couplers_to_auto_cc_AWREADY; S_AXI_bid(3 downto 0) <= s00_couplers_to_auto_cc_BID(3 downto 0); S_AXI_bresp(1 downto 0) <= s00_couplers_to_auto_cc_BRESP(1 downto 0); S_AXI_bvalid <= s00_couplers_to_auto_cc_BVALID; S_AXI_rdata(31 downto 0) <= s00_couplers_to_auto_cc_RDATA(31 downto 0); S_AXI_rid(3 downto 0) <= s00_couplers_to_auto_cc_RID(3 downto 0); S_AXI_rlast <= s00_couplers_to_auto_cc_RLAST; S_AXI_rresp(1 downto 0) <= s00_couplers_to_auto_cc_RRESP(1 downto 0); S_AXI_rvalid <= s00_couplers_to_auto_cc_RVALID; S_AXI_wready <= s00_couplers_to_auto_cc_WREADY; auto_cc_to_s00_couplers_ARREADY <= M_AXI_arready; auto_cc_to_s00_couplers_AWREADY <= M_AXI_awready; auto_cc_to_s00_couplers_BID(3 downto 0) <= M_AXI_bid(3 downto 0); auto_cc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_cc_to_s00_couplers_BVALID <= M_AXI_bvalid; auto_cc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); auto_cc_to_s00_couplers_RID(3 downto 0) <= M_AXI_rid(3 downto 0); auto_cc_to_s00_couplers_RLAST <= M_AXI_rlast; auto_cc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_cc_to_s00_couplers_RVALID <= M_AXI_rvalid; auto_cc_to_s00_couplers_WREADY <= M_AXI_wready; s00_couplers_to_auto_cc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s00_couplers_to_auto_cc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); s00_couplers_to_auto_cc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); s00_couplers_to_auto_cc_ARID(3 downto 0) <= S_AXI_arid(3 downto 0); s00_couplers_to_auto_cc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0); s00_couplers_to_auto_cc_ARLOCK(0) <= S_AXI_arlock(0); s00_couplers_to_auto_cc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s00_couplers_to_auto_cc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); s00_couplers_to_auto_cc_ARREGION(3 downto 0) <= S_AXI_arregion(3 downto 0); s00_couplers_to_auto_cc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); s00_couplers_to_auto_cc_ARVALID <= S_AXI_arvalid; s00_couplers_to_auto_cc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s00_couplers_to_auto_cc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); s00_couplers_to_auto_cc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); s00_couplers_to_auto_cc_AWID(3 downto 0) <= S_AXI_awid(3 downto 0); s00_couplers_to_auto_cc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0); s00_couplers_to_auto_cc_AWLOCK(0) <= S_AXI_awlock(0); s00_couplers_to_auto_cc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s00_couplers_to_auto_cc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); s00_couplers_to_auto_cc_AWREGION(3 downto 0) <= S_AXI_awregion(3 downto 0); s00_couplers_to_auto_cc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); s00_couplers_to_auto_cc_AWVALID <= S_AXI_awvalid; s00_couplers_to_auto_cc_BREADY <= S_AXI_bready; s00_couplers_to_auto_cc_RREADY <= S_AXI_rready; s00_couplers_to_auto_cc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s00_couplers_to_auto_cc_WLAST <= S_AXI_wlast; s00_couplers_to_auto_cc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_auto_cc_WVALID <= S_AXI_wvalid; auto_cc: component mig_wrap_auto_cc_0 port map ( m_axi_aclk => M_ACLK_1, m_axi_araddr(31 downto 0) => auto_cc_to_s00_couplers_ARADDR(31 downto 0), m_axi_arburst(1 downto 0) => auto_cc_to_s00_couplers_ARBURST(1 downto 0), m_axi_arcache(3 downto 0) => auto_cc_to_s00_couplers_ARCACHE(3 downto 0), m_axi_aresetn => M_ARESETN_1, m_axi_arid(3 downto 0) => auto_cc_to_s00_couplers_ARID(3 downto 0), m_axi_arlen(7 downto 0) => auto_cc_to_s00_couplers_ARLEN(7 downto 0), m_axi_arlock(0) => auto_cc_to_s00_couplers_ARLOCK(0), m_axi_arprot(2 downto 0) => auto_cc_to_s00_couplers_ARPROT(2 downto 0), m_axi_arqos(3 downto 0) => auto_cc_to_s00_couplers_ARQOS(3 downto 0), m_axi_arready => auto_cc_to_s00_couplers_ARREADY, m_axi_arregion(3 downto 0) => NLW_auto_cc_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => auto_cc_to_s00_couplers_ARSIZE(2 downto 0), m_axi_arvalid => auto_cc_to_s00_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_cc_to_s00_couplers_AWADDR(31 downto 0), m_axi_awburst(1 downto 0) => auto_cc_to_s00_couplers_AWBURST(1 downto 0), m_axi_awcache(3 downto 0) => auto_cc_to_s00_couplers_AWCACHE(3 downto 0), m_axi_awid(3 downto 0) => auto_cc_to_s00_couplers_AWID(3 downto 0), m_axi_awlen(7 downto 0) => auto_cc_to_s00_couplers_AWLEN(7 downto 0), m_axi_awlock(0) => auto_cc_to_s00_couplers_AWLOCK(0), m_axi_awprot(2 downto 0) => auto_cc_to_s00_couplers_AWPROT(2 downto 0), m_axi_awqos(3 downto 0) => auto_cc_to_s00_couplers_AWQOS(3 downto 0), m_axi_awready => auto_cc_to_s00_couplers_AWREADY, m_axi_awregion(3 downto 0) => NLW_auto_cc_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => auto_cc_to_s00_couplers_AWSIZE(2 downto 0), m_axi_awvalid => auto_cc_to_s00_couplers_AWVALID, m_axi_bid(3 downto 0) => auto_cc_to_s00_couplers_BID(3 downto 0), m_axi_bready => auto_cc_to_s00_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_cc_to_s00_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_cc_to_s00_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_cc_to_s00_couplers_RDATA(31 downto 0), m_axi_rid(3 downto 0) => auto_cc_to_s00_couplers_RID(3 downto 0), m_axi_rlast => auto_cc_to_s00_couplers_RLAST, m_axi_rready => auto_cc_to_s00_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_cc_to_s00_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_cc_to_s00_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_cc_to_s00_couplers_WDATA(31 downto 0), m_axi_wlast => auto_cc_to_s00_couplers_WLAST, m_axi_wready => auto_cc_to_s00_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_cc_to_s00_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_cc_to_s00_couplers_WVALID, s_axi_aclk => S_ACLK_1, s_axi_araddr(31 downto 0) => s00_couplers_to_auto_cc_ARADDR(31 downto 0), s_axi_arburst(1 downto 0) => s00_couplers_to_auto_cc_ARBURST(1 downto 0), s_axi_arcache(3 downto 0) => s00_couplers_to_auto_cc_ARCACHE(3 downto 0), s_axi_aresetn => S_ARESETN_1, s_axi_arid(3 downto 0) => s00_couplers_to_auto_cc_ARID(3 downto 0), s_axi_arlen(7 downto 0) => s00_couplers_to_auto_cc_ARLEN(7 downto 0), s_axi_arlock(0) => s00_couplers_to_auto_cc_ARLOCK(0), s_axi_arprot(2 downto 0) => s00_couplers_to_auto_cc_ARPROT(2 downto 0), s_axi_arqos(3 downto 0) => s00_couplers_to_auto_cc_ARQOS(3 downto 0), s_axi_arready => s00_couplers_to_auto_cc_ARREADY, s_axi_arregion(3 downto 0) => s00_couplers_to_auto_cc_ARREGION(3 downto 0), s_axi_arsize(2 downto 0) => s00_couplers_to_auto_cc_ARSIZE(2 downto 0), s_axi_arvalid => s00_couplers_to_auto_cc_ARVALID, s_axi_awaddr(31 downto 0) => s00_couplers_to_auto_cc_AWADDR(31 downto 0), s_axi_awburst(1 downto 0) => s00_couplers_to_auto_cc_AWBURST(1 downto 0), s_axi_awcache(3 downto 0) => s00_couplers_to_auto_cc_AWCACHE(3 downto 0), s_axi_awid(3 downto 0) => s00_couplers_to_auto_cc_AWID(3 downto 0), s_axi_awlen(7 downto 0) => s00_couplers_to_auto_cc_AWLEN(7 downto 0), s_axi_awlock(0) => s00_couplers_to_auto_cc_AWLOCK(0), s_axi_awprot(2 downto 0) => s00_couplers_to_auto_cc_AWPROT(2 downto 0), s_axi_awqos(3 downto 0) => s00_couplers_to_auto_cc_AWQOS(3 downto 0), s_axi_awready => s00_couplers_to_auto_cc_AWREADY, s_axi_awregion(3 downto 0) => s00_couplers_to_auto_cc_AWREGION(3 downto 0), s_axi_awsize(2 downto 0) => s00_couplers_to_auto_cc_AWSIZE(2 downto 0), s_axi_awvalid => s00_couplers_to_auto_cc_AWVALID, s_axi_bid(3 downto 0) => s00_couplers_to_auto_cc_BID(3 downto 0), s_axi_bready => s00_couplers_to_auto_cc_BREADY, s_axi_bresp(1 downto 0) => s00_couplers_to_auto_cc_BRESP(1 downto 0), s_axi_bvalid => s00_couplers_to_auto_cc_BVALID, s_axi_rdata(31 downto 0) => s00_couplers_to_auto_cc_RDATA(31 downto 0), s_axi_rid(3 downto 0) => s00_couplers_to_auto_cc_RID(3 downto 0), s_axi_rlast => s00_couplers_to_auto_cc_RLAST, s_axi_rready => s00_couplers_to_auto_cc_RREADY, s_axi_rresp(1 downto 0) => s00_couplers_to_auto_cc_RRESP(1 downto 0), s_axi_rvalid => s00_couplers_to_auto_cc_RVALID, s_axi_wdata(31 downto 0) => s00_couplers_to_auto_cc_WDATA(31 downto 0), s_axi_wlast => s00_couplers_to_auto_cc_WLAST, s_axi_wready => s00_couplers_to_auto_cc_WREADY, s_axi_wstrb(3 downto 0) => s00_couplers_to_auto_cc_WSTRB(3 downto 0), s_axi_wvalid => s00_couplers_to_auto_cc_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_axi_interconnect_0_0 is port ( ACLK : in STD_LOGIC; ARESETN : in STD_LOGIC; M00_ACLK : in STD_LOGIC; M00_ARESETN : in STD_LOGIC; M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); M00_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_arready : in STD_LOGIC; M00_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_arvalid : out STD_LOGIC; M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); M00_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_awready : in STD_LOGIC; M00_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_awvalid : out STD_LOGIC; M00_AXI_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_bready : out STD_LOGIC; M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_bvalid : in STD_LOGIC; M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_rlast : in STD_LOGIC; M00_AXI_rready : out STD_LOGIC; M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_rvalid : in STD_LOGIC; M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_wlast : out STD_LOGIC; M00_AXI_wready : in STD_LOGIC; M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC ); end mig_wrap_axi_interconnect_0_0; architecture STRUCTURE of mig_wrap_axi_interconnect_0_0 is signal S00_ACLK_1 : STD_LOGIC; signal S00_ARESETN_1 : STD_LOGIC; signal axi_interconnect_0_ACLK_net : STD_LOGIC; signal axi_interconnect_0_ARESETN_net : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_interconnect_0_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARREADY : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_to_s00_couplers_ARVALID : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_interconnect_0_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWREADY : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_to_s00_couplers_AWVALID : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_BREADY : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_to_s00_couplers_BVALID : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_RLAST : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_RREADY : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_to_s00_couplers_RVALID : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_to_s00_couplers_WLAST : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_WREADY : STD_LOGIC; signal axi_interconnect_0_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_to_s00_couplers_WVALID : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_axi_interconnect_0_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARREADY : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_axi_interconnect_0_ARVALID : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_axi_interconnect_0_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWREADY : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_axi_interconnect_0_AWVALID : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_BID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_BREADY : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_axi_interconnect_0_BVALID : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_axi_interconnect_0_RID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_RLAST : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_RREADY : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_axi_interconnect_0_RVALID : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_axi_interconnect_0_WLAST : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_WREADY : STD_LOGIC; signal s00_couplers_to_axi_interconnect_0_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_axi_interconnect_0_WVALID : STD_LOGIC; begin M00_AXI_araddr(31 downto 0) <= s00_couplers_to_axi_interconnect_0_ARADDR(31 downto 0); M00_AXI_arburst(1 downto 0) <= s00_couplers_to_axi_interconnect_0_ARBURST(1 downto 0); M00_AXI_arcache(3 downto 0) <= s00_couplers_to_axi_interconnect_0_ARCACHE(3 downto 0); M00_AXI_arid(3 downto 0) <= s00_couplers_to_axi_interconnect_0_ARID(3 downto 0); M00_AXI_arlen(7 downto 0) <= s00_couplers_to_axi_interconnect_0_ARLEN(7 downto 0); M00_AXI_arlock(0) <= s00_couplers_to_axi_interconnect_0_ARLOCK(0); M00_AXI_arprot(2 downto 0) <= s00_couplers_to_axi_interconnect_0_ARPROT(2 downto 0); M00_AXI_arqos(3 downto 0) <= s00_couplers_to_axi_interconnect_0_ARQOS(3 downto 0); M00_AXI_arsize(2 downto 0) <= s00_couplers_to_axi_interconnect_0_ARSIZE(2 downto 0); M00_AXI_arvalid <= s00_couplers_to_axi_interconnect_0_ARVALID; M00_AXI_awaddr(31 downto 0) <= s00_couplers_to_axi_interconnect_0_AWADDR(31 downto 0); M00_AXI_awburst(1 downto 0) <= s00_couplers_to_axi_interconnect_0_AWBURST(1 downto 0); M00_AXI_awcache(3 downto 0) <= s00_couplers_to_axi_interconnect_0_AWCACHE(3 downto 0); M00_AXI_awid(3 downto 0) <= s00_couplers_to_axi_interconnect_0_AWID(3 downto 0); M00_AXI_awlen(7 downto 0) <= s00_couplers_to_axi_interconnect_0_AWLEN(7 downto 0); M00_AXI_awlock(0) <= s00_couplers_to_axi_interconnect_0_AWLOCK(0); M00_AXI_awprot(2 downto 0) <= s00_couplers_to_axi_interconnect_0_AWPROT(2 downto 0); M00_AXI_awqos(3 downto 0) <= s00_couplers_to_axi_interconnect_0_AWQOS(3 downto 0); M00_AXI_awsize(2 downto 0) <= s00_couplers_to_axi_interconnect_0_AWSIZE(2 downto 0); M00_AXI_awvalid <= s00_couplers_to_axi_interconnect_0_AWVALID; M00_AXI_bready <= s00_couplers_to_axi_interconnect_0_BREADY; M00_AXI_rready <= s00_couplers_to_axi_interconnect_0_RREADY; M00_AXI_wdata(31 downto 0) <= s00_couplers_to_axi_interconnect_0_WDATA(31 downto 0); M00_AXI_wlast <= s00_couplers_to_axi_interconnect_0_WLAST; M00_AXI_wstrb(3 downto 0) <= s00_couplers_to_axi_interconnect_0_WSTRB(3 downto 0); M00_AXI_wvalid <= s00_couplers_to_axi_interconnect_0_WVALID; S00_ACLK_1 <= S00_ACLK; S00_ARESETN_1 <= S00_ARESETN; S00_AXI_arready <= axi_interconnect_0_to_s00_couplers_ARREADY; S00_AXI_awready <= axi_interconnect_0_to_s00_couplers_AWREADY; S00_AXI_bid(3 downto 0) <= axi_interconnect_0_to_s00_couplers_BID(3 downto 0); S00_AXI_bresp(1 downto 0) <= axi_interconnect_0_to_s00_couplers_BRESP(1 downto 0); S00_AXI_bvalid <= axi_interconnect_0_to_s00_couplers_BVALID; S00_AXI_rdata(31 downto 0) <= axi_interconnect_0_to_s00_couplers_RDATA(31 downto 0); S00_AXI_rid(3 downto 0) <= axi_interconnect_0_to_s00_couplers_RID(3 downto 0); S00_AXI_rlast <= axi_interconnect_0_to_s00_couplers_RLAST; S00_AXI_rresp(1 downto 0) <= axi_interconnect_0_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= axi_interconnect_0_to_s00_couplers_RVALID; S00_AXI_wready <= axi_interconnect_0_to_s00_couplers_WREADY; axi_interconnect_0_ACLK_net <= M00_ACLK; axi_interconnect_0_ARESETN_net <= M00_ARESETN; axi_interconnect_0_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); axi_interconnect_0_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0); axi_interconnect_0_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0); axi_interconnect_0_to_s00_couplers_ARID(3 downto 0) <= S00_AXI_arid(3 downto 0); axi_interconnect_0_to_s00_couplers_ARLEN(7 downto 0) <= S00_AXI_arlen(7 downto 0); axi_interconnect_0_to_s00_couplers_ARLOCK(0) <= S00_AXI_arlock(0); axi_interconnect_0_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0); axi_interconnect_0_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0); axi_interconnect_0_to_s00_couplers_ARREGION(3 downto 0) <= S00_AXI_arregion(3 downto 0); axi_interconnect_0_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0); axi_interconnect_0_to_s00_couplers_ARVALID <= S00_AXI_arvalid; axi_interconnect_0_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0); axi_interconnect_0_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0); axi_interconnect_0_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0); axi_interconnect_0_to_s00_couplers_AWID(3 downto 0) <= S00_AXI_awid(3 downto 0); axi_interconnect_0_to_s00_couplers_AWLEN(7 downto 0) <= S00_AXI_awlen(7 downto 0); axi_interconnect_0_to_s00_couplers_AWLOCK(0) <= S00_AXI_awlock(0); axi_interconnect_0_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0); axi_interconnect_0_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0); axi_interconnect_0_to_s00_couplers_AWREGION(3 downto 0) <= S00_AXI_awregion(3 downto 0); axi_interconnect_0_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0); axi_interconnect_0_to_s00_couplers_AWVALID <= S00_AXI_awvalid; axi_interconnect_0_to_s00_couplers_BREADY <= S00_AXI_bready; axi_interconnect_0_to_s00_couplers_RREADY <= S00_AXI_rready; axi_interconnect_0_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0); axi_interconnect_0_to_s00_couplers_WLAST <= S00_AXI_wlast; axi_interconnect_0_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); axi_interconnect_0_to_s00_couplers_WVALID <= S00_AXI_wvalid; s00_couplers_to_axi_interconnect_0_ARREADY <= M00_AXI_arready; s00_couplers_to_axi_interconnect_0_AWREADY <= M00_AXI_awready; s00_couplers_to_axi_interconnect_0_BID(3 downto 0) <= M00_AXI_bid(3 downto 0); s00_couplers_to_axi_interconnect_0_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); s00_couplers_to_axi_interconnect_0_BVALID <= M00_AXI_bvalid; s00_couplers_to_axi_interconnect_0_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); s00_couplers_to_axi_interconnect_0_RID(3 downto 0) <= M00_AXI_rid(3 downto 0); s00_couplers_to_axi_interconnect_0_RLAST <= M00_AXI_rlast; s00_couplers_to_axi_interconnect_0_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); s00_couplers_to_axi_interconnect_0_RVALID <= M00_AXI_rvalid; s00_couplers_to_axi_interconnect_0_WREADY <= M00_AXI_wready; s00_couplers: entity work.s00_couplers_imp_GVFDLK port map ( M_ACLK => axi_interconnect_0_ACLK_net, M_ARESETN => axi_interconnect_0_ARESETN_net, M_AXI_araddr(31 downto 0) => s00_couplers_to_axi_interconnect_0_ARADDR(31 downto 0), M_AXI_arburst(1 downto 0) => s00_couplers_to_axi_interconnect_0_ARBURST(1 downto 0), M_AXI_arcache(3 downto 0) => s00_couplers_to_axi_interconnect_0_ARCACHE(3 downto 0), M_AXI_arid(3 downto 0) => s00_couplers_to_axi_interconnect_0_ARID(3 downto 0), M_AXI_arlen(7 downto 0) => s00_couplers_to_axi_interconnect_0_ARLEN(7 downto 0), M_AXI_arlock(0) => s00_couplers_to_axi_interconnect_0_ARLOCK(0), M_AXI_arprot(2 downto 0) => s00_couplers_to_axi_interconnect_0_ARPROT(2 downto 0), M_AXI_arqos(3 downto 0) => s00_couplers_to_axi_interconnect_0_ARQOS(3 downto 0), M_AXI_arready => s00_couplers_to_axi_interconnect_0_ARREADY, M_AXI_arsize(2 downto 0) => s00_couplers_to_axi_interconnect_0_ARSIZE(2 downto 0), M_AXI_arvalid => s00_couplers_to_axi_interconnect_0_ARVALID, M_AXI_awaddr(31 downto 0) => s00_couplers_to_axi_interconnect_0_AWADDR(31 downto 0), M_AXI_awburst(1 downto 0) => s00_couplers_to_axi_interconnect_0_AWBURST(1 downto 0), M_AXI_awcache(3 downto 0) => s00_couplers_to_axi_interconnect_0_AWCACHE(3 downto 0), M_AXI_awid(3 downto 0) => s00_couplers_to_axi_interconnect_0_AWID(3 downto 0), M_AXI_awlen(7 downto 0) => s00_couplers_to_axi_interconnect_0_AWLEN(7 downto 0), M_AXI_awlock(0) => s00_couplers_to_axi_interconnect_0_AWLOCK(0), M_AXI_awprot(2 downto 0) => s00_couplers_to_axi_interconnect_0_AWPROT(2 downto 0), M_AXI_awqos(3 downto 0) => s00_couplers_to_axi_interconnect_0_AWQOS(3 downto 0), M_AXI_awready => s00_couplers_to_axi_interconnect_0_AWREADY, M_AXI_awsize(2 downto 0) => s00_couplers_to_axi_interconnect_0_AWSIZE(2 downto 0), M_AXI_awvalid => s00_couplers_to_axi_interconnect_0_AWVALID, M_AXI_bid(3 downto 0) => s00_couplers_to_axi_interconnect_0_BID(3 downto 0), M_AXI_bready => s00_couplers_to_axi_interconnect_0_BREADY, M_AXI_bresp(1 downto 0) => s00_couplers_to_axi_interconnect_0_BRESP(1 downto 0), M_AXI_bvalid => s00_couplers_to_axi_interconnect_0_BVALID, M_AXI_rdata(31 downto 0) => s00_couplers_to_axi_interconnect_0_RDATA(31 downto 0), M_AXI_rid(3 downto 0) => s00_couplers_to_axi_interconnect_0_RID(3 downto 0), M_AXI_rlast => s00_couplers_to_axi_interconnect_0_RLAST, M_AXI_rready => s00_couplers_to_axi_interconnect_0_RREADY, M_AXI_rresp(1 downto 0) => s00_couplers_to_axi_interconnect_0_RRESP(1 downto 0), M_AXI_rvalid => s00_couplers_to_axi_interconnect_0_RVALID, M_AXI_wdata(31 downto 0) => s00_couplers_to_axi_interconnect_0_WDATA(31 downto 0), M_AXI_wlast => s00_couplers_to_axi_interconnect_0_WLAST, M_AXI_wready => s00_couplers_to_axi_interconnect_0_WREADY, M_AXI_wstrb(3 downto 0) => s00_couplers_to_axi_interconnect_0_WSTRB(3 downto 0), M_AXI_wvalid => s00_couplers_to_axi_interconnect_0_WVALID, S_ACLK => S00_ACLK_1, S_ARESETN => S00_ARESETN_1, S_AXI_araddr(31 downto 0) => axi_interconnect_0_to_s00_couplers_ARADDR(31 downto 0), S_AXI_arburst(1 downto 0) => axi_interconnect_0_to_s00_couplers_ARBURST(1 downto 0), S_AXI_arcache(3 downto 0) => axi_interconnect_0_to_s00_couplers_ARCACHE(3 downto 0), S_AXI_arid(3 downto 0) => axi_interconnect_0_to_s00_couplers_ARID(3 downto 0), S_AXI_arlen(7 downto 0) => axi_interconnect_0_to_s00_couplers_ARLEN(7 downto 0), S_AXI_arlock(0) => axi_interconnect_0_to_s00_couplers_ARLOCK(0), S_AXI_arprot(2 downto 0) => axi_interconnect_0_to_s00_couplers_ARPROT(2 downto 0), S_AXI_arqos(3 downto 0) => axi_interconnect_0_to_s00_couplers_ARQOS(3 downto 0), S_AXI_arready => axi_interconnect_0_to_s00_couplers_ARREADY, S_AXI_arregion(3 downto 0) => axi_interconnect_0_to_s00_couplers_ARREGION(3 downto 0), S_AXI_arsize(2 downto 0) => axi_interconnect_0_to_s00_couplers_ARSIZE(2 downto 0), S_AXI_arvalid => axi_interconnect_0_to_s00_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => axi_interconnect_0_to_s00_couplers_AWADDR(31 downto 0), S_AXI_awburst(1 downto 0) => axi_interconnect_0_to_s00_couplers_AWBURST(1 downto 0), S_AXI_awcache(3 downto 0) => axi_interconnect_0_to_s00_couplers_AWCACHE(3 downto 0), S_AXI_awid(3 downto 0) => axi_interconnect_0_to_s00_couplers_AWID(3 downto 0), S_AXI_awlen(7 downto 0) => axi_interconnect_0_to_s00_couplers_AWLEN(7 downto 0), S_AXI_awlock(0) => axi_interconnect_0_to_s00_couplers_AWLOCK(0), S_AXI_awprot(2 downto 0) => axi_interconnect_0_to_s00_couplers_AWPROT(2 downto 0), S_AXI_awqos(3 downto 0) => axi_interconnect_0_to_s00_couplers_AWQOS(3 downto 0), S_AXI_awready => axi_interconnect_0_to_s00_couplers_AWREADY, S_AXI_awregion(3 downto 0) => axi_interconnect_0_to_s00_couplers_AWREGION(3 downto 0), S_AXI_awsize(2 downto 0) => axi_interconnect_0_to_s00_couplers_AWSIZE(2 downto 0), S_AXI_awvalid => axi_interconnect_0_to_s00_couplers_AWVALID, S_AXI_bid(3 downto 0) => axi_interconnect_0_to_s00_couplers_BID(3 downto 0), S_AXI_bready => axi_interconnect_0_to_s00_couplers_BREADY, S_AXI_bresp(1 downto 0) => axi_interconnect_0_to_s00_couplers_BRESP(1 downto 0), S_AXI_bvalid => axi_interconnect_0_to_s00_couplers_BVALID, S_AXI_rdata(31 downto 0) => axi_interconnect_0_to_s00_couplers_RDATA(31 downto 0), S_AXI_rid(3 downto 0) => axi_interconnect_0_to_s00_couplers_RID(3 downto 0), S_AXI_rlast => axi_interconnect_0_to_s00_couplers_RLAST, S_AXI_rready => axi_interconnect_0_to_s00_couplers_RREADY, S_AXI_rresp(1 downto 0) => axi_interconnect_0_to_s00_couplers_RRESP(1 downto 0), S_AXI_rvalid => axi_interconnect_0_to_s00_couplers_RVALID, S_AXI_wdata(31 downto 0) => axi_interconnect_0_to_s00_couplers_WDATA(31 downto 0), S_AXI_wlast => axi_interconnect_0_to_s00_couplers_WLAST, S_AXI_wready => axi_interconnect_0_to_s00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => axi_interconnect_0_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => axi_interconnect_0_to_s00_couplers_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap is port ( ACLK : in STD_LOGIC; ARESETN : in STD_LOGIC; DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR2_cas_n : out STD_LOGIC; DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ras_n : out STD_LOGIC; DDR2_we_n : out STD_LOGIC; S00_ARESETN : in STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC; clk_ref_i : in STD_LOGIC; sys_rst : in STD_LOGIC ); attribute core_generation_info : string; attribute core_generation_info of mig_wrap : entity is "mig_wrap,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=mig_wrap,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=5,numReposBlks=3,numNonXlnxBlks=0,numHierBlks=2,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}"; attribute hw_handoff : string; attribute hw_handoff of mig_wrap : entity is "mig_wrap.hwdef"; end mig_wrap; architecture STRUCTURE of mig_wrap is component mig_wrap_mig_7series_0_0 is port ( sys_rst : in STD_LOGIC; clk_ref_i : in STD_LOGIC; ddr2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); ddr2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); ddr2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); ddr2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); ddr2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); ddr2_ras_n : out STD_LOGIC; ddr2_cas_n : out STD_LOGIC; ddr2_we_n : out STD_LOGIC; ddr2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); ddr2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); ddr2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); ui_clk_sync_rst : out STD_LOGIC; ui_clk : out STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC; s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC; s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; mmcm_locked : out STD_LOGIC; sys_clk_i : in STD_LOGIC; init_calib_complete : out STD_LOGIC; aresetn : in STD_LOGIC ); end component mig_wrap_mig_7series_0_0; component mig_wrap_proc_sys_reset_0_0 is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end component mig_wrap_proc_sys_reset_0_0; signal ACLK_1 : STD_LOGIC; signal ARESETN_1 : STD_LOGIC; signal S00_ARESETN_1 : STD_LOGIC; signal S00_AXI_1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal S00_AXI_1_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal S00_AXI_1_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_ARID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal S00_AXI_1_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal S00_AXI_1_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal S00_AXI_1_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_ARREADY : STD_LOGIC; signal S00_AXI_1_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal S00_AXI_1_ARVALID : STD_LOGIC; signal S00_AXI_1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal S00_AXI_1_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal S00_AXI_1_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_AWID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal S00_AXI_1_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal S00_AXI_1_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal S00_AXI_1_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_AWREADY : STD_LOGIC; signal S00_AXI_1_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal S00_AXI_1_AWVALID : STD_LOGIC; signal S00_AXI_1_BID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_BREADY : STD_LOGIC; signal S00_AXI_1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal S00_AXI_1_BVALID : STD_LOGIC; signal S00_AXI_1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal S00_AXI_1_RID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_RLAST : STD_LOGIC; signal S00_AXI_1_RREADY : STD_LOGIC; signal S00_AXI_1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal S00_AXI_1_RVALID : STD_LOGIC; signal S00_AXI_1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal S00_AXI_1_WLAST : STD_LOGIC; signal S00_AXI_1_WREADY : STD_LOGIC; signal S00_AXI_1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal S00_AXI_1_WVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_ARID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_interconnect_0_M00_AXI_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_interconnect_0_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_AWID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_interconnect_0_M00_AXI_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_interconnect_0_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_BID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_RID : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_RLAST : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_WLAST : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_WVALID : STD_LOGIC; signal clk_ref_i_1 : STD_LOGIC; signal mig_7series_0_DDR2_ADDR : STD_LOGIC_VECTOR ( 12 downto 0 ); signal mig_7series_0_DDR2_BA : STD_LOGIC_VECTOR ( 2 downto 0 ); signal mig_7series_0_DDR2_CAS_N : STD_LOGIC; signal mig_7series_0_DDR2_CKE : STD_LOGIC_VECTOR ( 0 to 0 ); signal mig_7series_0_DDR2_CK_N : STD_LOGIC_VECTOR ( 0 to 0 ); signal mig_7series_0_DDR2_CK_P : STD_LOGIC_VECTOR ( 0 to 0 ); signal mig_7series_0_DDR2_CS_N : STD_LOGIC_VECTOR ( 0 to 0 ); signal mig_7series_0_DDR2_DM : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mig_7series_0_DDR2_DQ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal mig_7series_0_DDR2_DQS_N : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mig_7series_0_DDR2_DQS_P : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mig_7series_0_DDR2_ODT : STD_LOGIC_VECTOR ( 0 to 0 ); signal mig_7series_0_DDR2_RAS_N : STD_LOGIC; signal mig_7series_0_DDR2_WE_N : STD_LOGIC; signal mig_7series_0_mmcm_locked : STD_LOGIC; signal mig_7series_0_ui_clk : STD_LOGIC; signal mig_7series_0_ui_clk_sync_rst : STD_LOGIC; signal proc_sys_reset_0_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 ); signal sys_rst_1 : STD_LOGIC; signal NLW_mig_7series_0_init_calib_complete_UNCONNECTED : STD_LOGIC; signal NLW_proc_sys_reset_0_mb_reset_UNCONNECTED : STD_LOGIC; signal NLW_proc_sys_reset_0_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_proc_sys_reset_0_interconnect_aresetn_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_proc_sys_reset_0_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); begin ACLK_1 <= ACLK; ARESETN_1 <= ARESETN; DDR2_addr(12 downto 0) <= mig_7series_0_DDR2_ADDR(12 downto 0); DDR2_ba(2 downto 0) <= mig_7series_0_DDR2_BA(2 downto 0); DDR2_cas_n <= mig_7series_0_DDR2_CAS_N; DDR2_ck_n(0) <= mig_7series_0_DDR2_CK_N(0); DDR2_ck_p(0) <= mig_7series_0_DDR2_CK_P(0); DDR2_cke(0) <= mig_7series_0_DDR2_CKE(0); DDR2_cs_n(0) <= mig_7series_0_DDR2_CS_N(0); DDR2_dm(1 downto 0) <= mig_7series_0_DDR2_DM(1 downto 0); DDR2_odt(0) <= mig_7series_0_DDR2_ODT(0); DDR2_ras_n <= mig_7series_0_DDR2_RAS_N; DDR2_we_n <= mig_7series_0_DDR2_WE_N; S00_ARESETN_1 <= S00_ARESETN; S00_AXI_1_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); S00_AXI_1_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0); S00_AXI_1_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0); S00_AXI_1_ARID(3 downto 0) <= S00_AXI_arid(3 downto 0); S00_AXI_1_ARLEN(7 downto 0) <= S00_AXI_arlen(7 downto 0); S00_AXI_1_ARLOCK(0) <= S00_AXI_arlock(0); S00_AXI_1_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0); S00_AXI_1_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0); S00_AXI_1_ARREGION(3 downto 0) <= S00_AXI_arregion(3 downto 0); S00_AXI_1_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0); S00_AXI_1_ARVALID <= S00_AXI_arvalid; S00_AXI_1_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0); S00_AXI_1_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0); S00_AXI_1_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0); S00_AXI_1_AWID(3 downto 0) <= S00_AXI_awid(3 downto 0); S00_AXI_1_AWLEN(7 downto 0) <= S00_AXI_awlen(7 downto 0); S00_AXI_1_AWLOCK(0) <= S00_AXI_awlock(0); S00_AXI_1_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0); S00_AXI_1_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0); S00_AXI_1_AWREGION(3 downto 0) <= S00_AXI_awregion(3 downto 0); S00_AXI_1_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0); S00_AXI_1_AWVALID <= S00_AXI_awvalid; S00_AXI_1_BREADY <= S00_AXI_bready; S00_AXI_1_RREADY <= S00_AXI_rready; S00_AXI_1_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0); S00_AXI_1_WLAST <= S00_AXI_wlast; S00_AXI_1_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); S00_AXI_1_WVALID <= S00_AXI_wvalid; S00_AXI_arready <= S00_AXI_1_ARREADY; S00_AXI_awready <= S00_AXI_1_AWREADY; S00_AXI_bid(3 downto 0) <= S00_AXI_1_BID(3 downto 0); S00_AXI_bresp(1 downto 0) <= S00_AXI_1_BRESP(1 downto 0); S00_AXI_bvalid <= S00_AXI_1_BVALID; S00_AXI_rdata(31 downto 0) <= S00_AXI_1_RDATA(31 downto 0); S00_AXI_rid(3 downto 0) <= S00_AXI_1_RID(3 downto 0); S00_AXI_rlast <= S00_AXI_1_RLAST; S00_AXI_rresp(1 downto 0) <= S00_AXI_1_RRESP(1 downto 0); S00_AXI_rvalid <= S00_AXI_1_RVALID; S00_AXI_wready <= S00_AXI_1_WREADY; clk_ref_i_1 <= clk_ref_i; sys_rst_1 <= sys_rst; axi_interconnect_0: entity work.mig_wrap_axi_interconnect_0_0 port map ( ACLK => ACLK_1, ARESETN => ARESETN_1, M00_ACLK => mig_7series_0_ui_clk, M00_ARESETN => proc_sys_reset_0_peripheral_aresetn(0), M00_AXI_araddr(31 downto 0) => axi_interconnect_0_M00_AXI_ARADDR(31 downto 0), M00_AXI_arburst(1 downto 0) => axi_interconnect_0_M00_AXI_ARBURST(1 downto 0), M00_AXI_arcache(3 downto 0) => axi_interconnect_0_M00_AXI_ARCACHE(3 downto 0), M00_AXI_arid(3 downto 0) => axi_interconnect_0_M00_AXI_ARID(3 downto 0), M00_AXI_arlen(7 downto 0) => axi_interconnect_0_M00_AXI_ARLEN(7 downto 0), M00_AXI_arlock(0) => axi_interconnect_0_M00_AXI_ARLOCK(0), M00_AXI_arprot(2 downto 0) => axi_interconnect_0_M00_AXI_ARPROT(2 downto 0), M00_AXI_arqos(3 downto 0) => axi_interconnect_0_M00_AXI_ARQOS(3 downto 0), M00_AXI_arready => axi_interconnect_0_M00_AXI_ARREADY, M00_AXI_arsize(2 downto 0) => axi_interconnect_0_M00_AXI_ARSIZE(2 downto 0), M00_AXI_arvalid => axi_interconnect_0_M00_AXI_ARVALID, M00_AXI_awaddr(31 downto 0) => axi_interconnect_0_M00_AXI_AWADDR(31 downto 0), M00_AXI_awburst(1 downto 0) => axi_interconnect_0_M00_AXI_AWBURST(1 downto 0), M00_AXI_awcache(3 downto 0) => axi_interconnect_0_M00_AXI_AWCACHE(3 downto 0), M00_AXI_awid(3 downto 0) => axi_interconnect_0_M00_AXI_AWID(3 downto 0), M00_AXI_awlen(7 downto 0) => axi_interconnect_0_M00_AXI_AWLEN(7 downto 0), M00_AXI_awlock(0) => axi_interconnect_0_M00_AXI_AWLOCK(0), M00_AXI_awprot(2 downto 0) => axi_interconnect_0_M00_AXI_AWPROT(2 downto 0), M00_AXI_awqos(3 downto 0) => axi_interconnect_0_M00_AXI_AWQOS(3 downto 0), M00_AXI_awready => axi_interconnect_0_M00_AXI_AWREADY, M00_AXI_awsize(2 downto 0) => axi_interconnect_0_M00_AXI_AWSIZE(2 downto 0), M00_AXI_awvalid => axi_interconnect_0_M00_AXI_AWVALID, M00_AXI_bid(3 downto 0) => axi_interconnect_0_M00_AXI_BID(3 downto 0), M00_AXI_bready => axi_interconnect_0_M00_AXI_BREADY, M00_AXI_bresp(1 downto 0) => axi_interconnect_0_M00_AXI_BRESP(1 downto 0), M00_AXI_bvalid => axi_interconnect_0_M00_AXI_BVALID, M00_AXI_rdata(31 downto 0) => axi_interconnect_0_M00_AXI_RDATA(31 downto 0), M00_AXI_rid(3 downto 0) => axi_interconnect_0_M00_AXI_RID(3 downto 0), M00_AXI_rlast => axi_interconnect_0_M00_AXI_RLAST, M00_AXI_rready => axi_interconnect_0_M00_AXI_RREADY, M00_AXI_rresp(1 downto 0) => axi_interconnect_0_M00_AXI_RRESP(1 downto 0), M00_AXI_rvalid => axi_interconnect_0_M00_AXI_RVALID, M00_AXI_wdata(31 downto 0) => axi_interconnect_0_M00_AXI_WDATA(31 downto 0), M00_AXI_wlast => axi_interconnect_0_M00_AXI_WLAST, M00_AXI_wready => axi_interconnect_0_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => axi_interconnect_0_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid => axi_interconnect_0_M00_AXI_WVALID, S00_ACLK => ACLK_1, S00_ARESETN => S00_ARESETN_1, S00_AXI_araddr(31 downto 0) => S00_AXI_1_ARADDR(31 downto 0), S00_AXI_arburst(1 downto 0) => S00_AXI_1_ARBURST(1 downto 0), S00_AXI_arcache(3 downto 0) => S00_AXI_1_ARCACHE(3 downto 0), S00_AXI_arid(3 downto 0) => S00_AXI_1_ARID(3 downto 0), S00_AXI_arlen(7 downto 0) => S00_AXI_1_ARLEN(7 downto 0), S00_AXI_arlock(0) => S00_AXI_1_ARLOCK(0), S00_AXI_arprot(2 downto 0) => S00_AXI_1_ARPROT(2 downto 0), S00_AXI_arqos(3 downto 0) => S00_AXI_1_ARQOS(3 downto 0), S00_AXI_arready => S00_AXI_1_ARREADY, S00_AXI_arregion(3 downto 0) => S00_AXI_1_ARREGION(3 downto 0), S00_AXI_arsize(2 downto 0) => S00_AXI_1_ARSIZE(2 downto 0), S00_AXI_arvalid => S00_AXI_1_ARVALID, S00_AXI_awaddr(31 downto 0) => S00_AXI_1_AWADDR(31 downto 0), S00_AXI_awburst(1 downto 0) => S00_AXI_1_AWBURST(1 downto 0), S00_AXI_awcache(3 downto 0) => S00_AXI_1_AWCACHE(3 downto 0), S00_AXI_awid(3 downto 0) => S00_AXI_1_AWID(3 downto 0), S00_AXI_awlen(7 downto 0) => S00_AXI_1_AWLEN(7 downto 0), S00_AXI_awlock(0) => S00_AXI_1_AWLOCK(0), S00_AXI_awprot(2 downto 0) => S00_AXI_1_AWPROT(2 downto 0), S00_AXI_awqos(3 downto 0) => S00_AXI_1_AWQOS(3 downto 0), S00_AXI_awready => S00_AXI_1_AWREADY, S00_AXI_awregion(3 downto 0) => S00_AXI_1_AWREGION(3 downto 0), S00_AXI_awsize(2 downto 0) => S00_AXI_1_AWSIZE(2 downto 0), S00_AXI_awvalid => S00_AXI_1_AWVALID, S00_AXI_bid(3 downto 0) => S00_AXI_1_BID(3 downto 0), S00_AXI_bready => S00_AXI_1_BREADY, S00_AXI_bresp(1 downto 0) => S00_AXI_1_BRESP(1 downto 0), S00_AXI_bvalid => S00_AXI_1_BVALID, S00_AXI_rdata(31 downto 0) => S00_AXI_1_RDATA(31 downto 0), S00_AXI_rid(3 downto 0) => S00_AXI_1_RID(3 downto 0), S00_AXI_rlast => S00_AXI_1_RLAST, S00_AXI_rready => S00_AXI_1_RREADY, S00_AXI_rresp(1 downto 0) => S00_AXI_1_RRESP(1 downto 0), S00_AXI_rvalid => S00_AXI_1_RVALID, S00_AXI_wdata(31 downto 0) => S00_AXI_1_WDATA(31 downto 0), S00_AXI_wlast => S00_AXI_1_WLAST, S00_AXI_wready => S00_AXI_1_WREADY, S00_AXI_wstrb(3 downto 0) => S00_AXI_1_WSTRB(3 downto 0), S00_AXI_wvalid => S00_AXI_1_WVALID ); mig_7series_0: component mig_wrap_mig_7series_0_0 port map ( aresetn => proc_sys_reset_0_peripheral_aresetn(0), clk_ref_i => clk_ref_i_1, ddr2_addr(12 downto 0) => mig_7series_0_DDR2_ADDR(12 downto 0), ddr2_ba(2 downto 0) => mig_7series_0_DDR2_BA(2 downto 0), ddr2_cas_n => mig_7series_0_DDR2_CAS_N, ddr2_ck_n(0) => mig_7series_0_DDR2_CK_N(0), ddr2_ck_p(0) => mig_7series_0_DDR2_CK_P(0), ddr2_cke(0) => mig_7series_0_DDR2_CKE(0), ddr2_cs_n(0) => mig_7series_0_DDR2_CS_N(0), ddr2_dm(1 downto 0) => mig_7series_0_DDR2_DM(1 downto 0), ddr2_dq(15 downto 0) => DDR2_dq(15 downto 0), ddr2_dqs_n(1 downto 0) => DDR2_dqs_n(1 downto 0), ddr2_dqs_p(1 downto 0) => DDR2_dqs_p(1 downto 0), ddr2_odt(0) => mig_7series_0_DDR2_ODT(0), ddr2_ras_n => mig_7series_0_DDR2_RAS_N, ddr2_we_n => mig_7series_0_DDR2_WE_N, init_calib_complete => NLW_mig_7series_0_init_calib_complete_UNCONNECTED, mmcm_locked => mig_7series_0_mmcm_locked, s_axi_araddr(31 downto 0) => axi_interconnect_0_M00_AXI_ARADDR(31 downto 0), s_axi_arburst(1 downto 0) => axi_interconnect_0_M00_AXI_ARBURST(1 downto 0), s_axi_arcache(3 downto 0) => axi_interconnect_0_M00_AXI_ARCACHE(3 downto 0), s_axi_arid(3 downto 0) => axi_interconnect_0_M00_AXI_ARID(3 downto 0), s_axi_arlen(7 downto 0) => axi_interconnect_0_M00_AXI_ARLEN(7 downto 0), s_axi_arlock => axi_interconnect_0_M00_AXI_ARLOCK(0), s_axi_arprot(2 downto 0) => axi_interconnect_0_M00_AXI_ARPROT(2 downto 0), s_axi_arqos(3 downto 0) => axi_interconnect_0_M00_AXI_ARQOS(3 downto 0), s_axi_arready => axi_interconnect_0_M00_AXI_ARREADY, s_axi_arsize(2 downto 0) => axi_interconnect_0_M00_AXI_ARSIZE(2 downto 0), s_axi_arvalid => axi_interconnect_0_M00_AXI_ARVALID, s_axi_awaddr(31 downto 0) => axi_interconnect_0_M00_AXI_AWADDR(31 downto 0), s_axi_awburst(1 downto 0) => axi_interconnect_0_M00_AXI_AWBURST(1 downto 0), s_axi_awcache(3 downto 0) => axi_interconnect_0_M00_AXI_AWCACHE(3 downto 0), s_axi_awid(3 downto 0) => axi_interconnect_0_M00_AXI_AWID(3 downto 0), s_axi_awlen(7 downto 0) => axi_interconnect_0_M00_AXI_AWLEN(7 downto 0), s_axi_awlock => axi_interconnect_0_M00_AXI_AWLOCK(0), s_axi_awprot(2 downto 0) => axi_interconnect_0_M00_AXI_AWPROT(2 downto 0), s_axi_awqos(3 downto 0) => axi_interconnect_0_M00_AXI_AWQOS(3 downto 0), s_axi_awready => axi_interconnect_0_M00_AXI_AWREADY, s_axi_awsize(2 downto 0) => axi_interconnect_0_M00_AXI_AWSIZE(2 downto 0), s_axi_awvalid => axi_interconnect_0_M00_AXI_AWVALID, s_axi_bid(3 downto 0) => axi_interconnect_0_M00_AXI_BID(3 downto 0), s_axi_bready => axi_interconnect_0_M00_AXI_BREADY, s_axi_bresp(1 downto 0) => axi_interconnect_0_M00_AXI_BRESP(1 downto 0), s_axi_bvalid => axi_interconnect_0_M00_AXI_BVALID, s_axi_rdata(31 downto 0) => axi_interconnect_0_M00_AXI_RDATA(31 downto 0), s_axi_rid(3 downto 0) => axi_interconnect_0_M00_AXI_RID(3 downto 0), s_axi_rlast => axi_interconnect_0_M00_AXI_RLAST, s_axi_rready => axi_interconnect_0_M00_AXI_RREADY, s_axi_rresp(1 downto 0) => axi_interconnect_0_M00_AXI_RRESP(1 downto 0), s_axi_rvalid => axi_interconnect_0_M00_AXI_RVALID, s_axi_wdata(31 downto 0) => axi_interconnect_0_M00_AXI_WDATA(31 downto 0), s_axi_wlast => axi_interconnect_0_M00_AXI_WLAST, s_axi_wready => axi_interconnect_0_M00_AXI_WREADY, s_axi_wstrb(3 downto 0) => axi_interconnect_0_M00_AXI_WSTRB(3 downto 0), s_axi_wvalid => axi_interconnect_0_M00_AXI_WVALID, sys_clk_i => clk_ref_i_1, sys_rst => sys_rst_1, ui_clk => mig_7series_0_ui_clk, ui_clk_sync_rst => mig_7series_0_ui_clk_sync_rst ); proc_sys_reset_0: component mig_wrap_proc_sys_reset_0_0 port map ( aux_reset_in => '1', bus_struct_reset(0) => NLW_proc_sys_reset_0_bus_struct_reset_UNCONNECTED(0), dcm_locked => mig_7series_0_mmcm_locked, ext_reset_in => mig_7series_0_ui_clk_sync_rst, interconnect_aresetn(0) => NLW_proc_sys_reset_0_interconnect_aresetn_UNCONNECTED(0), mb_debug_sys_rst => '0', mb_reset => NLW_proc_sys_reset_0_mb_reset_UNCONNECTED, peripheral_aresetn(0) => proc_sys_reset_0_peripheral_aresetn(0), peripheral_reset(0) => NLW_proc_sys_reset_0_peripheral_reset_UNCONNECTED(0), slowest_sync_clk => mig_7series_0_ui_clk ); end STRUCTURE;
--***************************************************************************** -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: 3.92 -- \ \ Application: MIG -- / / Filename: iodelay_ctrl.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:18:11 $ -- \ \ / \ Date Created: Wed Aug 16 2006 -- \___\/\___\ -- --Device: Virtex-6 --Design Name: DDR3 SDRAM --Purpose: -- This module instantiates the IDELAYCTRL primitive, which continously -- calibrates the IODELAY elements in the region to account for varying -- environmental conditions. A 200MHz or 300MHz reference clock (depending -- on the desired IODELAY tap resolution) must be supplied --Reference: --Revision History: --***************************************************************************** --****************************************************************************** --**$Id: iodelay_ctrl.vhd,v 1.1 2011/06/02 07:18:11 mishra Exp $ --**$Date: 2011/06/02 07:18:11 $ --**$Author: mishra $ --**$Revision: 1.1 $ --**$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_v3_9/data/dlib/virtex6/ddr3_sdram/vhdl/rtl/ip_top/iodelay_ctrl.vhd,v $ --****************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity iodelay_ctrl is generic ( TCQ : integer := 100; -- clk->out delay (sim only) IODELAY_GRP : string := "IODELAY_MIG"; -- May be assigned unique name when -- multiple IP cores used in design INPUT_CLK_TYPE : string := "DIFFERENTIAL"; -- input clock type -- "DIFFERENTIAL","SINGLE_ENDED" RST_ACT_LOW : integer := 1 -- Reset input polarity -- (0 = active high, 1 = active low) ); port ( clk_ref_p : in std_logic; clk_ref_n : in std_logic; clk_ref : in std_logic; sys_rst : in std_logic; iodelay_ctrl_rdy : out std_logic ); end entity iodelay_ctrl; architecture syn of iodelay_ctrl is -- # of clock cycles to delay deassertion of reset. Needs to be a fairly -- high number not so much for metastability protection, but to give time -- for reset (i.e. stable clock cycles) to propagate through all state -- machines and to all control signals (i.e. not all control signals have -- resets, instead they rely on base state logic being reset, and the effect -- of that reset propagating through the logic). Need this because we may not -- be getting stable clock cycles while reset asserted (i.e. since reset -- depends on DCM lock status) -- COMMENTED, RC, 01/13/09 - causes pack error in MAP w/ larger # constant RST_SYNC_NUM : integer := 15; -- constant : integer := RST_SYNC_NUM = 25; signal clk_ref_bufg : std_logic; signal clk_ref_ibufg : std_logic; signal rst_ref : std_logic; signal rst_ref_sync_r : std_logic_vector(RST_SYNC_NUM-1 downto 0); signal rst_tmp_idelay : std_logic; signal sys_rst_act_hi : std_logic; attribute syn_maxfan : integer; attribute IODELAY_GROUP : string; attribute syn_maxfan of rst_ref_sync_r : signal is 10; attribute IODELAY_GROUP of u_idelayctrl : label is IODELAY_GRP; begin --*************************************************************************** -- Possible inversion of system reset as appropriate sys_rst_act_hi <= not (sys_rst) when(RST_ACT_LOW=1) else sys_rst; --*************************************************************************** -- Input buffer for IDELAYCTRL reference clock - handle either a -- differential or single-ended input --*************************************************************************** diff_clk_ref: if (INPUT_CLK_TYPE = "DIFFERENTIAL") generate u_ibufg_clk_ref : IBUFGDS generic map ( DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE ) port map ( I => clk_ref_p, IB => clk_ref_n, O => clk_ref_ibufg ); end generate diff_clk_ref; se_clk_ref: if (INPUT_CLK_TYPE = "SINGLE_ENDED") generate -- u_ibufg_clk_ref : IBUFG -- generic map ( -- IBUF_LOW_PWR => FALSE -- ) -- port map ( -- I => clk_ref, -- O => clk_ref_ibufg -- ); clk_ref_ibufg <= clk_ref; end generate se_clk_ref; --*************************************************************************** -- Global clock buffer for IDELAY reference clock --*************************************************************************** u_bufg_clk_ref : BUFG port map ( O => clk_ref_bufg, I => clk_ref_ibufg ); --***************************************************************** -- IDELAYCTRL reset -- This assumes an external clock signal driving the IDELAYCTRL -- blocks. Otherwise, if a PLL drives IDELAYCTRL, then the PLL -- lock signal will need to be incorporated in this. --***************************************************************** -- Add PLL lock if PLL drives IDELAYCTRL in user design rst_tmp_idelay <= sys_rst_act_hi; process (clk_ref_bufg, rst_tmp_idelay) begin if (rst_tmp_idelay = '1') then rst_ref_sync_r <= (others => '1') after (TCQ)*1 ps; elsif (clk_ref_bufg'event and clk_ref_bufg = '1') then rst_ref_sync_r <= std_logic_vector(unsigned(rst_ref_sync_r) sll 1) after (TCQ)*1 ps; end if; end process; rst_ref <= rst_ref_sync_r(RST_SYNC_NUM-1); --***************************************************************** u_idelayctrl : IDELAYCTRL port map ( RDY => iodelay_ctrl_rdy, REFCLK => clk_ref_bufg, RST => rst_ref ); end architecture syn;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Fri Sep 22 22:04:21 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_xbar_0_sim_netlist.vhdl -- Design : zqynq_lab_1_design_xbar_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter is port ( S_AXI_ARREADY : out STD_LOGIC_VECTOR ( 0 to 0 ); aa_mi_arvalid : out STD_LOGIC; \gen_axi.s_axi_rid_i_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rlast_i0 : out STD_LOGIC; \m_axi_arqos[15]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); ADDRESS_HIT_0 : out STD_LOGIC; match : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[0].r_issuing_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[1].r_issuing_cnt_reg[11]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[3].r_issuing_cnt_reg[27]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[2].r_issuing_cnt_reg[19]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); p_93_in : out STD_LOGIC; p_39_in : out STD_LOGIC; p_57_in : out STD_LOGIC; p_75_in : out STD_LOGIC; \gen_master_slots[4].r_issuing_cnt_reg[32]\ : out STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_arready_4 : in STD_LOGIC; p_23_in : in STD_LOGIC; \read_cs__0\ : in STD_LOGIC; \s_axi_arqos[3]\ : in STD_LOGIC_VECTOR ( 68 downto 0 ); r_issuing_cnt : in STD_LOGIC_VECTOR ( 16 downto 0 ); \r_cmd_pop_0__1\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); \r_cmd_pop_1__1\ : in STD_LOGIC; \r_cmd_pop_3__1\ : in STD_LOGIC; \r_cmd_pop_2__1\ : in STD_LOGIC; m_valid_i : in STD_LOGIC; \r_cmd_pop_4__1\ : in STD_LOGIC; \s_axi_araddr[24]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter is signal \^address_hit_0\ : STD_LOGIC; signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal aa_mi_artarget_hot : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^aa_mi_arvalid\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[2]_i_2__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_2__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_3__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_3_n_0\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ : STD_LOGIC; signal \^m_axi_arqos[15]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal \^match\ : STD_LOGIC; signal s_ready_i2 : STD_LOGIC; signal st_aa_artarget_hot : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_rid_i[11]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[3]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[3]_i_4\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gen_master_slots[1].r_issuing_cnt[10]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gen_master_slots[1].r_issuing_cnt[11]_i_2\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gen_master_slots[1].r_issuing_cnt[11]_i_4\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[18]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[19]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[19]_i_4\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[26]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[27]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[27]_i_4\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[0]_i_1__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[4]_i_2__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \m_axi_arvalid[3]_INST_0\ : label is "soft_lutpair2"; begin ADDRESS_HIT_0 <= \^address_hit_0\; D(2 downto 0) <= \^d\(2 downto 0); Q(0) <= \^q\(0); aa_mi_arvalid <= \^aa_mi_arvalid\; \m_axi_arqos[15]\(68 downto 0) <= \^m_axi_arqos[15]\(68 downto 0); match <= \^match\; \gen_axi.s_axi_rid_i[11]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \^q\(0), I1 => \^aa_mi_arvalid\, I2 => mi_arready_4, I3 => p_23_in, O => \gen_axi.s_axi_rid_i_reg[11]\(0) ); \gen_axi.s_axi_rlast_i_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"55035500" ) port map ( I0 => \read_cs__0\, I1 => \^m_axi_arqos[15]\(45), I2 => \^m_axi_arqos[15]\(44), I3 => p_23_in, I4 => \gen_axi.s_axi_rlast_i_i_5_n_0\, O => s_axi_rlast_i0 ); \gen_axi.s_axi_rlast_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \^m_axi_arqos[15]\(46), I1 => \^m_axi_arqos[15]\(47), I2 => \^m_axi_arqos[15]\(48), I3 => \^m_axi_arqos[15]\(49), I4 => \^m_axi_arqos[15]\(51), I5 => \^m_axi_arqos[15]\(50), O => \gen_axi.s_axi_rlast_i_i_5_n_0\ ); \gen_master_slots[0].r_issuing_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(0), I1 => \r_cmd_pop_0__1\, I2 => m_axi_arready(0), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(0), I5 => r_issuing_cnt(1), O => \gen_master_slots[0].r_issuing_cnt_reg[3]\(0) ); \gen_master_slots[0].r_issuing_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\, I1 => r_issuing_cnt(1), I2 => r_issuing_cnt(2), O => \gen_master_slots[0].r_issuing_cnt_reg[3]\(1) ); \gen_master_slots[0].r_issuing_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(1), I1 => \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\, I2 => r_issuing_cnt(3), I3 => r_issuing_cnt(2), O => \gen_master_slots[0].r_issuing_cnt_reg[3]\(2) ); \gen_master_slots[0].r_issuing_cnt[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(0), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(0), O => p_93_in ); \gen_master_slots[0].r_issuing_cnt[3]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(0), I1 => \r_cmd_pop_0__1\, I2 => m_axi_arready(0), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(0), I5 => r_issuing_cnt(1), O => \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\ ); \gen_master_slots[1].r_issuing_cnt[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\, I1 => r_issuing_cnt(5), I2 => r_issuing_cnt(6), O => \gen_master_slots[1].r_issuing_cnt_reg[11]\(1) ); \gen_master_slots[1].r_issuing_cnt[11]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(5), I1 => \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\, I2 => r_issuing_cnt(7), I3 => r_issuing_cnt(6), O => \gen_master_slots[1].r_issuing_cnt_reg[11]\(2) ); \gen_master_slots[1].r_issuing_cnt[11]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(1), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(1), O => p_75_in ); \gen_master_slots[1].r_issuing_cnt[11]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(4), I1 => \r_cmd_pop_1__1\, I2 => m_axi_arready(1), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(1), I5 => r_issuing_cnt(5), O => \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\ ); \gen_master_slots[1].r_issuing_cnt[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(4), I1 => \r_cmd_pop_1__1\, I2 => m_axi_arready(1), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(1), I5 => r_issuing_cnt(5), O => \gen_master_slots[1].r_issuing_cnt_reg[11]\(0) ); \gen_master_slots[2].r_issuing_cnt[17]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(8), I1 => \r_cmd_pop_2__1\, I2 => m_axi_arready(2), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(2), I5 => r_issuing_cnt(9), O => \gen_master_slots[2].r_issuing_cnt_reg[19]\(0) ); \gen_master_slots[2].r_issuing_cnt[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\, I1 => r_issuing_cnt(9), I2 => r_issuing_cnt(10), O => \gen_master_slots[2].r_issuing_cnt_reg[19]\(1) ); \gen_master_slots[2].r_issuing_cnt[19]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(9), I1 => \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\, I2 => r_issuing_cnt(11), I3 => r_issuing_cnt(10), O => \gen_master_slots[2].r_issuing_cnt_reg[19]\(2) ); \gen_master_slots[2].r_issuing_cnt[19]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(2), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(2), O => p_57_in ); \gen_master_slots[2].r_issuing_cnt[19]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(8), I1 => \r_cmd_pop_2__1\, I2 => m_axi_arready(2), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(2), I5 => r_issuing_cnt(9), O => \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\ ); \gen_master_slots[3].r_issuing_cnt[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(12), I1 => \r_cmd_pop_3__1\, I2 => m_axi_arready(3), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(3), I5 => r_issuing_cnt(13), O => \gen_master_slots[3].r_issuing_cnt_reg[27]\(0) ); \gen_master_slots[3].r_issuing_cnt[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\, I1 => r_issuing_cnt(13), I2 => r_issuing_cnt(14), O => \gen_master_slots[3].r_issuing_cnt_reg[27]\(1) ); \gen_master_slots[3].r_issuing_cnt[27]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(13), I1 => \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\, I2 => r_issuing_cnt(15), I3 => r_issuing_cnt(14), O => \gen_master_slots[3].r_issuing_cnt_reg[27]\(2) ); \gen_master_slots[3].r_issuing_cnt[27]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(3), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(3), O => p_39_in ); \gen_master_slots[3].r_issuing_cnt[27]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(12), I1 => \r_cmd_pop_3__1\, I2 => m_axi_arready(3), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(3), I5 => r_issuing_cnt(13), O => \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\ ); \gen_master_slots[4].r_issuing_cnt[32]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"807F0080" ) port map ( I0 => \^q\(0), I1 => \^aa_mi_arvalid\, I2 => mi_arready_4, I3 => \r_cmd_pop_4__1\, I4 => r_issuing_cnt(16), O => \gen_master_slots[4].r_issuing_cnt_reg[32]\ ); \gen_no_arbiter.m_mesg_i[11]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^aa_mi_arvalid\, O => s_ready_i2 ); \gen_no_arbiter.m_mesg_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(0), Q => \^m_axi_arqos[15]\(0), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(10), Q => \^m_axi_arqos[15]\(10), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(11), Q => \^m_axi_arqos[15]\(11), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(12), Q => \^m_axi_arqos[15]\(12), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(13), Q => \^m_axi_arqos[15]\(13), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(14), Q => \^m_axi_arqos[15]\(14), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(15), Q => \^m_axi_arqos[15]\(15), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(16), Q => \^m_axi_arqos[15]\(16), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(17), Q => \^m_axi_arqos[15]\(17), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(18), Q => \^m_axi_arqos[15]\(18), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(19), Q => \^m_axi_arqos[15]\(19), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(1), Q => \^m_axi_arqos[15]\(1), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(20), Q => \^m_axi_arqos[15]\(20), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(21), Q => \^m_axi_arqos[15]\(21), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(22), Q => \^m_axi_arqos[15]\(22), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(23), Q => \^m_axi_arqos[15]\(23), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(24), Q => \^m_axi_arqos[15]\(24), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(25), Q => \^m_axi_arqos[15]\(25), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(26), Q => \^m_axi_arqos[15]\(26), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(27), Q => \^m_axi_arqos[15]\(27), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(28), Q => \^m_axi_arqos[15]\(28), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(29), Q => \^m_axi_arqos[15]\(29), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(2), Q => \^m_axi_arqos[15]\(2), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(30), Q => \^m_axi_arqos[15]\(30), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(31), Q => \^m_axi_arqos[15]\(31), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(32), Q => \^m_axi_arqos[15]\(32), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(33), Q => \^m_axi_arqos[15]\(33), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(34), Q => \^m_axi_arqos[15]\(34), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(35), Q => \^m_axi_arqos[15]\(35), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(36), Q => \^m_axi_arqos[15]\(36), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(37), Q => \^m_axi_arqos[15]\(37), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(38), Q => \^m_axi_arqos[15]\(38), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(39), Q => \^m_axi_arqos[15]\(39), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(3), Q => \^m_axi_arqos[15]\(3), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(40), Q => \^m_axi_arqos[15]\(40), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(41), Q => \^m_axi_arqos[15]\(41), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(42), Q => \^m_axi_arqos[15]\(42), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(43), Q => \^m_axi_arqos[15]\(43), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(44), Q => \^m_axi_arqos[15]\(44), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(45), Q => \^m_axi_arqos[15]\(45), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(46), Q => \^m_axi_arqos[15]\(46), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(47), Q => \^m_axi_arqos[15]\(47), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(48), Q => \^m_axi_arqos[15]\(48), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(49), Q => \^m_axi_arqos[15]\(49), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(4), Q => \^m_axi_arqos[15]\(4), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(50), Q => \^m_axi_arqos[15]\(50), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(51), Q => \^m_axi_arqos[15]\(51), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(52), Q => \^m_axi_arqos[15]\(52), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(53), Q => \^m_axi_arqos[15]\(53), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(54), Q => \^m_axi_arqos[15]\(54), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(55), Q => \^m_axi_arqos[15]\(55), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(56), Q => \^m_axi_arqos[15]\(56), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(57), Q => \^m_axi_arqos[15]\(57), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(58), Q => \^m_axi_arqos[15]\(58), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(5), Q => \^m_axi_arqos[15]\(5), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(59), Q => \^m_axi_arqos[15]\(59), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(60), Q => \^m_axi_arqos[15]\(60), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(61), Q => \^m_axi_arqos[15]\(61), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(62), Q => \^m_axi_arqos[15]\(62), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(63), Q => \^m_axi_arqos[15]\(63), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(64), Q => \^m_axi_arqos[15]\(64), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(6), Q => \^m_axi_arqos[15]\(6), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(65), Q => \^m_axi_arqos[15]\(65), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(66), Q => \^m_axi_arqos[15]\(66), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(67), Q => \^m_axi_arqos[15]\(67), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(68), Q => \^m_axi_arqos[15]\(68), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(7), Q => \^m_axi_arqos[15]\(7), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(8), Q => \^m_axi_arqos[15]\(8), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(9), Q => \^m_axi_arqos[15]\(9), R => SR(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^address_hit_0\, I1 => \^match\, O => st_aa_artarget_hot(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_arqos[3]\(29), I1 => \s_axi_arqos[3]\(28), I2 => \s_axi_arqos[3]\(31), I3 => \s_axi_arqos[3]\(30), I4 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^address_hit_0\ ); \gen_no_arbiter.m_target_hot_i[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000002000000000" ) port map ( I0 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I1 => \s_axi_arqos[3]\(29), I2 => \s_axi_arqos[3]\(28), I3 => \s_axi_arqos[3]\(31), I4 => \s_axi_arqos[3]\(30), I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(0) ); \gen_no_arbiter.m_target_hot_i[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000001000000000" ) port map ( I0 => \s_axi_arqos[3]\(34), I1 => \s_axi_arqos[3]\(35), I2 => \s_axi_arqos[3]\(33), I3 => \s_axi_arqos[3]\(32), I4 => \s_axi_arqos[3]\(37), I5 => \s_axi_arqos[3]\(36), O => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ ); \gen_no_arbiter.m_target_hot_i[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_arqos[3]\(29), I1 => \s_axi_arqos[3]\(28), I2 => \s_axi_arqos[3]\(31), I3 => \s_axi_arqos[3]\(30), I4 => \gen_no_arbiter.m_target_hot_i[2]_i_2__0_n_0\, I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(1) ); \gen_no_arbiter.m_target_hot_i[2]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000200000000" ) port map ( I0 => \s_axi_arqos[3]\(35), I1 => \s_axi_arqos[3]\(34), I2 => \s_axi_arqos[3]\(32), I3 => \s_axi_arqos[3]\(33), I4 => \s_axi_arqos[3]\(36), I5 => \s_axi_arqos[3]\(37), O => \gen_no_arbiter.m_target_hot_i[2]_i_2__0_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0002000000000000" ) port map ( I0 => \gen_no_arbiter.m_target_hot_i[3]_i_2__0_n_0\, I1 => \s_axi_arqos[3]\(25), I2 => \s_axi_arqos[3]\(26), I3 => \s_axi_arqos[3]\(27), I4 => \gen_no_arbiter.m_target_hot_i[3]_i_3__0_n_0\, I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(2) ); \gen_no_arbiter.m_target_hot_i[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \s_axi_arqos[3]\(32), I1 => \s_axi_arqos[3]\(33), I2 => \s_axi_arqos[3]\(34), I3 => \s_axi_arqos[3]\(35), I4 => \s_axi_arqos[3]\(37), I5 => \s_axi_arqos[3]\(36), O => \gen_no_arbiter.m_target_hot_i[3]_i_2__0_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \s_axi_arqos[3]\(31), I1 => \s_axi_arqos[3]\(30), I2 => \s_axi_arqos[3]\(29), I3 => \s_axi_arqos[3]\(28), O => \gen_no_arbiter.m_target_hot_i[3]_i_3__0_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000100000000" ) port map ( I0 => \s_axi_arqos[3]\(40), I1 => \s_axi_arqos[3]\(41), I2 => \s_axi_arqos[3]\(38), I3 => \s_axi_arqos[3]\(39), I4 => \s_axi_arqos[3]\(43), I5 => \s_axi_arqos[3]\(42), O => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ ); \gen_no_arbiter.m_target_hot_i[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^d\(1), I1 => \^d\(2), I2 => \^d\(0), I3 => \^address_hit_0\, O => \^match\ ); \gen_no_arbiter.m_target_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => st_aa_artarget_hot(0), Q => aa_mi_artarget_hot(0), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(0), Q => aa_mi_artarget_hot(1), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(1), Q => aa_mi_artarget_hot(2), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(2), Q => aa_mi_artarget_hot(3), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \s_axi_araddr[24]\(0), Q => \^q\(0), R => '0' ); \gen_no_arbiter.m_valid_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"DC" ) port map ( I0 => \gen_no_arbiter.m_valid_i_i_2_n_0\, I1 => m_valid_i, I2 => \^aa_mi_arvalid\, O => \gen_no_arbiter.m_valid_i_i_1_n_0\ ); \gen_no_arbiter.m_valid_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF88800000000" ) port map ( I0 => m_axi_arready(2), I1 => aa_mi_artarget_hot(2), I2 => m_axi_arready(1), I3 => aa_mi_artarget_hot(1), I4 => \gen_no_arbiter.m_valid_i_i_3_n_0\, I5 => \^aa_mi_arvalid\, O => \gen_no_arbiter.m_valid_i_i_2_n_0\ ); \gen_no_arbiter.m_valid_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF888F888F888" ) port map ( I0 => aa_mi_artarget_hot(0), I1 => m_axi_arready(0), I2 => \^q\(0), I3 => mi_arready_4, I4 => m_axi_arready(3), I5 => aa_mi_artarget_hot(3), O => \gen_no_arbiter.m_valid_i_i_3_n_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_valid_i_i_1_n_0\, Q => \^aa_mi_arvalid\, R => SR(0) ); \gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => E(0), Q => S_AXI_ARREADY(0), R => '0' ); \m_axi_arvalid[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(0), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(0) ); \m_axi_arvalid[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(1), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(1) ); \m_axi_arvalid[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(2), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(2) ); \m_axi_arvalid[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(3), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter_0 is port ( ss_aa_awready : out STD_LOGIC; aa_sa_awvalid : out STD_LOGIC; \mi_awready_mux__3\ : out STD_LOGIC; \s_ready_i0__1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); p_84_in : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); p_66_in : out STD_LOGIC; p_48_in : out STD_LOGIC; p_101_in : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); write_cs01_out : out STD_LOGIC; ADDRESS_HIT_0 : out STD_LOGIC; match : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]_0\ : out STD_LOGIC; \sa_wm_awready_mux__3\ : out STD_LOGIC; \gen_master_slots[4].w_issuing_cnt_reg[32]\ : out STD_LOGIC; \m_axi_awqos[15]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_ready_d : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_valid_i : in STD_LOGIC; m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); mi_awready_4 : in STD_LOGIC; \s_axi_awqos[3]\ : in STD_LOGIC_VECTOR ( 68 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_ready_d_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_46_out : in STD_LOGIC; \chosen_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_awaddr[24]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter_0 : entity is "axi_crossbar_v2_1_14_addr_arbiter"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter_0 is signal \^address_hit_0\ : STD_LOGIC; signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \^aa_sa_awvalid\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[2]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_3_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1__0_n_0\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ : STD_LOGIC; signal \m_ready_d[1]_i_4_n_0\ : STD_LOGIC; signal \^match\ : STD_LOGIC; signal \^mi_awready_mux__3\ : STD_LOGIC; signal \^s_ready_i0__1\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal s_ready_i2 : STD_LOGIC; signal \^ss_aa_awready\ : STD_LOGIC; signal st_aa_awtarget_hot : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^write_cs01_out\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_wready_i_i_2\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[3]_i_4\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[11]_i_4\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[27]_i_4\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[0]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[4]_i_2\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \m_axi_awvalid[3]_INST_0\ : label is "soft_lutpair14"; begin ADDRESS_HIT_0 <= \^address_hit_0\; D(2 downto 0) <= \^d\(2 downto 0); Q(4 downto 0) <= \^q\(4 downto 0); aa_sa_awvalid <= \^aa_sa_awvalid\; match <= \^match\; \mi_awready_mux__3\ <= \^mi_awready_mux__3\; \s_ready_i0__1\(0) <= \^s_ready_i0__1\(0); ss_aa_awready <= \^ss_aa_awready\; write_cs01_out <= \^write_cs01_out\; \gen_axi.s_axi_wready_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => mi_awready_4, I1 => \^q\(4), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => \^write_cs01_out\ ); \gen_master_slots[0].w_issuing_cnt[3]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(0), I1 => \^q\(0), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_101_in ); \gen_master_slots[1].w_issuing_cnt[11]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(1), I1 => \^q\(1), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_84_in ); \gen_master_slots[2].w_issuing_cnt[19]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(2), I1 => \^q\(2), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_66_in ); \gen_master_slots[3].w_issuing_cnt[27]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(3), I1 => \^q\(3), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_48_in ); \gen_master_slots[4].w_issuing_cnt[32]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"95552AAA" ) port map ( I0 => \^write_cs01_out\, I1 => s_axi_bready(0), I2 => p_46_out, I3 => \chosen_reg[4]\(0), I4 => w_issuing_cnt(0), O => \gen_master_slots[4].w_issuing_cnt_reg[32]\ ); \gen_no_arbiter.m_mesg_i[11]_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^aa_sa_awvalid\, O => s_ready_i2 ); \gen_no_arbiter.m_mesg_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(0), Q => \m_axi_awqos[15]\(0), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(10), Q => \m_axi_awqos[15]\(10), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(11), Q => \m_axi_awqos[15]\(11), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(12), Q => \m_axi_awqos[15]\(12), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(13), Q => \m_axi_awqos[15]\(13), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(14), Q => \m_axi_awqos[15]\(14), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(15), Q => \m_axi_awqos[15]\(15), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(16), Q => \m_axi_awqos[15]\(16), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(17), Q => \m_axi_awqos[15]\(17), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(18), Q => \m_axi_awqos[15]\(18), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(19), Q => \m_axi_awqos[15]\(19), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(1), Q => \m_axi_awqos[15]\(1), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(20), Q => \m_axi_awqos[15]\(20), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(21), Q => \m_axi_awqos[15]\(21), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(22), Q => \m_axi_awqos[15]\(22), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(23), Q => \m_axi_awqos[15]\(23), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(24), Q => \m_axi_awqos[15]\(24), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(25), Q => \m_axi_awqos[15]\(25), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(26), Q => \m_axi_awqos[15]\(26), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(27), Q => \m_axi_awqos[15]\(27), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(28), Q => \m_axi_awqos[15]\(28), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(29), Q => \m_axi_awqos[15]\(29), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(2), Q => \m_axi_awqos[15]\(2), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(30), Q => \m_axi_awqos[15]\(30), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(31), Q => \m_axi_awqos[15]\(31), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(32), Q => \m_axi_awqos[15]\(32), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(33), Q => \m_axi_awqos[15]\(33), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(34), Q => \m_axi_awqos[15]\(34), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(35), Q => \m_axi_awqos[15]\(35), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(36), Q => \m_axi_awqos[15]\(36), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(37), Q => \m_axi_awqos[15]\(37), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(38), Q => \m_axi_awqos[15]\(38), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(39), Q => \m_axi_awqos[15]\(39), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(3), Q => \m_axi_awqos[15]\(3), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(40), Q => \m_axi_awqos[15]\(40), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(41), Q => \m_axi_awqos[15]\(41), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(42), Q => \m_axi_awqos[15]\(42), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(43), Q => \m_axi_awqos[15]\(43), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(44), Q => \m_axi_awqos[15]\(44), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(45), Q => \m_axi_awqos[15]\(45), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(46), Q => \m_axi_awqos[15]\(46), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(47), Q => \m_axi_awqos[15]\(47), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(48), Q => \m_axi_awqos[15]\(48), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(49), Q => \m_axi_awqos[15]\(49), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(4), Q => \m_axi_awqos[15]\(4), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(50), Q => \m_axi_awqos[15]\(50), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(51), Q => \m_axi_awqos[15]\(51), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(52), Q => \m_axi_awqos[15]\(52), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(53), Q => \m_axi_awqos[15]\(53), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(54), Q => \m_axi_awqos[15]\(54), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(55), Q => \m_axi_awqos[15]\(55), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(56), Q => \m_axi_awqos[15]\(56), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(57), Q => \m_axi_awqos[15]\(57), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(58), Q => \m_axi_awqos[15]\(58), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(5), Q => \m_axi_awqos[15]\(5), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(59), Q => \m_axi_awqos[15]\(59), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(60), Q => \m_axi_awqos[15]\(60), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(61), Q => \m_axi_awqos[15]\(61), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(62), Q => \m_axi_awqos[15]\(62), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(63), Q => \m_axi_awqos[15]\(63), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(64), Q => \m_axi_awqos[15]\(64), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(6), Q => \m_axi_awqos[15]\(6), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(65), Q => \m_axi_awqos[15]\(65), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(66), Q => \m_axi_awqos[15]\(66), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(67), Q => \m_axi_awqos[15]\(67), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(68), Q => \m_axi_awqos[15]\(68), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(7), Q => \m_axi_awqos[15]\(7), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(8), Q => \m_axi_awqos[15]\(8), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(9), Q => \m_axi_awqos[15]\(9), R => SR(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^address_hit_0\, I1 => \^match\, O => st_aa_awtarget_hot(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_awqos[3]\(29), I1 => \s_axi_awqos[3]\(28), I2 => \s_axi_awqos[3]\(31), I3 => \s_axi_awqos[3]\(30), I4 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^address_hit_0\ ); \gen_no_arbiter.m_target_hot_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000002000000000" ) port map ( I0 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I1 => \s_axi_awqos[3]\(29), I2 => \s_axi_awqos[3]\(28), I3 => \s_axi_awqos[3]\(31), I4 => \s_axi_awqos[3]\(30), I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(0) ); \gen_no_arbiter.m_target_hot_i[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000001000000000" ) port map ( I0 => \s_axi_awqos[3]\(34), I1 => \s_axi_awqos[3]\(35), I2 => \s_axi_awqos[3]\(33), I3 => \s_axi_awqos[3]\(32), I4 => \s_axi_awqos[3]\(37), I5 => \s_axi_awqos[3]\(36), O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ ); \gen_no_arbiter.m_target_hot_i[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_awqos[3]\(29), I1 => \s_axi_awqos[3]\(28), I2 => \s_axi_awqos[3]\(31), I3 => \s_axi_awqos[3]\(30), I4 => \gen_no_arbiter.m_target_hot_i[2]_i_2_n_0\, I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(1) ); \gen_no_arbiter.m_target_hot_i[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000200000000" ) port map ( I0 => \s_axi_awqos[3]\(35), I1 => \s_axi_awqos[3]\(34), I2 => \s_axi_awqos[3]\(32), I3 => \s_axi_awqos[3]\(33), I4 => \s_axi_awqos[3]\(36), I5 => \s_axi_awqos[3]\(37), O => \gen_no_arbiter.m_target_hot_i[2]_i_2_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0002000000000000" ) port map ( I0 => \gen_no_arbiter.m_target_hot_i[3]_i_2_n_0\, I1 => \s_axi_awqos[3]\(25), I2 => \s_axi_awqos[3]\(26), I3 => \s_axi_awqos[3]\(27), I4 => \gen_no_arbiter.m_target_hot_i[3]_i_3_n_0\, I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(2) ); \gen_no_arbiter.m_target_hot_i[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \s_axi_awqos[3]\(32), I1 => \s_axi_awqos[3]\(33), I2 => \s_axi_awqos[3]\(34), I3 => \s_axi_awqos[3]\(35), I4 => \s_axi_awqos[3]\(37), I5 => \s_axi_awqos[3]\(36), O => \gen_no_arbiter.m_target_hot_i[3]_i_2_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \s_axi_awqos[3]\(31), I1 => \s_axi_awqos[3]\(30), I2 => \s_axi_awqos[3]\(29), I3 => \s_axi_awqos[3]\(28), O => \gen_no_arbiter.m_target_hot_i[3]_i_3_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000100000000" ) port map ( I0 => \s_axi_awqos[3]\(40), I1 => \s_axi_awqos[3]\(41), I2 => \s_axi_awqos[3]\(38), I3 => \s_axi_awqos[3]\(39), I4 => \s_axi_awqos[3]\(43), I5 => \s_axi_awqos[3]\(42), O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ ); \gen_no_arbiter.m_target_hot_i[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^d\(1), I1 => \^d\(2), I2 => \^d\(0), I3 => \^address_hit_0\, O => \^match\ ); \gen_no_arbiter.m_target_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => st_aa_awtarget_hot(0), Q => \^q\(0), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(0), Q => \^q\(1), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(1), Q => \^q\(2), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(2), Q => \^q\(3), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \s_axi_awaddr[24]\(0), Q => \^q\(4), R => '0' ); \gen_no_arbiter.m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF1F00" ) port map ( I0 => m_ready_d(1), I1 => \^mi_awready_mux__3\, I2 => \^s_ready_i0__1\(0), I3 => \^aa_sa_awvalid\, I4 => m_valid_i, O => \gen_no_arbiter.m_valid_i_i_1__0_n_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_valid_i_i_1__0_n_0\, Q => \^aa_sa_awvalid\, R => SR(0) ); \gen_no_arbiter.s_ready_i[0]_i_34\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^ss_aa_awready\, I1 => s_axi_awvalid(0), I2 => m_ready_d_0(0), O => \gen_no_arbiter.s_ready_i_reg[0]_0\ ); \gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => E(0), Q => \^ss_aa_awready\, R => '0' ); \m_axi_awvalid[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(0), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(0) ); \m_axi_awvalid[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(1) ); \m_axi_awvalid[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(2), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(2) ); \m_axi_awvalid[3]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(3), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(3) ); \m_ready_d[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(4), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(2), I4 => \^q\(3), O => \sa_wm_awready_mux__3\ ); \m_ready_d[1]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \m_ready_d[1]_i_4_n_0\, I1 => \^q\(1), I2 => m_axi_awready(1), I3 => \^q\(2), I4 => m_axi_awready(2), O => \^mi_awready_mux__3\ ); \m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => m_ready_d(0), I1 => \^q\(3), I2 => \^q\(2), I3 => \^q\(1), I4 => \^q\(0), I5 => \^q\(4), O => \^s_ready_i0__1\(0) ); \m_ready_d[1]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF888F888F888" ) port map ( I0 => \^q\(0), I1 => m_axi_awready(0), I2 => \^q\(4), I3 => mi_awready_4, I4 => m_axi_awready(3), I5 => \^q\(3), O => \m_ready_d[1]_i_4_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].w_issuing_cnt_reg[16]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].w_issuing_cnt_reg[24]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].w_issuing_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; \s_axi_bvalid[0]\ : out STD_LOGIC; resp_select : out STD_LOGIC_VECTOR ( 0 to 0 ); s_ready_i_reg : out STD_LOGIC_VECTOR ( 4 downto 0 ); f_mux4_return : out STD_LOGIC_VECTOR ( 13 downto 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 16 downto 0 ); p_84_in : in STD_LOGIC; p_66_in : in STD_LOGIC; p_48_in : in STD_LOGIC; p_101_in : in STD_LOGIC; aresetn_d : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ : in STD_LOGIC; aa_sa_awvalid : in STD_LOGIC; match : in STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]_0\ : in STD_LOGIC; \gen_multi_thread.accept_cnt_reg[0]\ : in STD_LOGIC; p_0_out : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); p_46_out : in STD_LOGIC; p_128_out : in STD_LOGIC; p_108_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; st_mr_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); st_mr_bmesg : in STD_LOGIC_VECTOR ( 7 downto 0 ); p_68_out : in STD_LOGIC; p_88_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ADDRESS_HIT_0 : in STD_LOGIC; aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp is signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_24_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_25_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_26_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_36_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_37_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_38_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_39_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_6_n_0\ : STD_LOGIC; signal last_rr_hot : STD_LOGIC; signal \last_rr_hot[0]_i_2__0_n_0\ : STD_LOGIC; signal \last_rr_hot[0]_i_3__0_n_0\ : STD_LOGIC; signal \last_rr_hot[1]_i_2__0_n_0\ : STD_LOGIC; signal \last_rr_hot[2]_i_3__0_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_2__0_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_3__0_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_4__0_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_5__0_n_0\ : STD_LOGIC; signal \last_rr_hot_reg_n_0_[0]\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; signal need_arbitration : STD_LOGIC; signal next_rr_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal p_5_in6_in : STD_LOGIC; signal p_6_in : STD_LOGIC; signal p_7_in9_in : STD_LOGIC; signal p_8_in : STD_LOGIC; signal \^resp_select\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \resp_select__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_bvalid[0]\ : STD_LOGIC; signal \^s_ready_i_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \w_cmd_pop_0__0\ : STD_LOGIC; signal \w_cmd_pop_1__0\ : STD_LOGIC; signal \w_cmd_pop_2__0\ : STD_LOGIC; signal \w_cmd_pop_3__0\ : STD_LOGIC; signal \w_cmd_pop_4__0\ : STD_LOGIC; attribute use_clock_enable : string; attribute use_clock_enable of \chosen_reg[0]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[1]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[2]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[3]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[4]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_3\ : label is "soft_lutpair157"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[3]_i_3\ : label is "soft_lutpair161"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[11]_i_3\ : label is "soft_lutpair157"; attribute SOFT_HLUTNM of \gen_master_slots[2].w_issuing_cnt[19]_i_3\ : label is "soft_lutpair161"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[27]_i_3\ : label is "soft_lutpair156"; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_2__0\ : label is "soft_lutpair159"; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_3__0\ : label is "soft_lutpair160"; attribute SOFT_HLUTNM of \last_rr_hot[1]_i_2__0\ : label is "soft_lutpair158"; attribute SOFT_HLUTNM of \last_rr_hot[2]_i_3__0\ : label is "soft_lutpair159"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_2__0\ : label is "soft_lutpair160"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_3__0\ : label is "soft_lutpair158"; attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_1\ : label is "soft_lutpair156"; begin SR(0) <= \^sr\(0); m_valid_i <= \^m_valid_i\; resp_select(0) <= \^resp_select\(0); \s_axi_bvalid[0]\ <= \^s_axi_bvalid[0]\; s_ready_i_reg(4 downto 0) <= \^s_ready_i_reg\(4 downto 0); \chosen[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBBBBBBBBBBB8" ) port map ( I0 => s_axi_bready(0), I1 => \^s_axi_bvalid[0]\, I2 => p_46_out, I3 => p_128_out, I4 => p_108_out, I5 => m_valid_i_reg, O => need_arbitration ); \chosen_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(0), Q => \^s_ready_i_reg\(0), R => \^sr\(0) ); \chosen_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(1), Q => \^s_ready_i_reg\(1), R => \^sr\(0) ); \chosen_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(2), Q => \^s_ready_i_reg\(2), R => \^sr\(0) ); \chosen_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(3), Q => \^s_ready_i_reg\(3), R => \^sr\(0) ); \chosen_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(4), Q => \^s_ready_i_reg\(4), R => \^sr\(0) ); \gen_fpga.gen_mux_5_8[0].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(36), I1 => st_mr_bid(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(24), I5 => st_mr_bid(12), O => f_mux4_return(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(46), I1 => st_mr_bid(10), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(34), I5 => st_mr_bid(22), O => f_mux4_return(10) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^s_ready_i_reg\(4), I1 => p_46_out, O => \^resp_select\(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(47), I1 => st_mr_bid(11), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(35), I5 => st_mr_bid(23), O => f_mux4_return(11) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_68_out, I1 => \^s_ready_i_reg\(3), I2 => p_108_out, I3 => \^s_ready_i_reg\(1), O => \resp_select__0\(0) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bmesg(6), I1 => st_mr_bmesg(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bmesg(4), I5 => st_mr_bmesg(2), O => f_mux4_return(12) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bmesg(7), I1 => st_mr_bmesg(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bmesg(5), I5 => st_mr_bmesg(3), O => f_mux4_return(13) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(37), I1 => st_mr_bid(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(25), I5 => st_mr_bid(13), O => f_mux4_return(1) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(38), I1 => st_mr_bid(2), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(26), I5 => st_mr_bid(14), O => f_mux4_return(2) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(39), I1 => st_mr_bid(3), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(27), I5 => st_mr_bid(15), O => f_mux4_return(3) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(40), I1 => st_mr_bid(4), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(28), I5 => st_mr_bid(16), O => f_mux4_return(4) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(41), I1 => st_mr_bid(5), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(29), I5 => st_mr_bid(17), O => f_mux4_return(5) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(42), I1 => st_mr_bid(6), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(30), I5 => st_mr_bid(18), O => f_mux4_return(6) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(43), I1 => st_mr_bid(7), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(31), I5 => st_mr_bid(19), O => f_mux4_return(7) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(44), I1 => st_mr_bid(8), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(32), I5 => st_mr_bid(20), O => f_mux4_return(8) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(45), I1 => st_mr_bid(9), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(33), I5 => st_mr_bid(21), O => f_mux4_return(9) ); \gen_master_slots[0].w_issuing_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(1), I1 => w_issuing_cnt(2), I2 => w_issuing_cnt(0), I3 => w_issuing_cnt(3), I4 => \w_cmd_pop_0__0\, I5 => p_101_in, O => \gen_master_slots[0].w_issuing_cnt_reg[0]\(0) ); \gen_master_slots[0].w_issuing_cnt[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(0), I1 => p_128_out, I2 => s_axi_bready(0), O => \w_cmd_pop_0__0\ ); \gen_master_slots[1].w_issuing_cnt[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(5), I1 => w_issuing_cnt(6), I2 => w_issuing_cnt(4), I3 => w_issuing_cnt(7), I4 => \w_cmd_pop_1__0\, I5 => p_84_in, O => E(0) ); \gen_master_slots[1].w_issuing_cnt[11]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(1), I1 => p_108_out, I2 => s_axi_bready(0), O => \w_cmd_pop_1__0\ ); \gen_master_slots[2].w_issuing_cnt[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(9), I1 => w_issuing_cnt(10), I2 => w_issuing_cnt(8), I3 => w_issuing_cnt(11), I4 => \w_cmd_pop_2__0\, I5 => p_66_in, O => \gen_master_slots[2].w_issuing_cnt_reg[16]\(0) ); \gen_master_slots[2].w_issuing_cnt[19]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(2), I1 => p_88_out, I2 => s_axi_bready(0), O => \w_cmd_pop_2__0\ ); \gen_master_slots[3].w_issuing_cnt[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(13), I1 => w_issuing_cnt(14), I2 => w_issuing_cnt(12), I3 => w_issuing_cnt(15), I4 => \w_cmd_pop_3__0\, I5 => p_48_in, O => \gen_master_slots[3].w_issuing_cnt_reg[24]\(0) ); \gen_master_slots[3].w_issuing_cnt[27]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(3), I1 => p_68_out, I2 => s_axi_bready(0), O => \w_cmd_pop_3__0\ ); \gen_no_arbiter.m_mesg_i[11]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn_d, O => \^sr\(0) ); \gen_no_arbiter.s_ready_i[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^m_valid_i\, I1 => aresetn_d, O => \gen_no_arbiter.s_ready_i_reg[0]\(0) ); \gen_no_arbiter.s_ready_i[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\, I2 => \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\, I3 => \gen_no_arbiter.s_ready_i[0]_i_6_n_0\, I4 => \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\, I5 => aa_sa_awvalid, O => \^m_valid_i\ ); \gen_no_arbiter.s_ready_i[0]_i_24\: unisim.vcomponents.LUT6 generic map( INIT => X"A8888888AAAAAAAA" ) port map ( I0 => \gen_no_arbiter.s_ready_i_reg[0]_0\, I1 => \gen_multi_thread.accept_cnt_reg[0]\, I2 => \^s_axi_bvalid[0]\, I3 => p_0_out, I4 => s_axi_bready(0), I5 => Q(0), O => \gen_no_arbiter.s_ready_i[0]_i_24_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_25\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_36_n_0\, I1 => \s_axi_awaddr[30]\(0), I2 => ADDRESS_HIT_0, I3 => \gen_no_arbiter.s_ready_i[0]_i_37_n_0\, O => \gen_no_arbiter.s_ready_i[0]_i_25_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_26\: unisim.vcomponents.LUT4 generic map( INIT => X"ECA0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_38_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_39_n_0\, I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(2), O => \gen_no_arbiter.s_ready_i[0]_i_26_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_27\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(4), I1 => p_46_out, I2 => s_axi_bready(0), O => \w_cmd_pop_4__0\ ); \gen_no_arbiter.s_ready_i[0]_i_36\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_1__0\, I1 => w_issuing_cnt(4), I2 => w_issuing_cnt(7), I3 => w_issuing_cnt(5), I4 => w_issuing_cnt(6), O => \gen_no_arbiter.s_ready_i[0]_i_36_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_37\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_0__0\, I1 => w_issuing_cnt(0), I2 => w_issuing_cnt(3), I3 => w_issuing_cnt(1), I4 => w_issuing_cnt(2), O => \gen_no_arbiter.s_ready_i[0]_i_37_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_38\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_2__0\, I1 => w_issuing_cnt(8), I2 => w_issuing_cnt(11), I3 => w_issuing_cnt(9), I4 => w_issuing_cnt(10), O => \gen_no_arbiter.s_ready_i[0]_i_38_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_39\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_3__0\, I1 => w_issuing_cnt(12), I2 => w_issuing_cnt(15), I3 => w_issuing_cnt(13), I4 => w_issuing_cnt(14), O => \gen_no_arbiter.s_ready_i[0]_i_39_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"A8A8AAA8A8A8AAAA" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_24_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_25_n_0\, I2 => \gen_no_arbiter.s_ready_i[0]_i_26_n_0\, I3 => \w_cmd_pop_4__0\, I4 => match, I5 => w_issuing_cnt(16), O => \gen_no_arbiter.s_ready_i[0]_i_6_n_0\ ); \last_rr_hot[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_128_out, I1 => p_68_out, I2 => p_46_out, I3 => \last_rr_hot[0]_i_2__0_n_0\, I4 => \last_rr_hot[0]_i_3__0_n_0\, I5 => p_6_in, O => next_rr_hot(0) ); \last_rr_hot[0]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_5_in6_in, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_108_out, I3 => p_88_out, O => \last_rr_hot[0]_i_2__0_n_0\ ); \last_rr_hot[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_46_out, I1 => p_7_in9_in, I2 => p_8_in, O => \last_rr_hot[0]_i_3__0_n_0\ ); \last_rr_hot[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_108_out, I1 => p_128_out, I2 => p_46_out, I3 => \last_rr_hot[1]_i_2__0_n_0\, I4 => \last_rr_hot[4]_i_4__0_n_0\, I5 => p_7_in9_in, O => next_rr_hot(1) ); \last_rr_hot[1]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_6_in, I1 => p_5_in6_in, I2 => p_88_out, I3 => p_68_out, O => \last_rr_hot[1]_i_2__0_n_0\ ); \last_rr_hot[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_88_out, I1 => m_valid_i_reg_0, I2 => \last_rr_hot[4]_i_5__0_n_0\, I3 => p_46_out, I4 => \last_rr_hot[2]_i_3__0_n_0\, I5 => p_8_in, O => next_rr_hot(2) ); \last_rr_hot[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_108_out, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_5_in6_in, O => \last_rr_hot[2]_i_3__0_n_0\ ); \last_rr_hot[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_68_out, I1 => p_108_out, I2 => p_88_out, I3 => \last_rr_hot[3]_i_2__0_n_0\, I4 => \last_rr_hot[3]_i_3__0_n_0\, I5 => \last_rr_hot_reg_n_0_[0]\, O => next_rr_hot(3) ); \last_rr_hot[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_8_in, I1 => p_7_in9_in, I2 => p_46_out, I3 => p_128_out, O => \last_rr_hot[3]_i_2__0_n_0\ ); \last_rr_hot[3]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_88_out, I1 => p_5_in6_in, I2 => p_6_in, O => \last_rr_hot[3]_i_3__0_n_0\ ); \last_rr_hot[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAAAA8" ) port map ( I0 => need_arbitration, I1 => next_rr_hot(3), I2 => next_rr_hot(2), I3 => next_rr_hot(1), I4 => next_rr_hot(0), I5 => next_rr_hot(4), O => last_rr_hot ); \last_rr_hot[4]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_46_out, I1 => m_valid_i_reg, I2 => \last_rr_hot[4]_i_4__0_n_0\, I3 => p_108_out, I4 => \last_rr_hot[4]_i_5__0_n_0\, I5 => p_5_in6_in, O => next_rr_hot(4) ); \last_rr_hot[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_128_out, I1 => p_8_in, I2 => \last_rr_hot_reg_n_0_[0]\, O => \last_rr_hot[4]_i_4__0_n_0\ ); \last_rr_hot[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_68_out, I1 => p_6_in, I2 => p_7_in9_in, O => \last_rr_hot[4]_i_5__0_n_0\ ); \last_rr_hot_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(0), Q => \last_rr_hot_reg_n_0_[0]\, R => \^sr\(0) ); \last_rr_hot_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(1), Q => p_5_in6_in, R => \^sr\(0) ); \last_rr_hot_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(2), Q => p_6_in, R => \^sr\(0) ); \last_rr_hot_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(3), Q => p_7_in9_in, R => \^sr\(0) ); \last_rr_hot_reg[4]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(4), Q => p_8_in, S => \^sr\(0) ); \s_axi_bvalid[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFEAEAEA" ) port map ( I0 => \^resp_select\(0), I1 => p_128_out, I2 => \^s_ready_i_reg\(0), I3 => p_108_out, I4 => \^s_ready_i_reg\(1), I5 => \resp_select__0\(1), O => \^s_axi_bvalid[0]\ ); \s_axi_bvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_68_out, I1 => \^s_ready_i_reg\(3), I2 => p_88_out, I3 => \^s_ready_i_reg\(2), O => \resp_select__0\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp_8 is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); f_mux4_return : out STD_LOGIC_VECTOR ( 46 downto 0 ); \s_axi_rvalid[0]\ : out STD_LOGIC; resp_select : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ : in STD_LOGIC; aa_mi_arvalid : in STD_LOGIC; \gen_master_slots[1].r_issuing_cnt_reg[8]\ : in STD_LOGIC; \gen_master_slots[2].r_issuing_cnt_reg[16]\ : in STD_LOGIC; \r_cmd_pop_4__1\ : in STD_LOGIC; match : in STD_LOGIC; r_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_122_out : in STD_LOGIC; st_mr_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); st_mr_rmesg : in STD_LOGIC_VECTOR ( 135 downto 0 ); \m_payload_i_reg[34]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_62_out : in STD_LOGIC; p_102_out : in STD_LOGIC; S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.accept_cnt_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[34]_4\ : in STD_LOGIC; \gen_multi_thread.accept_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_40_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; p_82_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp_8 : entity is "axi_crossbar_v2_1_14_arbiter_resp"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp_8; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp_8 is signal \^q\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_24__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\ : STD_LOGIC; signal last_rr_hot : STD_LOGIC; signal \last_rr_hot[0]_i_2_n_0\ : STD_LOGIC; signal \last_rr_hot[0]_i_3_n_0\ : STD_LOGIC; signal \last_rr_hot[1]_i_2_n_0\ : STD_LOGIC; signal \last_rr_hot[2]_i_3_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_2_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_3_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_4_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_5_n_0\ : STD_LOGIC; signal \last_rr_hot_reg_n_0_[0]\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; signal need_arbitration : STD_LOGIC; signal next_rr_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal p_0_in1_in : STD_LOGIC_VECTOR ( 3 downto 1 ); signal p_5_in6_in : STD_LOGIC; signal p_6_in : STD_LOGIC; signal p_7_in9_in : STD_LOGIC; signal p_8_in : STD_LOGIC; signal \^resp_select\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \resp_select__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_rvalid[0]\ : STD_LOGIC; attribute use_clock_enable : string; attribute use_clock_enable of \chosen_reg[0]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[1]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[2]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[3]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[4]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_2\ : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_3\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \last_rr_hot[1]_i_2\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \last_rr_hot[2]_i_3\ : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_2\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_3\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \last_rr_hot[4]_i_4\ : label is "soft_lutpair124"; attribute SOFT_HLUTNM of \last_rr_hot[4]_i_5\ : label is "soft_lutpair123"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1\ : label is "soft_lutpair124"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__2\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__3\ : label is "soft_lutpair125"; attribute SOFT_HLUTNM of \s_axi_rvalid[0]_INST_0_i_1\ : label is "soft_lutpair125"; attribute SOFT_HLUTNM of \s_axi_rvalid[0]_INST_0_i_3\ : label is "soft_lutpair123"; begin Q(4 downto 0) <= \^q\(4 downto 0); m_valid_i <= \^m_valid_i\; resp_select(0) <= \^resp_select\(0); \s_axi_rvalid[0]\ <= \^s_axi_rvalid[0]\; \chosen[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBBBBBBBBBBB8" ) port map ( I0 => s_axi_rready(0), I1 => \^s_axi_rvalid[0]\, I2 => p_40_out, I3 => p_122_out, I4 => p_102_out, I5 => m_valid_i_reg, O => need_arbitration ); \chosen_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(0), Q => \^q\(0), R => SR(0) ); \chosen_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(1), Q => \^q\(1), R => SR(0) ); \chosen_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(2), Q => \^q\(2), R => SR(0) ); \chosen_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(3), Q => \^q\(3), R => SR(0) ); \chosen_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(4), Q => \^q\(4), R => SR(0) ); \gen_fpga.gen_mux_5_8[0].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(36), I1 => st_mr_rid(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(24), I5 => st_mr_rid(12), O => f_mux4_return(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(46), I1 => st_mr_rid(10), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(34), I5 => st_mr_rid(22), O => f_mux4_return(10) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(4), I1 => p_40_out, O => \^resp_select\(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(47), I1 => st_mr_rid(11), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(35), I5 => st_mr_rid(23), O => f_mux4_return(11) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_62_out, I1 => \^q\(3), I2 => p_102_out, I3 => \^q\(1), O => \resp_select__0\(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_62_out, I1 => \^q\(3), I2 => p_82_out, I3 => \^q\(2), O => \resp_select__0\(1) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(102), I1 => st_mr_rmesg(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(68), I5 => st_mr_rmesg(34), O => f_mux4_return(12) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(103), I1 => st_mr_rmesg(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(69), I5 => st_mr_rmesg(35), O => f_mux4_return(13) ); \gen_fpga.gen_mux_5_8[15].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(104), I1 => st_mr_rmesg(2), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(70), I5 => st_mr_rmesg(36), O => f_mux4_return(14) ); \gen_fpga.gen_mux_5_8[16].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(105), I1 => st_mr_rmesg(3), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(71), I5 => st_mr_rmesg(37), O => f_mux4_return(15) ); \gen_fpga.gen_mux_5_8[17].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(106), I1 => st_mr_rmesg(4), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(72), I5 => st_mr_rmesg(38), O => f_mux4_return(16) ); \gen_fpga.gen_mux_5_8[18].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(107), I1 => st_mr_rmesg(5), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(73), I5 => st_mr_rmesg(39), O => f_mux4_return(17) ); \gen_fpga.gen_mux_5_8[19].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(108), I1 => st_mr_rmesg(6), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(74), I5 => st_mr_rmesg(40), O => f_mux4_return(18) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(37), I1 => st_mr_rid(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(25), I5 => st_mr_rid(13), O => f_mux4_return(1) ); \gen_fpga.gen_mux_5_8[20].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(109), I1 => st_mr_rmesg(7), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(75), I5 => st_mr_rmesg(41), O => f_mux4_return(19) ); \gen_fpga.gen_mux_5_8[21].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(110), I1 => st_mr_rmesg(8), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(76), I5 => st_mr_rmesg(42), O => f_mux4_return(20) ); \gen_fpga.gen_mux_5_8[22].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(111), I1 => st_mr_rmesg(9), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(77), I5 => st_mr_rmesg(43), O => f_mux4_return(21) ); \gen_fpga.gen_mux_5_8[23].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(112), I1 => st_mr_rmesg(10), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(78), I5 => st_mr_rmesg(44), O => f_mux4_return(22) ); \gen_fpga.gen_mux_5_8[24].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(113), I1 => st_mr_rmesg(11), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(79), I5 => st_mr_rmesg(45), O => f_mux4_return(23) ); \gen_fpga.gen_mux_5_8[25].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(114), I1 => st_mr_rmesg(12), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(80), I5 => st_mr_rmesg(46), O => f_mux4_return(24) ); \gen_fpga.gen_mux_5_8[26].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(115), I1 => st_mr_rmesg(13), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(81), I5 => st_mr_rmesg(47), O => f_mux4_return(25) ); \gen_fpga.gen_mux_5_8[27].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(116), I1 => st_mr_rmesg(14), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(82), I5 => st_mr_rmesg(48), O => f_mux4_return(26) ); \gen_fpga.gen_mux_5_8[28].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(117), I1 => st_mr_rmesg(15), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(83), I5 => st_mr_rmesg(49), O => f_mux4_return(27) ); \gen_fpga.gen_mux_5_8[29].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(118), I1 => st_mr_rmesg(16), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(84), I5 => st_mr_rmesg(50), O => f_mux4_return(28) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(38), I1 => st_mr_rid(2), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(26), I5 => st_mr_rid(14), O => f_mux4_return(2) ); \gen_fpga.gen_mux_5_8[30].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(119), I1 => st_mr_rmesg(17), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(85), I5 => st_mr_rmesg(51), O => f_mux4_return(29) ); \gen_fpga.gen_mux_5_8[31].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(120), I1 => st_mr_rmesg(18), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(86), I5 => st_mr_rmesg(52), O => f_mux4_return(30) ); \gen_fpga.gen_mux_5_8[32].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(121), I1 => st_mr_rmesg(19), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(87), I5 => st_mr_rmesg(53), O => f_mux4_return(31) ); \gen_fpga.gen_mux_5_8[33].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(122), I1 => st_mr_rmesg(20), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(88), I5 => st_mr_rmesg(54), O => f_mux4_return(32) ); \gen_fpga.gen_mux_5_8[34].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(123), I1 => st_mr_rmesg(21), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(89), I5 => st_mr_rmesg(55), O => f_mux4_return(33) ); \gen_fpga.gen_mux_5_8[35].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(124), I1 => st_mr_rmesg(22), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(90), I5 => st_mr_rmesg(56), O => f_mux4_return(34) ); \gen_fpga.gen_mux_5_8[36].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(125), I1 => st_mr_rmesg(23), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(91), I5 => st_mr_rmesg(57), O => f_mux4_return(35) ); \gen_fpga.gen_mux_5_8[37].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(126), I1 => st_mr_rmesg(24), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(92), I5 => st_mr_rmesg(58), O => f_mux4_return(36) ); \gen_fpga.gen_mux_5_8[38].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(127), I1 => st_mr_rmesg(25), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(93), I5 => st_mr_rmesg(59), O => f_mux4_return(37) ); \gen_fpga.gen_mux_5_8[39].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(128), I1 => st_mr_rmesg(26), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(94), I5 => st_mr_rmesg(60), O => f_mux4_return(38) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(39), I1 => st_mr_rid(3), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(27), I5 => st_mr_rid(15), O => f_mux4_return(3) ); \gen_fpga.gen_mux_5_8[40].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(129), I1 => st_mr_rmesg(27), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(95), I5 => st_mr_rmesg(61), O => f_mux4_return(39) ); \gen_fpga.gen_mux_5_8[41].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(130), I1 => st_mr_rmesg(28), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(96), I5 => st_mr_rmesg(62), O => f_mux4_return(40) ); \gen_fpga.gen_mux_5_8[42].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(131), I1 => st_mr_rmesg(29), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(97), I5 => st_mr_rmesg(63), O => f_mux4_return(41) ); \gen_fpga.gen_mux_5_8[43].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(132), I1 => st_mr_rmesg(30), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(98), I5 => st_mr_rmesg(64), O => f_mux4_return(42) ); \gen_fpga.gen_mux_5_8[44].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(133), I1 => st_mr_rmesg(31), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(99), I5 => st_mr_rmesg(65), O => f_mux4_return(43) ); \gen_fpga.gen_mux_5_8[45].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(134), I1 => st_mr_rmesg(32), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(100), I5 => st_mr_rmesg(66), O => f_mux4_return(44) ); \gen_fpga.gen_mux_5_8[46].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(135), I1 => st_mr_rmesg(33), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(101), I5 => st_mr_rmesg(67), O => f_mux4_return(45) ); \gen_fpga.gen_mux_5_8[47].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => \m_payload_i_reg[34]_0\(0), I1 => \m_payload_i_reg[34]_1\(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => \m_payload_i_reg[34]_2\(0), I5 => \m_payload_i_reg[34]_3\(0), O => f_mux4_return(46) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(40), I1 => st_mr_rid(4), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(28), I5 => st_mr_rid(16), O => f_mux4_return(4) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(41), I1 => st_mr_rid(5), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(29), I5 => st_mr_rid(17), O => f_mux4_return(5) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(42), I1 => st_mr_rid(6), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(30), I5 => st_mr_rid(18), O => f_mux4_return(6) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(43), I1 => st_mr_rid(7), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(31), I5 => st_mr_rid(19), O => f_mux4_return(7) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(44), I1 => st_mr_rid(8), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(32), I5 => st_mr_rid(20), O => f_mux4_return(8) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(45), I1 => st_mr_rid(9), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(33), I5 => st_mr_rid(21), O => f_mux4_return(9) ); \gen_no_arbiter.s_ready_i[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^m_valid_i\, I1 => aresetn_d, O => E(0) ); \gen_no_arbiter.s_ready_i[0]_i_24__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4440404044444444" ) port map ( I0 => S_AXI_ARREADY(0), I1 => s_axi_arvalid(0), I2 => \gen_multi_thread.accept_cnt_reg[0]\, I3 => \^s_axi_rvalid[0]\, I4 => \m_payload_i_reg[34]_4\, I5 => \gen_multi_thread.accept_cnt_reg[3]\(0), O => \gen_no_arbiter.s_ready_i[0]_i_24__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\, I2 => \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\, I3 => \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\, I4 => \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\, I5 => aa_mi_arvalid, O => \^m_valid_i\ ); \gen_no_arbiter.s_ready_i[0]_i_6__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A8A8AAA8A8A8AAAA" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_24__0_n_0\, I1 => \gen_master_slots[1].r_issuing_cnt_reg[8]\, I2 => \gen_master_slots[2].r_issuing_cnt_reg[16]\, I3 => \r_cmd_pop_4__1\, I4 => match, I5 => r_issuing_cnt(0), O => \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\ ); \last_rr_hot[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_122_out, I1 => p_62_out, I2 => p_40_out, I3 => \last_rr_hot[0]_i_2_n_0\, I4 => \last_rr_hot[0]_i_3_n_0\, I5 => p_6_in, O => next_rr_hot(0) ); \last_rr_hot[0]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_5_in6_in, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_102_out, I3 => p_82_out, O => \last_rr_hot[0]_i_2_n_0\ ); \last_rr_hot[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_40_out, I1 => p_7_in9_in, I2 => p_8_in, O => \last_rr_hot[0]_i_3_n_0\ ); \last_rr_hot[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_102_out, I1 => p_40_out, I2 => p_122_out, I3 => \last_rr_hot[1]_i_2_n_0\, I4 => \last_rr_hot[4]_i_4_n_0\, I5 => p_7_in9_in, O => next_rr_hot(1) ); \last_rr_hot[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_6_in, I1 => p_5_in6_in, I2 => p_82_out, I3 => p_62_out, O => \last_rr_hot[1]_i_2_n_0\ ); \last_rr_hot[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_82_out, I1 => m_valid_i_reg_0, I2 => \last_rr_hot[4]_i_5_n_0\, I3 => p_40_out, I4 => \last_rr_hot[2]_i_3_n_0\, I5 => p_8_in, O => next_rr_hot(2) ); \last_rr_hot[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_102_out, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_5_in6_in, O => \last_rr_hot[2]_i_3_n_0\ ); \last_rr_hot[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_62_out, I1 => p_102_out, I2 => p_82_out, I3 => \last_rr_hot[3]_i_2_n_0\, I4 => \last_rr_hot[3]_i_3_n_0\, I5 => \last_rr_hot_reg_n_0_[0]\, O => next_rr_hot(3) ); \last_rr_hot[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_8_in, I1 => p_7_in9_in, I2 => p_40_out, I3 => p_122_out, O => \last_rr_hot[3]_i_2_n_0\ ); \last_rr_hot[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_82_out, I1 => p_5_in6_in, I2 => p_6_in, O => \last_rr_hot[3]_i_3_n_0\ ); \last_rr_hot[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAAAA8" ) port map ( I0 => need_arbitration, I1 => next_rr_hot(3), I2 => next_rr_hot(2), I3 => next_rr_hot(1), I4 => next_rr_hot(0), I5 => next_rr_hot(4), O => last_rr_hot ); \last_rr_hot[4]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_40_out, I1 => m_valid_i_reg, I2 => \last_rr_hot[4]_i_4_n_0\, I3 => p_102_out, I4 => \last_rr_hot[4]_i_5_n_0\, I5 => p_5_in6_in, O => next_rr_hot(4) ); \last_rr_hot[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_122_out, I1 => p_8_in, I2 => \last_rr_hot_reg_n_0_[0]\, O => \last_rr_hot[4]_i_4_n_0\ ); \last_rr_hot[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_62_out, I1 => p_6_in, I2 => p_7_in9_in, O => \last_rr_hot[4]_i_5_n_0\ ); \last_rr_hot_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(0), Q => \last_rr_hot_reg_n_0_[0]\, R => SR(0) ); \last_rr_hot_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(1), Q => p_5_in6_in, R => SR(0) ); \last_rr_hot_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(2), Q => p_6_in, R => SR(0) ); \last_rr_hot_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(3), Q => p_7_in9_in, R => SR(0) ); \last_rr_hot_reg[4]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(4), Q => p_8_in, S => SR(0) ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(0), I1 => s_axi_rready(0), I2 => p_122_out, O => \m_payload_i_reg[0]\(0) ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(1), I1 => s_axi_rready(0), I2 => p_102_out, O => \m_payload_i_reg[0]_0\(0) ); \m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(4), I1 => s_axi_rready(0), I2 => p_40_out, O => \m_payload_i_reg[34]\(0) ); \m_payload_i[46]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(3), I1 => s_axi_rready(0), I2 => p_62_out, O => \m_payload_i_reg[0]_1\(0) ); \m_payload_i[46]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(2), I1 => s_axi_rready(0), I2 => p_82_out, O => \m_payload_i_reg[0]_2\(0) ); \s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFF8" ) port map ( I0 => \^q\(0), I1 => p_122_out, I2 => p_0_in1_in(2), I3 => p_0_in1_in(1), I4 => p_0_in1_in(3), I5 => \^resp_select\(0), O => \^s_axi_rvalid[0]\ ); \s_axi_rvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(2), I1 => p_82_out, O => p_0_in1_in(2) ); \s_axi_rvalid[0]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(1), I1 => p_102_out, O => p_0_in1_in(1) ); \s_axi_rvalid[0]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(3), I1 => p_62_out, O => p_0_in1_in(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_decerr_slave is port ( mi_awready_4 : out STD_LOGIC; p_22_in : out STD_LOGIC; p_29_in : out STD_LOGIC; p_23_in : out STD_LOGIC; p_25_in : out STD_LOGIC; \read_cs__0\ : out STD_LOGIC; mi_arready_4 : out STD_LOGIC; \m_payload_i_reg[13]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); aa_sa_awvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_rready_4 : in STD_LOGIC; aa_mi_arvalid : in STD_LOGIC; \gen_no_arbiter.m_target_hot_i_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.m_mesg_i_reg[51]\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); \m_ready_d_reg[1]\ : in STD_LOGIC; mi_bready_4 : in STD_LOGIC; \write_cs0__0\ : in STD_LOGIC; write_cs01_out : in STD_LOGIC; s_axi_rlast_i0 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.m_mesg_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); aresetn_d : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_decerr_slave; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_decerr_slave is signal \gen_axi.read_cnt[4]_i_2_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt_reg\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \gen_axi.read_cnt_reg__0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_axi.read_cs[0]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_arready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_arready_i_i_3_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_awready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_bid_i[11]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_bvalid_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_3_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_4_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_wready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[0]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[1]_i_1_n_0\ : STD_LOGIC; signal \^mi_arready_4\ : STD_LOGIC; signal \^mi_awready_4\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^p_22_in\ : STD_LOGIC; signal \^p_23_in\ : STD_LOGIC; signal \^p_25_in\ : STD_LOGIC; signal \^p_29_in\ : STD_LOGIC; signal \^read_cs__0\ : STD_LOGIC; signal write_cs : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.read_cnt[0]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[1]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[2]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[4]_i_2\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[5]_i_1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[5]_i_2\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gen_axi.s_axi_arready_i_i_3\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gen_axi.s_axi_rlast_i_i_3\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gen_axi.write_cs[0]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \gen_axi.write_cs[1]_i_1\ : label is "soft_lutpair16"; begin mi_arready_4 <= \^mi_arready_4\; mi_awready_4 <= \^mi_awready_4\; p_22_in <= \^p_22_in\; p_23_in <= \^p_23_in\; p_25_in <= \^p_25_in\; p_29_in <= \^p_29_in\; \read_cs__0\ <= \^read_cs__0\; \gen_axi.read_cnt[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"74" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(0), I1 => \^p_23_in\, I2 => \gen_no_arbiter.m_mesg_i_reg[51]\(12), O => p_0_in(0) ); \gen_axi.read_cnt[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E22E" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(13), I1 => \^p_23_in\, I2 => \gen_axi.read_cnt_reg__0\(0), I3 => \gen_axi.read_cnt_reg\(1), O => p_0_in(1) ); \gen_axi.read_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FCAA03AA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(14), I1 => \gen_axi.read_cnt_reg\(1), I2 => \gen_axi.read_cnt_reg__0\(0), I3 => \^p_23_in\, I4 => \gen_axi.read_cnt_reg\(2), O => p_0_in(2) ); \gen_axi.read_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFCAAAA0003AAAA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(15), I1 => \gen_axi.read_cnt_reg__0\(0), I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.read_cnt_reg\(2), I4 => \^p_23_in\, I5 => \gen_axi.read_cnt_reg\(3), O => p_0_in(3) ); \gen_axi.read_cnt[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFCAAAA0003AAAA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(16), I1 => \gen_axi.read_cnt_reg\(2), I2 => \gen_axi.read_cnt[4]_i_2_n_0\, I3 => \gen_axi.read_cnt_reg\(3), I4 => \^p_23_in\, I5 => \gen_axi.read_cnt_reg\(4), O => p_0_in(4) ); \gen_axi.read_cnt[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \gen_axi.read_cnt_reg\(1), I1 => \gen_axi.read_cnt_reg__0\(0), O => \gen_axi.read_cnt[4]_i_2_n_0\ ); \gen_axi.read_cnt[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CA3A" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(17), I1 => \gen_axi.read_cnt[5]_i_2_n_0\, I2 => \^p_23_in\, I3 => \gen_axi.read_cnt_reg\(5), O => p_0_in(5) ); \gen_axi.read_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \gen_axi.read_cnt_reg\(3), I1 => \gen_axi.read_cnt_reg__0\(0), I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.read_cnt_reg\(2), I4 => \gen_axi.read_cnt_reg\(4), O => \gen_axi.read_cnt[5]_i_2_n_0\ ); \gen_axi.read_cnt[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CA3A" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(18), I1 => \gen_axi.read_cnt[7]_i_3_n_0\, I2 => \^p_23_in\, I3 => \gen_axi.read_cnt_reg\(6), O => p_0_in(6) ); \gen_axi.read_cnt[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4F40404040404040" ) port map ( I0 => \^read_cs__0\, I1 => mi_rready_4, I2 => \^p_23_in\, I3 => \^mi_arready_4\, I4 => aa_mi_arvalid, I5 => \gen_no_arbiter.m_target_hot_i_reg[4]\(0), O => \gen_axi.read_cnt[7]_i_1_n_0\ ); \gen_axi.read_cnt[7]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FCAA03AA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(19), I1 => \gen_axi.read_cnt[7]_i_3_n_0\, I2 => \gen_axi.read_cnt_reg\(6), I3 => \^p_23_in\, I4 => \gen_axi.read_cnt_reg\(7), O => p_0_in(7) ); \gen_axi.read_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \gen_axi.read_cnt_reg\(4), I1 => \gen_axi.read_cnt_reg\(2), I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.read_cnt_reg__0\(0), I4 => \gen_axi.read_cnt_reg\(3), I5 => \gen_axi.read_cnt_reg\(5), O => \gen_axi.read_cnt[7]_i_3_n_0\ ); \gen_axi.read_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(0), Q => \gen_axi.read_cnt_reg__0\(0), R => SR(0) ); \gen_axi.read_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(1), Q => \gen_axi.read_cnt_reg\(1), R => SR(0) ); \gen_axi.read_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(2), Q => \gen_axi.read_cnt_reg\(2), R => SR(0) ); \gen_axi.read_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(3), Q => \gen_axi.read_cnt_reg\(3), R => SR(0) ); \gen_axi.read_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(4), Q => \gen_axi.read_cnt_reg\(4), R => SR(0) ); \gen_axi.read_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(5), Q => \gen_axi.read_cnt_reg\(5), R => SR(0) ); \gen_axi.read_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(6), Q => \gen_axi.read_cnt_reg\(6), R => SR(0) ); \gen_axi.read_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(7), Q => \gen_axi.read_cnt_reg\(7), R => SR(0) ); \gen_axi.read_cs[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7F70707070707070" ) port map ( I0 => \^read_cs__0\, I1 => mi_rready_4, I2 => \^p_23_in\, I3 => \^mi_arready_4\, I4 => aa_mi_arvalid, I5 => \gen_no_arbiter.m_target_hot_i_reg[4]\(0), O => \gen_axi.read_cs[0]_i_1_n_0\ ); \gen_axi.read_cs_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.read_cs[0]_i_1_n_0\, Q => \^p_23_in\, R => SR(0) ); \gen_axi.s_axi_arready_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FBBB0000" ) port map ( I0 => \^mi_arready_4\, I1 => \^p_23_in\, I2 => \^read_cs__0\, I3 => mi_rready_4, I4 => aresetn_d, I5 => E(0), O => \gen_axi.s_axi_arready_i_i_1_n_0\ ); \gen_axi.s_axi_arready_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \gen_axi.read_cnt[4]_i_2_n_0\, I1 => \gen_axi.read_cnt_reg\(6), I2 => \gen_axi.read_cnt_reg\(7), I3 => \gen_axi.s_axi_arready_i_i_3_n_0\, I4 => \gen_axi.read_cnt_reg\(2), I5 => \gen_axi.read_cnt_reg\(3), O => \^read_cs__0\ ); \gen_axi.s_axi_arready_i_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \gen_axi.read_cnt_reg\(4), I1 => \gen_axi.read_cnt_reg\(5), O => \gen_axi.s_axi_arready_i_i_3_n_0\ ); \gen_axi.s_axi_arready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_arready_i_i_1_n_0\, Q => \^mi_arready_4\, R => '0' ); \gen_axi.s_axi_awready_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFBB0000F0FF" ) port map ( I0 => \m_ready_d_reg[1]\, I1 => Q(0), I2 => mi_bready_4, I3 => write_cs(1), I4 => write_cs(0), I5 => \^mi_awready_4\, O => \gen_axi.s_axi_awready_i_i_1_n_0\ ); \gen_axi.s_axi_awready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_awready_i_i_1_n_0\, Q => \^mi_awready_4\, R => SR(0) ); \gen_axi.s_axi_bid_i[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0100000000000000" ) port map ( I0 => write_cs(1), I1 => write_cs(0), I2 => m_ready_d(0), I3 => aa_sa_awvalid, I4 => Q(0), I5 => \^mi_awready_4\, O => \gen_axi.s_axi_bid_i[11]_i_1_n_0\ ); \gen_axi.s_axi_bid_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(0), Q => \m_payload_i_reg[13]\(0), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(10), Q => \m_payload_i_reg[13]\(10), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(11), Q => \m_payload_i_reg[13]\(11), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(1), Q => \m_payload_i_reg[13]\(1), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(2), Q => \m_payload_i_reg[13]\(2), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(3), Q => \m_payload_i_reg[13]\(3), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(4), Q => \m_payload_i_reg[13]\(4), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(5), Q => \m_payload_i_reg[13]\(5), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(6), Q => \m_payload_i_reg[13]\(6), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(7), Q => \m_payload_i_reg[13]\(7), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(8), Q => \m_payload_i_reg[13]\(8), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(9), Q => \m_payload_i_reg[13]\(9), R => SR(0) ); \gen_axi.s_axi_bvalid_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFF00C0" ) port map ( I0 => mi_bready_4, I1 => write_cs(0), I2 => \write_cs0__0\, I3 => write_cs(1), I4 => \^p_29_in\, O => \gen_axi.s_axi_bvalid_i_i_1_n_0\ ); \gen_axi.s_axi_bvalid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_bvalid_i_i_1_n_0\, Q => \^p_29_in\, R => SR(0) ); \gen_axi.s_axi_rid_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(0), Q => \skid_buffer_reg[46]\(0), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(10), Q => \skid_buffer_reg[46]\(10), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(11), Q => \skid_buffer_reg[46]\(11), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(1), Q => \skid_buffer_reg[46]\(1), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(2), Q => \skid_buffer_reg[46]\(2), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(3), Q => \skid_buffer_reg[46]\(3), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(4), Q => \skid_buffer_reg[46]\(4), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(5), Q => \skid_buffer_reg[46]\(5), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(6), Q => \skid_buffer_reg[46]\(6), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(7), Q => \skid_buffer_reg[46]\(7), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(8), Q => \skid_buffer_reg[46]\(8), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(9), Q => \skid_buffer_reg[46]\(9), R => SR(0) ); \gen_axi.s_axi_rlast_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAFBFFAAAA0800" ) port map ( I0 => s_axi_rlast_i0, I1 => \gen_axi.s_axi_rlast_i_i_3_n_0\, I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.s_axi_rlast_i_i_4_n_0\, I4 => E(0), I5 => \^p_25_in\, O => \gen_axi.s_axi_rlast_i_i_1_n_0\ ); \gen_axi.s_axi_rlast_i_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \gen_axi.read_cnt_reg\(2), I1 => \gen_axi.read_cnt_reg\(3), O => \gen_axi.s_axi_rlast_i_i_3_n_0\ ); \gen_axi.s_axi_rlast_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \gen_axi.read_cnt_reg\(4), I1 => \gen_axi.read_cnt_reg\(5), I2 => \gen_axi.read_cnt_reg\(6), I3 => \gen_axi.read_cnt_reg\(7), I4 => mi_rready_4, I5 => \^p_23_in\, O => \gen_axi.s_axi_rlast_i_i_4_n_0\ ); \gen_axi.s_axi_rlast_i_reg\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_rlast_i_i_1_n_0\, Q => \^p_25_in\, R => SR(0) ); \gen_axi.s_axi_wready_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FF5F000C" ) port map ( I0 => \write_cs0__0\, I1 => write_cs01_out, I2 => write_cs(0), I3 => write_cs(1), I4 => \^p_22_in\, O => \gen_axi.s_axi_wready_i_i_1_n_0\ ); \gen_axi.s_axi_wready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_wready_i_i_1_n_0\, Q => \^p_22_in\, R => SR(0) ); \gen_axi.write_cs[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4522" ) port map ( I0 => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, I1 => write_cs(1), I2 => \write_cs0__0\, I3 => write_cs(0), O => \gen_axi.write_cs[0]_i_1_n_0\ ); \gen_axi.write_cs[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FE00FE44" ) port map ( I0 => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, I1 => write_cs(1), I2 => \write_cs0__0\, I3 => write_cs(0), I4 => mi_bready_4, O => \gen_axi.write_cs[1]_i_1_n_0\ ); \gen_axi.write_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.write_cs[0]_i_1_n_0\, Q => write_cs(0), R => SR(0) ); \gen_axi.write_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.write_cs[1]_i_1_n_0\, Q => write_cs(1), R => SR(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter is port ( \s_axi_awready[0]\ : out STD_LOGIC; m_ready_d : out STD_LOGIC_VECTOR ( 1 downto 0 ); ss_wr_awvalid : out STD_LOGIC; ss_wr_awready : in STD_LOGIC; ss_aa_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter is signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_valid_i_i_2__0\ : label is "soft_lutpair190"; attribute SOFT_HLUTNM of \s_axi_awready[0]_INST_0\ : label is "soft_lutpair190"; begin m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000C0008000C0000" ) port map ( I0 => s_axi_awvalid(0), I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => ss_wr_awready, I4 => \^m_ready_d\(0), I5 => ss_aa_awready, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000C8C0" ) port map ( I0 => s_axi_awvalid(0), I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => ss_wr_awready, I4 => \^m_ready_d\(0), I5 => ss_aa_awready, O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[0]_i_1_n_0\, Q => \^m_ready_d\(0), R => '0' ); \m_ready_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[1]_i_1_n_0\, Q => \^m_ready_d\(1), R => '0' ); \m_valid_i_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_awvalid(0), I1 => \^m_ready_d\(1), O => ss_wr_awvalid ); \s_axi_awready[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"EEE0" ) port map ( I0 => \^m_ready_d\(1), I1 => ss_wr_awready, I2 => \^m_ready_d\(0), I3 => ss_aa_awready, O => \s_axi_awready[0]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter_5 is port ( D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_axi.s_axi_awready_i_reg\ : out STD_LOGIC; \gen_master_slots[2].w_issuing_cnt_reg[19]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[3].w_issuing_cnt_reg[27]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[0].w_issuing_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_ready_d : out STD_LOGIC_VECTOR ( 1 downto 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 15 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_108_out : in STD_LOGIC; \chosen_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_88_out : in STD_LOGIC; p_68_out : in STD_LOGIC; p_128_out : in STD_LOGIC; aa_sa_awvalid : in STD_LOGIC; aresetn_d : in STD_LOGIC; \mi_awready_mux__3\ : in STD_LOGIC; \s_ready_i0__1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \sa_wm_awready_mux__3\ : in STD_LOGIC; aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter_5 : entity is "axi_crossbar_v2_1_14_splitter"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter_5; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter_5 is signal \^gen_axi.s_axi_awready_i_reg\ : STD_LOGIC; signal \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\ : STD_LOGIC; signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_awready_i_i_2\ : label is "soft_lutpair194"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[2]_i_1\ : label is "soft_lutpair197"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[3]_i_2\ : label is "soft_lutpair197"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[10]_i_1\ : label is "soft_lutpair193"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[11]_i_2\ : label is "soft_lutpair193"; attribute SOFT_HLUTNM of \gen_master_slots[2].w_issuing_cnt[18]_i_1\ : label is "soft_lutpair195"; attribute SOFT_HLUTNM of \gen_master_slots[2].w_issuing_cnt[19]_i_2\ : label is "soft_lutpair195"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[26]_i_1\ : label is "soft_lutpair196"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[27]_i_2\ : label is "soft_lutpair196"; attribute SOFT_HLUTNM of \m_ready_d[1]_i_1\ : label is "soft_lutpair194"; begin \gen_axi.s_axi_awready_i_reg\ <= \^gen_axi.s_axi_awready_i_reg\; m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \gen_axi.s_axi_awready_i_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_ready_d\(1), I1 => aa_sa_awvalid, O => \^gen_axi.s_axi_awready_i_reg\ ); \gen_master_slots[0].w_issuing_cnt[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(0), I1 => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\, I2 => w_issuing_cnt(1), O => \gen_master_slots[0].w_issuing_cnt_reg[3]\(0) ); \gen_master_slots[0].w_issuing_cnt[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\, I1 => w_issuing_cnt(0), I2 => w_issuing_cnt(1), I3 => w_issuing_cnt(2), O => \gen_master_slots[0].w_issuing_cnt_reg[3]\(1) ); \gen_master_slots[0].w_issuing_cnt[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(1), I1 => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\, I2 => w_issuing_cnt(0), I3 => w_issuing_cnt(3), I4 => w_issuing_cnt(2), O => \gen_master_slots[0].w_issuing_cnt_reg[3]\(2) ); \gen_master_slots[0].w_issuing_cnt[3]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(0), I2 => m_axi_awready(0), I3 => s_axi_bready(0), I4 => p_128_out, I5 => \chosen_reg[3]\(0), O => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\ ); \gen_master_slots[1].w_issuing_cnt[10]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\, I1 => w_issuing_cnt(4), I2 => w_issuing_cnt(5), I3 => w_issuing_cnt(6), O => D(1) ); \gen_master_slots[1].w_issuing_cnt[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(5), I1 => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\, I2 => w_issuing_cnt(4), I3 => w_issuing_cnt(7), I4 => w_issuing_cnt(6), O => D(2) ); \gen_master_slots[1].w_issuing_cnt[11]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(1), I2 => m_axi_awready(1), I3 => s_axi_bready(0), I4 => p_108_out, I5 => \chosen_reg[3]\(1), O => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\ ); \gen_master_slots[1].w_issuing_cnt[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(4), I1 => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\, I2 => w_issuing_cnt(5), O => D(0) ); \gen_master_slots[2].w_issuing_cnt[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(8), I1 => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\, I2 => w_issuing_cnt(9), O => \gen_master_slots[2].w_issuing_cnt_reg[19]\(0) ); \gen_master_slots[2].w_issuing_cnt[18]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\, I1 => w_issuing_cnt(8), I2 => w_issuing_cnt(9), I3 => w_issuing_cnt(10), O => \gen_master_slots[2].w_issuing_cnt_reg[19]\(1) ); \gen_master_slots[2].w_issuing_cnt[19]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(9), I1 => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\, I2 => w_issuing_cnt(8), I3 => w_issuing_cnt(11), I4 => w_issuing_cnt(10), O => \gen_master_slots[2].w_issuing_cnt_reg[19]\(2) ); \gen_master_slots[2].w_issuing_cnt[19]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(2), I2 => m_axi_awready(2), I3 => s_axi_bready(0), I4 => p_88_out, I5 => \chosen_reg[3]\(2), O => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\ ); \gen_master_slots[3].w_issuing_cnt[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(12), I1 => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\, I2 => w_issuing_cnt(13), O => \gen_master_slots[3].w_issuing_cnt_reg[27]\(0) ); \gen_master_slots[3].w_issuing_cnt[26]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\, I1 => w_issuing_cnt(12), I2 => w_issuing_cnt(13), I3 => w_issuing_cnt(14), O => \gen_master_slots[3].w_issuing_cnt_reg[27]\(1) ); \gen_master_slots[3].w_issuing_cnt[27]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(13), I1 => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\, I2 => w_issuing_cnt(12), I3 => w_issuing_cnt(15), I4 => w_issuing_cnt(14), O => \gen_master_slots[3].w_issuing_cnt_reg[27]\(2) ); \gen_master_slots[3].w_issuing_cnt[27]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(3), I2 => m_axi_awready(3), I3 => s_axi_bready(0), I4 => p_68_out, I5 => \chosen_reg[3]\(3), O => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\ ); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000C0008000C0000" ) port map ( I0 => aa_sa_awvalid, I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => \mi_awready_mux__3\, I4 => \^m_ready_d\(0), I5 => \sa_wm_awready_mux__3\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000C8C0" ) port map ( I0 => aa_sa_awvalid, I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => \mi_awready_mux__3\, I4 => \s_ready_i0__1\(0), O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[0]_i_1_n_0\, Q => \^m_ready_d\(0), R => '0' ); \m_ready_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[1]_i_1_n_0\, Q => \^m_ready_d\(1), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ is port ( \storage_data1_reg[0]\ : out STD_LOGIC; push : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ); fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); out0 : in STD_LOGIC_VECTOR ( 0 to 0 ); load_s1 : in STD_LOGIC; m_select_enc : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ : entity is "axi_data_fifo_v2_1_12_ndeep_srl"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ is signal \gen_primitive_shifter.gen_srls[0].srl_inst_n_0\ : STD_LOGIC; signal \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls "; attribute srl_name : string; attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; begin \gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000", IS_CLK_INVERTED => '0' ) port map ( A(4 downto 3) => B"00", A(2 downto 0) => fifoaddr(2 downto 0), CE => push, CLK => aclk, D => D(0), Q => \gen_primitive_shifter.gen_srls[0].srl_inst_n_0\, Q31 => \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ ); \storage_data1[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0EEFFFFF0EE0000" ) port map ( I0 => \s_axi_awaddr[30]\(1), I1 => \s_axi_awaddr[30]\(0), I2 => \gen_primitive_shifter.gen_srls[0].srl_inst_n_0\, I3 => out0(0), I4 => load_s1, I5 => m_select_enc(0), O => \storage_data1_reg[0]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ is port ( \storage_data1_reg[1]\ : out STD_LOGIC; push : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ); fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); out0 : in STD_LOGIC_VECTOR ( 0 to 0 ); load_s1 : in STD_LOGIC; m_select_enc : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ : entity is "axi_data_fifo_v2_1_12_ndeep_srl"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ is signal p_2_out : STD_LOGIC; signal \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls "; attribute srl_name : string; attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; begin \gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000", IS_CLK_INVERTED => '0' ) port map ( A(4 downto 3) => B"00", A(2 downto 0) => fifoaddr(2 downto 0), CE => push, CLK => aclk, D => D(0), Q => p_2_out, Q31 => \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ ); \storage_data1[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0EEFFFFF0EE0000" ) port map ( I0 => \s_axi_awaddr[30]\(1), I1 => \s_axi_awaddr[30]\(0), I2 => p_2_out, I3 => out0(0), I4 => load_s1, I5 => m_select_enc(0), O => \storage_data1_reg[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ is port ( push : out STD_LOGIC; \storage_data1_reg[2]\ : out STD_LOGIC; \m_aready__1\ : out STD_LOGIC; \m_aready0__3\ : out STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ); fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; match : in STD_LOGIC; out0 : in STD_LOGIC_VECTOR ( 1 downto 0 ); load_s1 : in STD_LOGIC; m_select_enc : in STD_LOGIC_VECTOR ( 2 downto 0 ); ss_wr_awready : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_avalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_22_in : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ : entity is "axi_data_fifo_v2_1_12_ndeep_srl"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ is signal \^m_aready0__3\ : STD_LOGIC; signal \^m_aready__1\ : STD_LOGIC; signal p_3_out : STD_LOGIC; signal \^push\ : STD_LOGIC; signal \s_axi_wready[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[2].srl_nx1/gen_primitive_shifter.gen_srls "; attribute srl_name : string; attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[2].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; begin \m_aready0__3\ <= \^m_aready0__3\; \m_aready__1\ <= \^m_aready__1\; push <= \^push\; \gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000", IS_CLK_INVERTED => '0' ) port map ( A(4 downto 3) => B"00", A(2 downto 0) => fifoaddr(2 downto 0), CE => \^push\, CLK => aclk, D => D(0), Q => p_3_out, Q31 => \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ ); \gen_primitive_shifter.gen_srls[0].srl_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0088000000F80000" ) port map ( I0 => ss_wr_awready, I1 => out0(0), I2 => out0(1), I3 => m_ready_d(0), I4 => s_axi_awvalid(0), I5 => \^m_aready__1\, O => \^push\ ); \m_valid_i_i_1__8\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => s_axi_wlast(0), I1 => m_avalid, I2 => s_axi_wvalid(0), I3 => \^m_aready0__3\, O => \^m_aready__1\ ); \s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAFEAAAAAAAEA" ) port map ( I0 => \s_axi_wready[0]_INST_0_i_2_n_0\, I1 => m_axi_wready(1), I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), I5 => m_axi_wready(2), O => \^m_aready0__3\ ); \s_axi_wready[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0000CA000000CA" ) port map ( I0 => m_axi_wready(0), I1 => p_22_in, I2 => m_select_enc(2), I3 => m_select_enc(1), I4 => m_select_enc(0), I5 => m_axi_wready(3), O => \s_axi_wready[0]_INST_0_i_2_n_0\ ); \storage_data1[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"C5FFC500" ) port map ( I0 => match, I1 => p_3_out, I2 => out0(0), I3 => load_s1, I4 => m_select_enc(2), O => \storage_data1_reg[2]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( \m_payload_i_reg[2]_0\ : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; mi_bready_4 : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; p_29_in : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\ : STD_LOGIC; signal \^m_payload_i_reg[2]_0\ : STD_LOGIC; signal \m_valid_i_i_1__0_n_0\ : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^mi_bready_4\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; begin \m_payload_i_reg[2]_0\ <= \^m_payload_i_reg[2]_0\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; mi_bready_4 <= \^mi_bready_4\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \aresetn_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]\, Q => \^s_ready_i_reg_0\, R => '0' ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[2]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\ ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => p_29_in, I1 => \^mi_bready_4\, I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[2]_0\, I4 => Q(0), O => \m_valid_i_i_1__0_n_0\ ); \m_valid_i_i_1__9\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^s_ready_i_reg_0\, O => \^m_valid_i_reg_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__0_n_0\, Q => \^m_payload_i_reg[2]_0\, R => \^m_valid_i_reg_0\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[2]_0\, I1 => p_29_in, I2 => s_axi_bready(0), I3 => Q(0), I4 => \^s_ready_i_reg_0\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^mi_bready_4\, R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; \m_axi_bid[35]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC; signal \s_ready_i_i_1__3_n_0\ : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \m_payload_i[13]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => \m_valid_i_i_1__2_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__2_n_0\, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_0\, O => \s_ready_i_i_1__3_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__3_n_0\, Q => \^m_axi_bready\(0), R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; \m_axi_bid[23]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal m_valid_i_i_1_n_0 : STD_LOGIC; signal \s_ready_i_i_1__1_n_0\ : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => m_valid_i_i_1_n_0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i_i_1_n_0, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_0\, O => \s_ready_i_i_1__1_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__1_n_0\, Q => \^m_axi_bready\(0), R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[2]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; p_108_out : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal m_valid_i_i_2_n_0 : STD_LOGIC; signal \s_ready_i_i_1__0_n_0\ : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \last_rr_hot[2]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => p_108_out, O => \chosen_reg[2]\ ); \m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); m_valid_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => m_valid_i_i_2_n_0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i_i_2_n_0, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_0\, O => \s_ready_i_i_1__0_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__0_n_0\, Q => \^m_axi_bready\(0), R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : out STD_LOGIC; \chosen_reg[4]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC; aresetn : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_1\ : in STD_LOGIC; p_88_out : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^p_1_in\ : STD_LOGIC; signal s_ready_i_i_2_n_0 : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; p_1_in <= \^p_1_in\; \aresetn_d[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => p_0_in(1), I1 => aresetn, O => \aresetn_d_reg[1]\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => p_0_in(1), R => '0' ); \last_rr_hot[4]_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => p_88_out, O => \chosen_reg[4]\ ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => \m_valid_i_i_1__1_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__1_n_0\, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]_0\ ); s_ready_i_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => p_0_in(1), O => \^p_1_in\ ); s_ready_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_1\, O => s_ready_i_i_2_n_0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i_i_2_n_0, Q => \^m_axi_bready\(0), R => \^p_1_in\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \skid_buffer_reg[34]_0\ : out STD_LOGIC; \r_cmd_pop_4__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_23_in : in STD_LOGIC; \gen_axi.s_axi_rid_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); p_25_in : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 12 downto 0 ); signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \s_ready_i_i_1__6_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 34 ); signal \^skid_buffer_reg[34]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__3\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__3\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__3\ : label is "soft_lutpair118"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__3\ : label is "soft_lutpair118"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__3\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__3\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__3\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__3\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__3\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__3\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__3\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__3\ : label is "soft_lutpair114"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0); m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \skid_buffer_reg[34]_0\ <= \^skid_buffer_reg[34]_0\; \gen_master_slots[4].r_issuing_cnt[32]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), I1 => \chosen_reg[4]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \r_cmd_pop_4__1\ ); \m_payload_i[34]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => p_25_in, I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(0), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(1), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(2), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(3), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(4), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[40]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(5), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(6), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(7), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(8), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(9), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(10), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(11), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_valid_i_i_1__5\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^skid_buffer_reg[34]_0\, I1 => p_23_in, I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[4]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__6\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[4]\(0), I3 => \^skid_buffer_reg[34]_0\, I4 => p_23_in, O => \s_ready_i_i_1__6_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__6_n_0\, Q => \^skid_buffer_reg[34]_0\, R => p_1_in ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => p_25_in, Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[3]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_3__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[4]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].r_issuing_cnt_reg[27]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_39_in : in STD_LOGIC; p_82_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \chosen_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \^m_axi_rready[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_3__1\ : STD_LOGIC; signal \s_ready_i_i_1__7_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[27]_i_3\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \last_rr_hot[4]_i_3\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__7\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__2\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__2\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__2\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__2\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__2\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__2\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__2\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__2\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__2\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__2\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__2\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__2\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__2\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__2\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__2\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair112"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__2\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__2\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__2\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__2\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__2\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__2\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__2\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair112"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair110"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[3]\ <= \^m_axi_rready[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_3__1\ <= \^r_cmd_pop_3__1\; \gen_master_slots[3].r_issuing_cnt[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(1), I1 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(2), I2 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(0), I3 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(3), I4 => \^r_cmd_pop_3__1\, I5 => p_39_in, O => E(0) ); \gen_master_slots[3].r_issuing_cnt[27]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[3]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_3__1\ ); \gen_no_arbiter.s_ready_i[0]_i_38__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_3__1\, I1 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(0), I2 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(3), I3 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(1), I4 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(2), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \last_rr_hot[4]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => p_82_out, O => \chosen_reg[4]\ ); \m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__7\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__6\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[3]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[3]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__7\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[3]\(0), I3 => \^m_axi_rready[3]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__7_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__7_n_0\, Q => \^m_axi_rready[3]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[2]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_2__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].r_issuing_cnt_reg[19]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_57_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \gen_master_slots[3].r_issuing_cnt_reg[24]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \chosen_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_37__0_n_0\ : STD_LOGIC; signal \^m_axi_rready[2]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_2__1\ : STD_LOGIC; signal \s_ready_i_i_1__8_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__6\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair85"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[2]\ <= \^m_axi_rready[2]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_2__1\ <= \^r_cmd_pop_2__1\; \gen_master_slots[2].r_issuing_cnt[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(1), I1 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(2), I2 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(0), I3 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(3), I4 => \^r_cmd_pop_2__1\, I5 => p_57_in, O => E(0) ); \gen_master_slots[2].r_issuing_cnt[19]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[2]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_2__1\ ); \gen_no_arbiter.s_ready_i[0]_i_26__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ECA0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_37__0_n_0\, I1 => \gen_master_slots[3].r_issuing_cnt_reg[24]\, I2 => D(0), I3 => D(1), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \gen_no_arbiter.s_ready_i[0]_i_37__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_2__1\, I1 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(0), I2 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(3), I3 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(1), I4 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(2), O => \gen_no_arbiter.s_ready_i[0]_i_37__0_n_0\ ); \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__6\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__7\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[2]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[2]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__8\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[2]\(0), I3 => \^m_axi_rready[2]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__8_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__8_n_0\, Q => \^m_axi_rready[2]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[1]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_1__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[1].r_issuing_cnt_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_75_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRESS_HIT_0 : in STD_LOGIC; \gen_master_slots[0].r_issuing_cnt_reg[0]\ : in STD_LOGIC; \chosen_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_35__0_n_0\ : STD_LOGIC; signal \^m_axi_rready[1]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_1__1\ : STD_LOGIC; signal \s_ready_i_i_1__5_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__5\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__0\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__0\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__0\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__0\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__0\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair62"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[1]\ <= \^m_axi_rready[1]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_1__1\ <= \^r_cmd_pop_1__1\; \gen_master_slots[1].r_issuing_cnt[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(1), I1 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(2), I2 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(0), I3 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(3), I4 => \^r_cmd_pop_1__1\, I5 => p_75_in, O => E(0) ); \gen_master_slots[1].r_issuing_cnt[11]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[1]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_1__1\ ); \gen_no_arbiter.s_ready_i[0]_i_25__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_35__0_n_0\, I1 => D(0), I2 => ADDRESS_HIT_0, I3 => \gen_master_slots[0].r_issuing_cnt_reg[0]\, O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \gen_no_arbiter.s_ready_i[0]_i_35__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_1__1\, I1 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(0), I2 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(3), I3 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(1), I4 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(2), O => \gen_no_arbiter.s_ready_i[0]_i_35__0_n_0\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[1]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[1]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__5\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[1]\(0), I3 => \^m_axi_rready[1]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__5_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__5_n_0\, Q => \^m_axi_rready[1]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[0]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_0__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[2]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].r_issuing_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_93_in : in STD_LOGIC; p_102_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \chosen_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \^m_axi_rready[0]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_0__1\ : STD_LOGIC; signal \s_ready_i_i_1__4_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[3]_i_3\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \last_rr_hot[2]_i_2\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__4\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair40"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[0]\ <= \^m_axi_rready[0]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_0__1\ <= \^r_cmd_pop_0__1\; \gen_master_slots[0].r_issuing_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(1), I1 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(2), I2 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(0), I3 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(3), I4 => \^r_cmd_pop_0__1\, I5 => p_93_in, O => E(0) ); \gen_master_slots[0].r_issuing_cnt[3]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[0]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_0__1\ ); \gen_no_arbiter.s_ready_i[0]_i_36__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_0__1\, I1 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(0), I2 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(3), I3 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(1), I4 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(2), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \last_rr_hot[2]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => p_102_out, O => \chosen_reg[2]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[0]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[0]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[0]\(0), I3 => \^m_axi_rready[0]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__4_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__4_n_0\, Q => \^m_axi_rready[0]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc is port ( \s_axi_rid[0]\ : out STD_LOGIC; \s_axi_rid[1]\ : out STD_LOGIC; \s_axi_rid[2]\ : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC; \s_axi_rid[4]\ : out STD_LOGIC; \s_axi_rid[5]\ : out STD_LOGIC; \s_axi_rid[6]\ : out STD_LOGIC; \s_axi_rid[7]\ : out STD_LOGIC; \s_axi_rid[8]\ : out STD_LOGIC; \s_axi_rid[9]\ : out STD_LOGIC; \s_axi_rid[10]\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC; s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.accept_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); resp_select : in STD_LOGIC_VECTOR ( 0 to 0 ); f_mux4_return : in STD_LOGIC_VECTOR ( 46 downto 0 ); st_mr_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); \m_payload_i_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_0 : in STD_LOGIC; \thread_valid_0__2\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_3 : in STD_LOGIC; \thread_valid_3__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_4 : in STD_LOGIC; \thread_valid_4__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_7 : in STD_LOGIC; \thread_valid_7__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_6 : in STD_LOGIC; \thread_valid_6__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_5 : in STD_LOGIC; \thread_valid_5__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_2 : in STD_LOGIC; \thread_valid_2__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_1 : in STD_LOGIC; \thread_valid_1__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[0]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc is signal \any_pop__1\ : STD_LOGIC; signal \^s_axi_rid[0]\ : STD_LOGIC; signal \^s_axi_rid[10]\ : STD_LOGIC; signal \^s_axi_rid[11]\ : STD_LOGIC; signal \^s_axi_rid[1]\ : STD_LOGIC; signal \^s_axi_rid[2]\ : STD_LOGIC; signal \^s_axi_rid[3]\ : STD_LOGIC; signal \^s_axi_rid[4]\ : STD_LOGIC; signal \^s_axi_rid[5]\ : STD_LOGIC; signal \^s_axi_rid[6]\ : STD_LOGIC; signal \^s_axi_rid[7]\ : STD_LOGIC; signal \^s_axi_rid[8]\ : STD_LOGIC; signal \^s_axi_rid[9]\ : STD_LOGIC; signal \^s_axi_rlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[0].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[10].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[11].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[12].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[13].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[15].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[16].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[17].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[18].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[19].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[1].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[20].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[21].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[22].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[23].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[24].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[25].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[26].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[27].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[28].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[29].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[2].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[30].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[31].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[32].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[33].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[34].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[35].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[36].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[37].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[38].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[39].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[3].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[40].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[41].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[42].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[43].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[44].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[45].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[46].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[47].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[4].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[5].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[6].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[7].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[8].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[9].mux_s2_inst\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[1]_i_1__0\ : label is "soft_lutpair127"; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[2]_i_1__0\ : label is "soft_lutpair127"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0\ : label is "soft_lutpair128"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_34__0\ : label is "soft_lutpair128"; begin \s_axi_rid[0]\ <= \^s_axi_rid[0]\; \s_axi_rid[10]\ <= \^s_axi_rid[10]\; \s_axi_rid[11]\ <= \^s_axi_rid[11]\; \s_axi_rid[1]\ <= \^s_axi_rid[1]\; \s_axi_rid[2]\ <= \^s_axi_rid[2]\; \s_axi_rid[3]\ <= \^s_axi_rid[3]\; \s_axi_rid[4]\ <= \^s_axi_rid[4]\; \s_axi_rid[5]\ <= \^s_axi_rid[5]\; \s_axi_rid[6]\ <= \^s_axi_rid[6]\; \s_axi_rid[7]\ <= \^s_axi_rid[7]\; \s_axi_rid[8]\ <= \^s_axi_rid[8]\; \s_axi_rid[9]\ <= \^s_axi_rid[9]\; s_axi_rlast(0) <= \^s_axi_rlast\(0); \gen_fpga.gen_mux_5_8[0].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(0), I1 => st_mr_rid(0), O => \^s_axi_rid[0]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(10), I1 => st_mr_rid(10), O => \^s_axi_rid[10]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(11), I1 => st_mr_rid(11), O => \^s_axi_rid[11]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(12), I1 => '1', O => s_axi_rresp(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(13), I1 => '1', O => s_axi_rresp(1), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[15].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(14), I1 => '0', O => s_axi_rdata(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[16].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(15), I1 => '0', O => s_axi_rdata(1), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[17].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(16), I1 => '0', O => s_axi_rdata(2), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[18].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(17), I1 => '0', O => s_axi_rdata(3), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[19].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(18), I1 => '0', O => s_axi_rdata(4), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(1), I1 => st_mr_rid(1), O => \^s_axi_rid[1]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[20].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(19), I1 => '0', O => s_axi_rdata(5), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[21].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(20), I1 => '0', O => s_axi_rdata(6), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[22].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(21), I1 => '0', O => s_axi_rdata(7), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[23].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(22), I1 => '0', O => s_axi_rdata(8), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[24].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(23), I1 => '0', O => s_axi_rdata(9), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[25].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(24), I1 => '0', O => s_axi_rdata(10), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[26].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(25), I1 => '0', O => s_axi_rdata(11), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[27].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(26), I1 => '0', O => s_axi_rdata(12), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[28].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(27), I1 => '0', O => s_axi_rdata(13), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[29].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(28), I1 => '0', O => s_axi_rdata(14), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(2), I1 => st_mr_rid(2), O => \^s_axi_rid[2]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[30].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(29), I1 => '0', O => s_axi_rdata(15), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[31].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(30), I1 => '0', O => s_axi_rdata(16), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[32].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(31), I1 => '0', O => s_axi_rdata(17), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[33].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(32), I1 => '0', O => s_axi_rdata(18), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[34].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(33), I1 => '0', O => s_axi_rdata(19), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[35].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(34), I1 => '0', O => s_axi_rdata(20), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[36].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(35), I1 => '0', O => s_axi_rdata(21), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[37].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(36), I1 => '0', O => s_axi_rdata(22), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[38].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(37), I1 => '0', O => s_axi_rdata(23), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[39].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(38), I1 => '0', O => s_axi_rdata(24), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(3), I1 => st_mr_rid(3), O => \^s_axi_rid[3]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[40].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(39), I1 => '0', O => s_axi_rdata(25), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[41].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(40), I1 => '0', O => s_axi_rdata(26), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[42].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(41), I1 => '0', O => s_axi_rdata(27), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[43].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(42), I1 => '0', O => s_axi_rdata(28), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[44].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(43), I1 => '0', O => s_axi_rdata(29), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[45].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(44), I1 => '0', O => s_axi_rdata(30), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[46].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(45), I1 => '0', O => s_axi_rdata(31), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[47].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(46), I1 => \m_payload_i_reg[34]\(0), O => \^s_axi_rlast\(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(4), I1 => st_mr_rid(4), O => \^s_axi_rid[4]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(5), I1 => st_mr_rid(5), O => \^s_axi_rid[5]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(6), I1 => st_mr_rid(6), O => \^s_axi_rid[6]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(7), I1 => st_mr_rid(7), O => \^s_axi_rid[7]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(8), I1 => st_mr_rid(8), O => \^s_axi_rid[8]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(9), I1 => st_mr_rid(9), O => \^s_axi_rid[9]\, S => resp_select(0) ); \gen_multi_thread.accept_cnt[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9A65" ) port map ( I0 => Q(0), I1 => \any_pop__1\, I2 => S_AXI_ARREADY(0), I3 => Q(1), O => D(0) ); \gen_multi_thread.accept_cnt[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"DFF2200D" ) port map ( I0 => S_AXI_ARREADY(0), I1 => \any_pop__1\, I2 => Q(0), I3 => Q(1), I4 => Q(2), O => D(1) ); \gen_multi_thread.accept_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => Q(1), I1 => Q(2), I2 => Q(0), I3 => Q(3), I4 => \any_pop__1\, I5 => S_AXI_ARREADY(0), O => \gen_multi_thread.accept_cnt_reg[3]\(0) ); \gen_multi_thread.accept_cnt[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F7FF0800FFAE0051" ) port map ( I0 => Q(1), I1 => S_AXI_ARREADY(0), I2 => \any_pop__1\, I3 => Q(0), I4 => Q(3), I5 => Q(2), O => D(2) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_0, I1 => \any_pop__1\, I2 => \thread_valid_0__2\, I3 => CO(0), O => E(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_1, I1 => \any_pop__1\, I2 => \thread_valid_1__2\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0), O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_2, I1 => \any_pop__1\, I2 => \thread_valid_2__2\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0), O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_3, I1 => \any_pop__1\, I2 => \thread_valid_3__2\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0), O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_4, I1 => \any_pop__1\, I2 => \thread_valid_4__2\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0), O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_5, I1 => \any_pop__1\, I2 => \thread_valid_5__2\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0), O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_6, I1 => \any_pop__1\, I2 => \thread_valid_6__2\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0), O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_7, I1 => \any_pop__1\, I2 => \thread_valid_7__2\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0), O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => s_axi_rready(0), I1 => \^s_axi_rlast\(0), I2 => \chosen_reg[0]\, O => \any_pop__1\ ); \gen_no_arbiter.s_ready_i[0]_i_34__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^s_axi_rlast\(0), I1 => s_axi_rready(0), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \i__carry_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(9), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11), I5 => \^s_axi_rid[11]\, O => S(3) ); \i__carry_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(6), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(8), I5 => \^s_axi_rid[8]\, O => S(2) ); \i__carry_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(3), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(5), I5 => \^s_axi_rid[5]\, O => S(1) ); \i__carry_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(0), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(2), I5 => \^s_axi_rid[2]\, O => S(0) ); p_10_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(9), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) ); p_10_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(6), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) ); p_10_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(3), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) ); p_10_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(0), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) ); p_12_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) ); p_12_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) ); p_12_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) ); p_12_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) ); p_14_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(9), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) ); p_14_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(6), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) ); p_14_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(3), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) ); p_14_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(0), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) ); p_2_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(9), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) ); p_2_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(6), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) ); p_2_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(3), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) ); p_2_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(0), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) ); p_4_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(9), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) ); p_4_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(6), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) ); p_4_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(3), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) ); p_4_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(0), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) ); p_6_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(9), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) ); p_6_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(6), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) ); p_6_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(3), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) ); p_6_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(0), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) ); p_8_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(9), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) ); p_8_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(6), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) ); p_8_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(3), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) ); p_8_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(0), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ is port ( \s_axi_bid[0]\ : out STD_LOGIC; \s_axi_bid[1]\ : out STD_LOGIC; \s_axi_bid[2]\ : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC; \s_axi_bid[4]\ : out STD_LOGIC; \s_axi_bid[5]\ : out STD_LOGIC; \s_axi_bid[6]\ : out STD_LOGIC; \s_axi_bid[7]\ : out STD_LOGIC; \s_axi_bid[8]\ : out STD_LOGIC; \s_axi_bid[9]\ : out STD_LOGIC; \s_axi_bid[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); p_0_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.accept_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); resp_select : in STD_LOGIC_VECTOR ( 0 to 0 ); f_mux4_return : in STD_LOGIC_VECTOR ( 13 downto 0 ); st_mr_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); cmd_push_0 : in STD_LOGIC; \thread_valid_0__2\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_3 : in STD_LOGIC; \thread_valid_3__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_4 : in STD_LOGIC; \thread_valid_4__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_7 : in STD_LOGIC; \thread_valid_7__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_6 : in STD_LOGIC; \thread_valid_6__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_5 : in STD_LOGIC; \thread_valid_5__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_2 : in STD_LOGIC; \thread_valid_2__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_1 : in STD_LOGIC; \thread_valid_1__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_ready_d_reg[1]\ : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ : entity is "generic_baseblocks_v2_1_0_mux_enc"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ is signal \any_pop__1\ : STD_LOGIC; signal \^p_0_out\ : STD_LOGIC; signal \^s_axi_bid[0]\ : STD_LOGIC; signal \^s_axi_bid[10]\ : STD_LOGIC; signal \^s_axi_bid[11]\ : STD_LOGIC; signal \^s_axi_bid[1]\ : STD_LOGIC; signal \^s_axi_bid[2]\ : STD_LOGIC; signal \^s_axi_bid[3]\ : STD_LOGIC; signal \^s_axi_bid[4]\ : STD_LOGIC; signal \^s_axi_bid[5]\ : STD_LOGIC; signal \^s_axi_bid[6]\ : STD_LOGIC; signal \^s_axi_bid[7]\ : STD_LOGIC; signal \^s_axi_bid[8]\ : STD_LOGIC; signal \^s_axi_bid[9]\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[0].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[10].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[11].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[12].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[13].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[15].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[1].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[2].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[3].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[4].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[5].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[6].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[7].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[8].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[9].mux_s2_inst\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[1]_i_1\ : label is "soft_lutpair162"; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[2]_i_1\ : label is "soft_lutpair162"; begin p_0_out <= \^p_0_out\; \s_axi_bid[0]\ <= \^s_axi_bid[0]\; \s_axi_bid[10]\ <= \^s_axi_bid[10]\; \s_axi_bid[11]\ <= \^s_axi_bid[11]\; \s_axi_bid[1]\ <= \^s_axi_bid[1]\; \s_axi_bid[2]\ <= \^s_axi_bid[2]\; \s_axi_bid[3]\ <= \^s_axi_bid[3]\; \s_axi_bid[4]\ <= \^s_axi_bid[4]\; \s_axi_bid[5]\ <= \^s_axi_bid[5]\; \s_axi_bid[6]\ <= \^s_axi_bid[6]\; \s_axi_bid[7]\ <= \^s_axi_bid[7]\; \s_axi_bid[8]\ <= \^s_axi_bid[8]\; \s_axi_bid[9]\ <= \^s_axi_bid[9]\; \gen_fpga.gen_mux_5_8[0].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(0), I1 => st_mr_bid(0), O => \^s_axi_bid[0]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(10), I1 => st_mr_bid(10), O => \^s_axi_bid[10]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(11), I1 => st_mr_bid(11), O => \^s_axi_bid[11]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(12), I1 => '1', O => s_axi_bresp(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(13), I1 => '1', O => s_axi_bresp(1), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[15].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => '1', I1 => '1', O => \^p_0_out\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(1), I1 => st_mr_bid(1), O => \^s_axi_bid[1]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(2), I1 => st_mr_bid(2), O => \^s_axi_bid[2]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(3), I1 => st_mr_bid(3), O => \^s_axi_bid[3]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(4), I1 => st_mr_bid(4), O => \^s_axi_bid[4]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(5), I1 => st_mr_bid(5), O => \^s_axi_bid[5]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(6), I1 => st_mr_bid(6), O => \^s_axi_bid[6]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(7), I1 => st_mr_bid(7), O => \^s_axi_bid[7]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(8), I1 => st_mr_bid(8), O => \^s_axi_bid[8]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(9), I1 => st_mr_bid(9), O => \^s_axi_bid[9]\, S => resp_select(0) ); \gen_multi_thread.accept_cnt[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9A65" ) port map ( I0 => Q(0), I1 => \any_pop__1\, I2 => \m_ready_d_reg[1]\, I3 => Q(1), O => D(0) ); \gen_multi_thread.accept_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"DFF2200D" ) port map ( I0 => \m_ready_d_reg[1]\, I1 => \any_pop__1\, I2 => Q(0), I3 => Q(1), I4 => Q(2), O => D(1) ); \gen_multi_thread.accept_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => Q(1), I1 => Q(2), I2 => Q(0), I3 => Q(3), I4 => \any_pop__1\, I5 => \m_ready_d_reg[1]\, O => \gen_multi_thread.accept_cnt_reg[3]\(0) ); \gen_multi_thread.accept_cnt[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F7FF0800FFAE0051" ) port map ( I0 => Q(1), I1 => \m_ready_d_reg[1]\, I2 => \any_pop__1\, I3 => Q(0), I4 => Q(3), I5 => Q(2), O => D(2) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_0, I1 => \any_pop__1\, I2 => \thread_valid_0__2\, I3 => CO(0), O => E(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_1, I1 => \any_pop__1\, I2 => \thread_valid_1__2\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0), O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_2, I1 => \any_pop__1\, I2 => \thread_valid_2__2\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0), O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_3, I1 => \any_pop__1\, I2 => \thread_valid_3__2\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0), O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_4, I1 => \any_pop__1\, I2 => \thread_valid_4__2\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0), O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_5, I1 => \any_pop__1\, I2 => \thread_valid_5__2\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0), O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_6, I1 => \any_pop__1\, I2 => \thread_valid_6__2\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0), O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_7, I1 => \any_pop__1\, I2 => \thread_valid_7__2\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0), O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => s_axi_bready(0), I1 => \^p_0_out\, I2 => m_valid_i_reg, O => \any_pop__1\ ); \i__carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(9), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11), I5 => \^s_axi_bid[11]\, O => S(3) ); \i__carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(6), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(8), I5 => \^s_axi_bid[8]\, O => S(2) ); \i__carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(3), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(5), I5 => \^s_axi_bid[5]\, O => S(1) ); \i__carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(0), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(2), I5 => \^s_axi_bid[2]\, O => S(0) ); \p_10_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(9), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) ); \p_10_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(6), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) ); \p_10_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(3), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) ); \p_10_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(0), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) ); \p_12_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) ); \p_12_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) ); \p_12_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) ); \p_12_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) ); \p_14_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(9), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) ); \p_14_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(6), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) ); \p_14_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(3), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) ); \p_14_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(0), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) ); \p_2_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(9), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) ); \p_2_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(6), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) ); \p_2_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(3), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) ); \p_2_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(0), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) ); \p_4_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(9), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) ); \p_4_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(6), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) ); \p_4_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(3), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) ); \p_4_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(0), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) ); \p_6_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(9), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) ); \p_6_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(6), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) ); \p_6_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(3), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) ); \p_6_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(0), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) ); \p_8_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(9), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) ); \p_8_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(6), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) ); \p_8_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(3), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) ); \p_8_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(0), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor is port ( \s_axi_rid[0]\ : out STD_LOGIC; \s_axi_rid[1]\ : out STD_LOGIC; \s_axi_rid[2]\ : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC; \s_axi_rid[4]\ : out STD_LOGIC; \s_axi_rid[5]\ : out STD_LOGIC; \s_axi_rid[6]\ : out STD_LOGIC; \s_axi_rid[7]\ : out STD_LOGIC; \s_axi_rid[8]\ : out STD_LOGIC; \s_axi_rid[9]\ : out STD_LOGIC; \s_axi_rid[10]\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC; s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); \s_axi_rvalid[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 ); st_mr_rid : in STD_LOGIC_VECTOR ( 59 downto 0 ); \m_payload_i_reg[34]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; aa_mi_arvalid : in STD_LOGIC; \gen_master_slots[1].r_issuing_cnt_reg[8]\ : in STD_LOGIC; \gen_master_slots[2].r_issuing_cnt_reg[16]\ : in STD_LOGIC; \r_cmd_pop_4__1\ : in STD_LOGIC; match : in STD_LOGIC; r_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRESS_HIT_0 : in STD_LOGIC; \s_axi_araddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_122_out : in STD_LOGIC; st_mr_rmesg : in STD_LOGIC_VECTOR ( 135 downto 0 ); \m_payload_i_reg[34]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_4\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_62_out : in STD_LOGIC; p_102_out : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); p_40_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; p_82_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; \s_axi_arid[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor is signal \^d\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \accum_push_5__0\ : STD_LOGIC; signal active_cnt : STD_LOGIC_VECTOR ( 59 downto 0 ); signal active_target : STD_LOGIC_VECTOR ( 58 downto 0 ); signal aid_match_00 : STD_LOGIC; signal aid_match_00_carry_i_1_n_0 : STD_LOGIC; signal aid_match_00_carry_i_2_n_0 : STD_LOGIC; signal aid_match_00_carry_i_3_n_0 : STD_LOGIC; signal aid_match_00_carry_i_4_n_0 : STD_LOGIC; signal aid_match_00_carry_n_1 : STD_LOGIC; signal aid_match_00_carry_n_2 : STD_LOGIC; signal aid_match_00_carry_n_3 : STD_LOGIC; signal \aid_match_0__0\ : STD_LOGIC; signal aid_match_10 : STD_LOGIC; signal aid_match_10_carry_i_1_n_0 : STD_LOGIC; signal aid_match_10_carry_i_2_n_0 : STD_LOGIC; signal aid_match_10_carry_i_3_n_0 : STD_LOGIC; signal aid_match_10_carry_i_4_n_0 : STD_LOGIC; signal aid_match_10_carry_n_1 : STD_LOGIC; signal aid_match_10_carry_n_2 : STD_LOGIC; signal aid_match_10_carry_n_3 : STD_LOGIC; signal \aid_match_1__0\ : STD_LOGIC; signal aid_match_20 : STD_LOGIC; signal aid_match_20_carry_i_1_n_0 : STD_LOGIC; signal aid_match_20_carry_i_2_n_0 : STD_LOGIC; signal aid_match_20_carry_i_3_n_0 : STD_LOGIC; signal aid_match_20_carry_i_4_n_0 : STD_LOGIC; signal aid_match_20_carry_n_1 : STD_LOGIC; signal aid_match_20_carry_n_2 : STD_LOGIC; signal aid_match_20_carry_n_3 : STD_LOGIC; signal \aid_match_2__0\ : STD_LOGIC; signal aid_match_30 : STD_LOGIC; signal aid_match_30_carry_i_1_n_0 : STD_LOGIC; signal aid_match_30_carry_i_2_n_0 : STD_LOGIC; signal aid_match_30_carry_i_3_n_0 : STD_LOGIC; signal aid_match_30_carry_i_4_n_0 : STD_LOGIC; signal aid_match_30_carry_n_1 : STD_LOGIC; signal aid_match_30_carry_n_2 : STD_LOGIC; signal aid_match_30_carry_n_3 : STD_LOGIC; signal \aid_match_3__0\ : STD_LOGIC; signal aid_match_40 : STD_LOGIC; signal aid_match_40_carry_i_1_n_0 : STD_LOGIC; signal aid_match_40_carry_i_2_n_0 : STD_LOGIC; signal aid_match_40_carry_i_3_n_0 : STD_LOGIC; signal aid_match_40_carry_i_4_n_0 : STD_LOGIC; signal aid_match_40_carry_n_1 : STD_LOGIC; signal aid_match_40_carry_n_2 : STD_LOGIC; signal aid_match_40_carry_n_3 : STD_LOGIC; signal \aid_match_4__0\ : STD_LOGIC; signal aid_match_50 : STD_LOGIC; signal aid_match_50_carry_i_1_n_0 : STD_LOGIC; signal aid_match_50_carry_i_2_n_0 : STD_LOGIC; signal aid_match_50_carry_i_3_n_0 : STD_LOGIC; signal aid_match_50_carry_i_4_n_0 : STD_LOGIC; signal aid_match_50_carry_n_1 : STD_LOGIC; signal aid_match_50_carry_n_2 : STD_LOGIC; signal aid_match_50_carry_n_3 : STD_LOGIC; signal \aid_match_5__0\ : STD_LOGIC; signal aid_match_60 : STD_LOGIC; signal aid_match_60_carry_i_1_n_0 : STD_LOGIC; signal aid_match_60_carry_i_2_n_0 : STD_LOGIC; signal aid_match_60_carry_i_3_n_0 : STD_LOGIC; signal aid_match_60_carry_i_4_n_0 : STD_LOGIC; signal aid_match_60_carry_n_1 : STD_LOGIC; signal aid_match_60_carry_n_2 : STD_LOGIC; signal aid_match_60_carry_n_3 : STD_LOGIC; signal \aid_match_6__0\ : STD_LOGIC; signal aid_match_70 : STD_LOGIC; signal aid_match_70_carry_i_1_n_0 : STD_LOGIC; signal aid_match_70_carry_i_2_n_0 : STD_LOGIC; signal aid_match_70_carry_i_3_n_0 : STD_LOGIC; signal aid_match_70_carry_i_4_n_0 : STD_LOGIC; signal aid_match_70_carry_n_1 : STD_LOGIC; signal aid_match_70_carry_n_2 : STD_LOGIC; signal aid_match_70_carry_n_3 : STD_LOGIC; signal \aid_match_7__0\ : STD_LOGIC; signal cmd_push_0 : STD_LOGIC; signal cmd_push_1 : STD_LOGIC; signal cmd_push_2 : STD_LOGIC; signal cmd_push_3 : STD_LOGIC; signal cmd_push_4 : STD_LOGIC; signal cmd_push_5 : STD_LOGIC; signal cmd_push_6 : STD_LOGIC; signal cmd_push_7 : STD_LOGIC; signal f_mux4_return : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \gen_multi_thread.accept_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.accept_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_47\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_48\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_49\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_50\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_51\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_52\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_53\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_54\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_55\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_56\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_57\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_58\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_59\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_60\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_61\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_62\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_63\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_64\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_65\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_66\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_67\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_68\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_69\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_70\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_71\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_72\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_73\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_74\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_75\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_76\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_77\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_78\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_79\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_80\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_81\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_82\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_83\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_84\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_85\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_86\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_87\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_88\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_89\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_90\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_91\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_11__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_17__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_18__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_20__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_21__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_22__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_23__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_27__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_28_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_30__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_31_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_33__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\ : STD_LOGIC; signal p_0_out : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_1\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_2\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_3\ : STD_LOGIC; signal p_10_out : STD_LOGIC; signal p_10_out_carry_n_1 : STD_LOGIC; signal p_10_out_carry_n_2 : STD_LOGIC; signal p_10_out_carry_n_3 : STD_LOGIC; signal p_12_out : STD_LOGIC; signal p_12_out_carry_n_1 : STD_LOGIC; signal p_12_out_carry_n_2 : STD_LOGIC; signal p_12_out_carry_n_3 : STD_LOGIC; signal p_14_out : STD_LOGIC; signal p_14_out_carry_n_1 : STD_LOGIC; signal p_14_out_carry_n_2 : STD_LOGIC; signal p_14_out_carry_n_3 : STD_LOGIC; signal p_2_out : STD_LOGIC; signal p_2_out_carry_n_1 : STD_LOGIC; signal p_2_out_carry_n_2 : STD_LOGIC; signal p_2_out_carry_n_3 : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_4_out_carry_n_1 : STD_LOGIC; signal p_4_out_carry_n_2 : STD_LOGIC; signal p_4_out_carry_n_3 : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_6_out_carry_n_1 : STD_LOGIC; signal p_6_out_carry_n_2 : STD_LOGIC; signal p_6_out_carry_n_3 : STD_LOGIC; signal p_8_out : STD_LOGIC; signal p_8_out_carry_n_1 : STD_LOGIC; signal p_8_out_carry_n_2 : STD_LOGIC; signal p_8_out_carry_n_3 : STD_LOGIC; signal resp_select : STD_LOGIC_VECTOR ( 2 to 2 ); signal \^s_axi_rvalid[0]\ : STD_LOGIC; signal \thread_valid_0__2\ : STD_LOGIC; signal \thread_valid_1__2\ : STD_LOGIC; signal \thread_valid_2__2\ : STD_LOGIC; signal \thread_valid_3__2\ : STD_LOGIC; signal \thread_valid_4__2\ : STD_LOGIC; signal \thread_valid_5__2\ : STD_LOGIC; signal \thread_valid_6__2\ : STD_LOGIC; signal \thread_valid_7__2\ : STD_LOGIC; signal NLW_aid_match_00_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_10_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_20_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_30_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_40_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_50_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_60_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_70_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_10_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_12_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_14_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_2_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_4_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_6_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_8_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[0]_i_1\ : label is "soft_lutpair155"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1\ : label is "soft_lutpair147"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0\ : label is "soft_lutpair147"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0\ : label is "soft_lutpair141"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0\ : label is "soft_lutpair141"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0\ : label is "soft_lutpair140"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0\ : label is "soft_lutpair140"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1\ : label is "soft_lutpair150"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0\ : label is "soft_lutpair150"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1\ : label is "soft_lutpair149"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0\ : label is "soft_lutpair149"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0\ : label is "soft_lutpair139"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0\ : label is "soft_lutpair139"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2__0\ : label is "soft_lutpair142"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3__0\ : label is "soft_lutpair143"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4__0\ : label is "soft_lutpair144"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1\ : label is "soft_lutpair154"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0\ : label is "soft_lutpair154"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0\ : label is "soft_lutpair134"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0\ : label is "soft_lutpair134"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1\ : label is "soft_lutpair153"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0\ : label is "soft_lutpair153"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0\ : label is "soft_lutpair132"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0\ : label is "soft_lutpair132"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3__0\ : label is "soft_lutpair145"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4__0\ : label is "soft_lutpair138"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1\ : label is "soft_lutpair151"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0\ : label is "soft_lutpair151"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0\ : label is "soft_lutpair137"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0\ : label is "soft_lutpair137"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1\ : label is "soft_lutpair148"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0\ : label is "soft_lutpair148"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0\ : label is "soft_lutpair136"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0\ : label is "soft_lutpair136"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2__0\ : label is "soft_lutpair146"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3__0\ : label is "soft_lutpair129"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1\ : label is "soft_lutpair152"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0\ : label is "soft_lutpair152"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0\ : label is "soft_lutpair135"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0\ : label is "soft_lutpair135"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4__0\ : label is "soft_lutpair130"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0\ : label is "soft_lutpair133"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0\ : label is "soft_lutpair131"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5__0\ : label is "soft_lutpair130"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9__0\ : label is "soft_lutpair129"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_10__0\ : label is "soft_lutpair145"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_13__0\ : label is "soft_lutpair144"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_16__0\ : label is "soft_lutpair143"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_19__0\ : label is "soft_lutpair142"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_20__0\ : label is "soft_lutpair131"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_27__0\ : label is "soft_lutpair133"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_29__0\ : label is "soft_lutpair146"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_32__0\ : label is "soft_lutpair138"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_33__0\ : label is "soft_lutpair155"; begin D(0) <= \^d\(0); \s_axi_rvalid[0]\ <= \^s_axi_rvalid[0]\; aid_match_00_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_00, CO(2) => aid_match_00_carry_n_1, CO(1) => aid_match_00_carry_n_2, CO(0) => aid_match_00_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_00_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_00_carry_i_1_n_0, S(2) => aid_match_00_carry_i_2_n_0, S(1) => aid_match_00_carry_i_3_n_0, S(0) => aid_match_00_carry_i_4_n_0 ); aid_match_00_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11), O => aid_match_00_carry_i_1_n_0 ); aid_match_00_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(8), O => aid_match_00_carry_i_2_n_0 ); aid_match_00_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(5), O => aid_match_00_carry_i_3_n_0 ); aid_match_00_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(2), O => aid_match_00_carry_i_4_n_0 ); aid_match_10_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_10, CO(2) => aid_match_10_carry_n_1, CO(1) => aid_match_10_carry_n_2, CO(0) => aid_match_10_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_10_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_10_carry_i_1_n_0, S(2) => aid_match_10_carry_i_2_n_0, S(1) => aid_match_10_carry_i_3_n_0, S(0) => aid_match_10_carry_i_4_n_0 ); aid_match_10_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11), I5 => \s_axi_arid[11]\(11), O => aid_match_10_carry_i_1_n_0 ); aid_match_10_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(8), I5 => \s_axi_arid[11]\(8), O => aid_match_10_carry_i_2_n_0 ); aid_match_10_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(5), I5 => \s_axi_arid[11]\(5), O => aid_match_10_carry_i_3_n_0 ); aid_match_10_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(2), I5 => \s_axi_arid[11]\(2), O => aid_match_10_carry_i_4_n_0 ); aid_match_20_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_20, CO(2) => aid_match_20_carry_n_1, CO(1) => aid_match_20_carry_n_2, CO(0) => aid_match_20_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_20_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_20_carry_i_1_n_0, S(2) => aid_match_20_carry_i_2_n_0, S(1) => aid_match_20_carry_i_3_n_0, S(0) => aid_match_20_carry_i_4_n_0 ); aid_match_20_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11), O => aid_match_20_carry_i_1_n_0 ); aid_match_20_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(8), O => aid_match_20_carry_i_2_n_0 ); aid_match_20_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(5), O => aid_match_20_carry_i_3_n_0 ); aid_match_20_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(2), O => aid_match_20_carry_i_4_n_0 ); aid_match_30_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_30, CO(2) => aid_match_30_carry_n_1, CO(1) => aid_match_30_carry_n_2, CO(0) => aid_match_30_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_30_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_30_carry_i_1_n_0, S(2) => aid_match_30_carry_i_2_n_0, S(1) => aid_match_30_carry_i_3_n_0, S(0) => aid_match_30_carry_i_4_n_0 ); aid_match_30_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11), O => aid_match_30_carry_i_1_n_0 ); aid_match_30_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(8), O => aid_match_30_carry_i_2_n_0 ); aid_match_30_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(5), O => aid_match_30_carry_i_3_n_0 ); aid_match_30_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(2), O => aid_match_30_carry_i_4_n_0 ); aid_match_40_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_40, CO(2) => aid_match_40_carry_n_1, CO(1) => aid_match_40_carry_n_2, CO(0) => aid_match_40_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_40_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_40_carry_i_1_n_0, S(2) => aid_match_40_carry_i_2_n_0, S(1) => aid_match_40_carry_i_3_n_0, S(0) => aid_match_40_carry_i_4_n_0 ); aid_match_40_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11), O => aid_match_40_carry_i_1_n_0 ); aid_match_40_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(8), O => aid_match_40_carry_i_2_n_0 ); aid_match_40_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(5), O => aid_match_40_carry_i_3_n_0 ); aid_match_40_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(2), O => aid_match_40_carry_i_4_n_0 ); aid_match_50_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_50, CO(2) => aid_match_50_carry_n_1, CO(1) => aid_match_50_carry_n_2, CO(0) => aid_match_50_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_50_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_50_carry_i_1_n_0, S(2) => aid_match_50_carry_i_2_n_0, S(1) => aid_match_50_carry_i_3_n_0, S(0) => aid_match_50_carry_i_4_n_0 ); aid_match_50_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11), O => aid_match_50_carry_i_1_n_0 ); aid_match_50_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(8), O => aid_match_50_carry_i_2_n_0 ); aid_match_50_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(5), O => aid_match_50_carry_i_3_n_0 ); aid_match_50_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(2), O => aid_match_50_carry_i_4_n_0 ); aid_match_60_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_60, CO(2) => aid_match_60_carry_n_1, CO(1) => aid_match_60_carry_n_2, CO(0) => aid_match_60_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_60_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_60_carry_i_1_n_0, S(2) => aid_match_60_carry_i_2_n_0, S(1) => aid_match_60_carry_i_3_n_0, S(0) => aid_match_60_carry_i_4_n_0 ); aid_match_60_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11), O => aid_match_60_carry_i_1_n_0 ); aid_match_60_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(8), O => aid_match_60_carry_i_2_n_0 ); aid_match_60_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(5), O => aid_match_60_carry_i_3_n_0 ); aid_match_60_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(2), O => aid_match_60_carry_i_4_n_0 ); aid_match_70_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_70, CO(2) => aid_match_70_carry_n_1, CO(1) => aid_match_70_carry_n_2, CO(0) => aid_match_70_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_70_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_70_carry_i_1_n_0, S(2) => aid_match_70_carry_i_2_n_0, S(1) => aid_match_70_carry_i_3_n_0, S(0) => aid_match_70_carry_i_4_n_0 ); aid_match_70_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11), O => aid_match_70_carry_i_1_n_0 ); aid_match_70_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(8), O => aid_match_70_carry_i_2_n_0 ); aid_match_70_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(5), O => aid_match_70_carry_i_3_n_0 ); aid_match_70_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(2), O => aid_match_70_carry_i_4_n_0 ); \gen_multi_thread.accept_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg__0\(0), O => \gen_multi_thread.accept_cnt[0]_i_1_n_0\ ); \gen_multi_thread.accept_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.accept_cnt[0]_i_1_n_0\, Q => \gen_multi_thread.accept_cnt_reg__0\(0), R => SR(0) ); \gen_multi_thread.accept_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.mux_resp_multi_thread_n_58\, Q => \gen_multi_thread.accept_cnt_reg__0\(1), R => SR(0) ); \gen_multi_thread.accept_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.mux_resp_multi_thread_n_57\, Q => \gen_multi_thread.accept_cnt_reg__0\(2), R => SR(0) ); \gen_multi_thread.accept_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.mux_resp_multi_thread_n_56\, Q => \gen_multi_thread.accept_cnt_reg__0\(3), R => SR(0) ); \gen_multi_thread.arbiter_resp_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp_8 port map ( E(0) => E(0), Q(4 downto 0) => Q(4 downto 0), SR(0) => SR(0), S_AXI_ARREADY(0) => S_AXI_ARREADY(0), aa_mi_arvalid => aa_mi_arvalid, aclk => aclk, aresetn_d => aresetn_d, f_mux4_return(46 downto 14) => f_mux4_return(47 downto 15), f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_master_slots[1].r_issuing_cnt_reg[8]\ => \gen_master_slots[1].r_issuing_cnt_reg[8]\, \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_master_slots[2].r_issuing_cnt_reg[16]\, \gen_multi_thread.accept_cnt_reg[0]\ => \gen_no_arbiter.s_ready_i[0]_i_33__0_n_0\, \gen_multi_thread.accept_cnt_reg[3]\(0) => \gen_multi_thread.accept_cnt_reg__0\(3), \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ => \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\, \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ => \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\, \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ => \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\, \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ => \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\, \m_payload_i_reg[0]\(0) => \m_payload_i_reg[0]\(0), \m_payload_i_reg[0]_0\(0) => \m_payload_i_reg[0]_0\(0), \m_payload_i_reg[0]_1\(0) => \m_payload_i_reg[0]_1\(0), \m_payload_i_reg[0]_2\(0) => \m_payload_i_reg[0]_2\(0), \m_payload_i_reg[34]\(0) => \m_payload_i_reg[34]\(0), \m_payload_i_reg[34]_0\(0) => \m_payload_i_reg[34]_1\(0), \m_payload_i_reg[34]_1\(0) => \m_payload_i_reg[34]_2\(0), \m_payload_i_reg[34]_2\(0) => \m_payload_i_reg[34]_3\(0), \m_payload_i_reg[34]_3\(0) => \m_payload_i_reg[34]_4\(0), \m_payload_i_reg[34]_4\ => \gen_multi_thread.mux_resp_multi_thread_n_59\, m_valid_i => m_valid_i, m_valid_i_reg => m_valid_i_reg, m_valid_i_reg_0 => m_valid_i_reg_0, match => match, p_102_out => p_102_out, p_122_out => p_122_out, p_40_out => p_40_out, p_62_out => p_62_out, p_82_out => p_82_out, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, r_issuing_cnt(0) => r_issuing_cnt(0), resp_select(0) => resp_select(2), s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_rready(0) => s_axi_rready(0), \s_axi_rvalid[0]\ => \^s_axi_rvalid[0]\, st_mr_rid(47 downto 0) => st_mr_rid(47 downto 0), st_mr_rmesg(135 downto 0) => st_mr_rmesg(135 downto 0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(0), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(0), I1 => cmd_push_0, I2 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_0, I1 => active_cnt(0), I2 => active_cnt(2), I3 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(1), I1 => cmd_push_0, I2 => active_cnt(0), I3 => active_cnt(3), I4 => active_cnt(2), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\, Q => active_cnt(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\, Q => active_cnt(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\, Q => active_cnt(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\, Q => active_cnt(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_target[2]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"E222" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I1 => \thread_valid_0__2\, I2 => aid_match_00, I3 => S_AXI_ARREADY(0), O => cmd_push_0 ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(0), Q => active_target(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_1, I1 => active_cnt(8), I2 => active_cnt(10), I3 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(9), I1 => cmd_push_1, I2 => active_cnt(8), I3 => active_cnt(11), I4 => active_cnt(10), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(8), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(8), I1 => cmd_push_1, I2 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\, Q => active_cnt(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\, Q => active_cnt(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\, Q => active_cnt(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\, Q => active_cnt(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_target[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F8080808" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => aid_match_10, I4 => S_AXI_ARREADY(0), O => cmd_push_1 ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(0), Q => active_target(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(16), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(16), I1 => cmd_push_2, I2 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_2, I1 => active_cnt(16), I2 => active_cnt(18), I3 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(17), I1 => cmd_push_2, I2 => active_cnt(16), I3 => active_cnt(19), I4 => active_cnt(18), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\, Q => active_cnt(16), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\, Q => active_cnt(17), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\, Q => active_cnt(18), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\, Q => active_cnt(19), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF80008000800080" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => \thread_valid_2__2\, I4 => aid_match_20, I5 => S_AXI_ARREADY(0), O => cmd_push_2 ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(2), I1 => active_cnt(3), I2 => active_cnt(1), I3 => active_cnt(0), O => \thread_valid_0__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(10), I1 => active_cnt(11), I2 => active_cnt(9), I3 => active_cnt(8), O => \thread_valid_1__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(18), I1 => active_cnt(19), I2 => active_cnt(17), I3 => active_cnt(16), O => \thread_valid_2__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(16), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(17), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(0), Q => active_target(18), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(24), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(24), I1 => cmd_push_3, I2 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_3, I1 => active_cnt(24), I2 => active_cnt(26), I3 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(25), I1 => cmd_push_3, I2 => active_cnt(24), I3 => active_cnt(27), I4 => active_cnt(26), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\, Q => active_cnt(24), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\, Q => active_cnt(25), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\, Q => active_cnt(26), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\, Q => active_cnt(27), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_target[26]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I2 => \thread_valid_3__2\, I3 => aid_match_30, I4 => S_AXI_ARREADY(0), O => cmd_push_3 ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(24), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(25), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(0), Q => active_target(26), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(32), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(32), I1 => cmd_push_4, I2 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_4, I1 => active_cnt(32), I2 => active_cnt(34), I3 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(33), I1 => cmd_push_4, I2 => active_cnt(32), I3 => active_cnt(35), I4 => active_cnt(34), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\, Q => active_cnt(32), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\, Q => active_cnt(33), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\, Q => active_cnt(34), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\, Q => active_cnt(35), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\, I1 => \thread_valid_3__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I3 => \thread_valid_4__2\, I4 => aid_match_40, I5 => S_AXI_ARREADY(0), O => cmd_push_4 ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"55555557FFFFFFFF" ) port map ( I0 => \thread_valid_0__2\, I1 => active_cnt(10), I2 => active_cnt(11), I3 => active_cnt(9), I4 => active_cnt(8), I5 => \thread_valid_2__2\, O => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(26), I1 => active_cnt(27), I2 => active_cnt(25), I3 => active_cnt(24), O => \thread_valid_3__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(34), I1 => active_cnt(35), I2 => active_cnt(33), I3 => active_cnt(32), O => \thread_valid_4__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(32), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(33), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(0), Q => active_target(34), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(40), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(40), I1 => cmd_push_5, I2 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_5, I1 => active_cnt(40), I2 => active_cnt(42), I3 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(41), I1 => cmd_push_5, I2 => active_cnt(40), I3 => active_cnt(43), I4 => active_cnt(42), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\, Q => active_cnt(40), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\, Q => active_cnt(41), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\, Q => active_cnt(42), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\, Q => active_cnt(43), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_target[42]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I2 => \thread_valid_5__2\, I3 => aid_match_50, I4 => S_AXI_ARREADY(0), O => cmd_push_5 ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(40), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(41), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(0), Q => active_target(42), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(48), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(48), I1 => cmd_push_6, I2 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_6, I1 => active_cnt(48), I2 => active_cnt(50), I3 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(49), I1 => cmd_push_6, I2 => active_cnt(48), I3 => active_cnt(51), I4 => active_cnt(50), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\, Q => active_cnt(48), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\, Q => active_cnt(49), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\, Q => active_cnt(50), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\, Q => active_cnt(51), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[74]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[75]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[76]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[77]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[78]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[79]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[80]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \accum_push_5__0\, I1 => \thread_valid_5__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I3 => \thread_valid_6__2\, I4 => aid_match_60, I5 => S_AXI_ARREADY(0), O => cmd_push_6 ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(42), I1 => active_cnt(43), I2 => active_cnt(41), I3 => active_cnt(40), O => \thread_valid_5__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(50), I1 => active_cnt(51), I2 => active_cnt(49), I3 => active_cnt(48), O => \thread_valid_6__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(48), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(49), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(0), Q => active_target(50), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(56), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(56), I1 => cmd_push_7, I2 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_7, I1 => active_cnt(56), I2 => active_cnt(58), I3 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(57), I1 => cmd_push_7, I2 => active_cnt(56), I3 => active_cnt(59), I4 => active_cnt(58), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), O => \thread_valid_7__2\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\, Q => active_cnt(56), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\, Q => active_cnt(57), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\, Q => active_cnt(58), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\, Q => active_cnt(59), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[84]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[85]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[86]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[87]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[88]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[89]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[90]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[91]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[92]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_araddr[30]\(2), I1 => \s_axi_araddr[30]\(0), O => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_araddr[30]\(2), I1 => \s_axi_araddr[30]\(1), O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF404040" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I3 => \aid_match_7__0\, I4 => S_AXI_ARREADY(0), O => cmd_push_7 ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF55555557" ) port map ( I0 => \thread_valid_3__2\, I1 => active_cnt(34), I2 => active_cnt(35), I3 => active_cnt(33), I4 => active_cnt(32), I5 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\, O => \accum_push_5__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), I4 => \thread_valid_6__2\, I5 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => S_AXI_ARREADY(0), I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0_n_0\, I3 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0_n_0\, I4 => \aid_match_6__0\, I5 => \aid_match_7__0\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(56), I1 => active_cnt(57), I2 => active_cnt(59), I3 => active_cnt(58), I4 => aid_match_70, O => \aid_match_7__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_00, I1 => \thread_valid_0__2\, I2 => aid_match_10, I3 => \thread_valid_1__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_20, I1 => \thread_valid_2__2\, I2 => aid_match_30, I3 => \thread_valid_3__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_40, I1 => \thread_valid_4__2\, I2 => aid_match_50, I3 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(48), I1 => active_cnt(49), I2 => active_cnt(51), I3 => active_cnt(50), I4 => aid_match_60, O => \aid_match_6__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(56), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(57), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(0), Q => active_target(58), R => SR(0) ); \gen_multi_thread.mux_resp_multi_thread\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc port map ( CO(0) => p_14_out, D(2) => \gen_multi_thread.mux_resp_multi_thread_n_56\, D(1) => \gen_multi_thread.mux_resp_multi_thread_n_57\, D(0) => \gen_multi_thread.mux_resp_multi_thread_n_58\, E(0) => \gen_multi_thread.mux_resp_multi_thread_n_47\, Q(3 downto 0) => \gen_multi_thread.accept_cnt_reg__0\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_60\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_61\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_62\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_63\, S_AXI_ARREADY(0) => S_AXI_ARREADY(0), \chosen_reg[0]\ => \^s_axi_rvalid[0]\, cmd_push_0 => cmd_push_0, cmd_push_1 => cmd_push_1, cmd_push_2 => cmd_push_2, cmd_push_3 => cmd_push_3, cmd_push_4 => cmd_push_4, cmd_push_5 => cmd_push_5, cmd_push_6 => cmd_push_6, cmd_push_7 => cmd_push_7, f_mux4_return(46 downto 14) => f_mux4_return(47 downto 15), f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_multi_thread.accept_cnt_reg[3]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_55\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) => \gen_multi_thread.mux_resp_multi_thread_n_88\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) => \gen_multi_thread.mux_resp_multi_thread_n_89\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) => \gen_multi_thread.mux_resp_multi_thread_n_90\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_91\, \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_54\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_84\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_85\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_86\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_87\, \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0) => p_12_out, \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_53\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_80\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_81\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_82\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_83\, \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0) => p_10_out, \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_48\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_76\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_77\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_78\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_79\, \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0) => p_8_out, \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_49\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_72\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_73\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_74\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_75\, \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0) => p_6_out, \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_52\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_68\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_69\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_70\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_71\, \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0) => p_4_out, \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_51\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_64\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_65\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_66\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_67\, \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0) => p_2_out, \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_50\, \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0) => p_0_out, \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_multi_thread.mux_resp_multi_thread_n_59\, \m_payload_i_reg[34]\(0) => \m_payload_i_reg[34]_0\(0), resp_select(0) => resp_select(2), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rid[0]\ => \s_axi_rid[0]\, \s_axi_rid[10]\ => \s_axi_rid[10]\, \s_axi_rid[11]\ => \s_axi_rid[11]\, \s_axi_rid[1]\ => \s_axi_rid[1]\, \s_axi_rid[2]\ => \s_axi_rid[2]\, \s_axi_rid[3]\ => \s_axi_rid[3]\, \s_axi_rid[4]\ => \s_axi_rid[4]\, \s_axi_rid[5]\ => \s_axi_rid[5]\, \s_axi_rid[6]\ => \s_axi_rid[6]\, \s_axi_rid[7]\ => \s_axi_rid[7]\, \s_axi_rid[8]\ => \s_axi_rid[8]\, \s_axi_rid[9]\ => \s_axi_rid[9]\, s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), st_mr_rid(11 downto 0) => st_mr_rid(59 downto 48), \thread_valid_0__2\ => \thread_valid_0__2\, \thread_valid_1__2\ => \thread_valid_1__2\, \thread_valid_2__2\ => \thread_valid_2__2\, \thread_valid_3__2\ => \thread_valid_3__2\, \thread_valid_4__2\ => \thread_valid_4__2\, \thread_valid_5__2\ => \thread_valid_5__2\, \thread_valid_6__2\ => \thread_valid_6__2\, \thread_valid_7__2\ => \thread_valid_7__2\ ); \gen_no_arbiter.m_target_hot_i[4]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => match, O => \^d\(0) ); \gen_no_arbiter.s_ready_i[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(24), I1 => active_cnt(25), I2 => active_cnt(27), I3 => active_cnt(26), I4 => aid_match_30, O => \aid_match_3__0\ ); \gen_no_arbiter.s_ready_i[0]_i_11__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(17), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(16), O => \gen_no_arbiter.s_ready_i[0]_i_11__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_12__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(18), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_13__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(16), I1 => active_cnt(17), I2 => active_cnt(19), I3 => active_cnt(18), I4 => aid_match_20, O => \aid_match_2__0\ ); \gen_no_arbiter.s_ready_i[0]_i_14__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(9), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(8), O => \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_15__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(10), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_16__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(8), I1 => active_cnt(9), I2 => active_cnt(11), I3 => active_cnt(10), I4 => aid_match_10, O => \aid_match_1__0\ ); \gen_no_arbiter.s_ready_i[0]_i_17__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(1), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(0), O => \gen_no_arbiter.s_ready_i[0]_i_17__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_18__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(2), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_18__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_19__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(0), I1 => active_cnt(1), I2 => active_cnt(3), I3 => active_cnt(2), I4 => aid_match_00, O => \aid_match_0__0\ ); \gen_no_arbiter.s_ready_i[0]_i_20__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(49), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(48), O => \gen_no_arbiter.s_ready_i[0]_i_20__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_21__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(50), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_21__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_22__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(57), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(56), O => \gen_no_arbiter.s_ready_i[0]_i_22__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_23__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(58), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_23__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_27__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(41), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(40), O => \gen_no_arbiter.s_ready_i[0]_i_27__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_28\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(42), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_28_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_29__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(40), I1 => active_cnt(41), I2 => active_cnt(43), I3 => active_cnt(42), I4 => aid_match_50, O => \aid_match_5__0\ ); \gen_no_arbiter.s_ready_i[0]_i_30__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(33), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(32), O => \gen_no_arbiter.s_ready_i[0]_i_30__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_31\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(34), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_31_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_32__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(32), I1 => active_cnt(33), I2 => active_cnt(35), I3 => active_cnt(34), I4 => aid_match_40, O => \aid_match_4__0\ ); \gen_no_arbiter.s_ready_i[0]_i_33__0\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg__0\(0), I1 => \gen_multi_thread.accept_cnt_reg__0\(2), I2 => \gen_multi_thread.accept_cnt_reg__0\(1), O => \gen_no_arbiter.s_ready_i[0]_i_33__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\, I2 => \aid_match_3__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_11__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\, I5 => \aid_match_2__0\, O => \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\, I2 => \aid_match_1__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_17__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_18__0_n_0\, I5 => \aid_match_0__0\, O => \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_20__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_21__0_n_0\, I2 => \aid_match_6__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_22__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_23__0_n_0\, I5 => \aid_match_7__0\, O => \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_7__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_27__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_28_n_0\, I2 => \aid_match_5__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_30__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_31_n_0\, I5 => \aid_match_4__0\, O => \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(25), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(24), O => \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(26), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\ ); \p_0_out_inferred__9/i__carry\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_0_out, CO(2) => \p_0_out_inferred__9/i__carry_n_1\, CO(1) => \p_0_out_inferred__9/i__carry_n_2\, CO(0) => \p_0_out_inferred__9/i__carry_n_3\, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_60\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_61\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_62\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_63\ ); p_10_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_10_out, CO(2) => p_10_out_carry_n_1, CO(1) => p_10_out_carry_n_2, CO(0) => p_10_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_10_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_80\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_81\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_82\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_83\ ); p_12_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_12_out, CO(2) => p_12_out_carry_n_1, CO(1) => p_12_out_carry_n_2, CO(0) => p_12_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_12_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_84\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_85\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_86\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_87\ ); p_14_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_14_out, CO(2) => p_14_out_carry_n_1, CO(1) => p_14_out_carry_n_2, CO(0) => p_14_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_14_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_88\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_89\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_90\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_91\ ); p_2_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_2_out, CO(2) => p_2_out_carry_n_1, CO(1) => p_2_out_carry_n_2, CO(0) => p_2_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_2_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_64\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_65\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_66\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_67\ ); p_4_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_4_out, CO(2) => p_4_out_carry_n_1, CO(1) => p_4_out_carry_n_2, CO(0) => p_4_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_4_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_68\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_69\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_70\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_71\ ); p_6_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_6_out, CO(2) => p_6_out_carry_n_1, CO(1) => p_6_out_carry_n_2, CO(0) => p_6_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_6_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_72\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_73\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_74\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_75\ ); p_8_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_8_out, CO(2) => p_8_out_carry_n_1, CO(1) => p_8_out_carry_n_2, CO(0) => p_8_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_8_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_76\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_77\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_78\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_79\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor__parameterized0\ is port ( \s_axi_bid[0]\ : out STD_LOGIC; \s_axi_bid[1]\ : out STD_LOGIC; \s_axi_bid[2]\ : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC; \s_axi_bid[4]\ : out STD_LOGIC; \s_axi_bid[5]\ : out STD_LOGIC; \s_axi_bid[6]\ : out STD_LOGIC; \s_axi_bid[7]\ : out STD_LOGIC; \s_axi_bid[8]\ : out STD_LOGIC; \s_axi_bid[9]\ : out STD_LOGIC; \s_axi_bid[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].w_issuing_cnt_reg[16]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].w_issuing_cnt_reg[24]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].w_issuing_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \s_axi_bvalid[0]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); st_mr_bid : in STD_LOGIC_VECTOR ( 59 downto 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 16 downto 0 ); p_84_in : in STD_LOGIC; p_66_in : in STD_LOGIC; p_48_in : in STD_LOGIC; p_101_in : in STD_LOGIC; aresetn_d : in STD_LOGIC; aa_sa_awvalid : in STD_LOGIC; match : in STD_LOGIC; ADDRESS_HIT_0 : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_ready_d_reg[1]\ : in STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]_0\ : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_46_out : in STD_LOGIC; p_128_out : in STD_LOGIC; p_108_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; st_mr_bmesg : in STD_LOGIC_VECTOR ( 7 downto 0 ); p_68_out : in STD_LOGIC; p_88_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; \s_axi_awid[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor__parameterized0\ : entity is "axi_crossbar_v2_1_14_si_transactor"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor__parameterized0\ is signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \accum_push_5__0\ : STD_LOGIC; signal active_cnt : STD_LOGIC_VECTOR ( 59 downto 0 ); signal active_target : STD_LOGIC_VECTOR ( 58 downto 0 ); signal aid_match_00 : STD_LOGIC; signal \aid_match_00_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_00_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_00_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_00_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_00_carry_n_1 : STD_LOGIC; signal aid_match_00_carry_n_2 : STD_LOGIC; signal aid_match_00_carry_n_3 : STD_LOGIC; signal \aid_match_0__0\ : STD_LOGIC; signal aid_match_10 : STD_LOGIC; signal \aid_match_10_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_10_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_10_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_10_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_10_carry_n_1 : STD_LOGIC; signal aid_match_10_carry_n_2 : STD_LOGIC; signal aid_match_10_carry_n_3 : STD_LOGIC; signal \aid_match_1__0\ : STD_LOGIC; signal aid_match_20 : STD_LOGIC; signal \aid_match_20_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_20_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_20_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_20_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_20_carry_n_1 : STD_LOGIC; signal aid_match_20_carry_n_2 : STD_LOGIC; signal aid_match_20_carry_n_3 : STD_LOGIC; signal \aid_match_2__0\ : STD_LOGIC; signal aid_match_30 : STD_LOGIC; signal \aid_match_30_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_30_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_30_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_30_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_30_carry_n_1 : STD_LOGIC; signal aid_match_30_carry_n_2 : STD_LOGIC; signal aid_match_30_carry_n_3 : STD_LOGIC; signal \aid_match_3__0\ : STD_LOGIC; signal aid_match_40 : STD_LOGIC; signal \aid_match_40_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_40_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_40_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_40_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_40_carry_n_1 : STD_LOGIC; signal aid_match_40_carry_n_2 : STD_LOGIC; signal aid_match_40_carry_n_3 : STD_LOGIC; signal \aid_match_4__0\ : STD_LOGIC; signal aid_match_50 : STD_LOGIC; signal \aid_match_50_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_50_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_50_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_50_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_50_carry_n_1 : STD_LOGIC; signal aid_match_50_carry_n_2 : STD_LOGIC; signal aid_match_50_carry_n_3 : STD_LOGIC; signal \aid_match_5__0\ : STD_LOGIC; signal aid_match_60 : STD_LOGIC; signal \aid_match_60_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_60_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_60_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_60_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_60_carry_n_1 : STD_LOGIC; signal aid_match_60_carry_n_2 : STD_LOGIC; signal aid_match_60_carry_n_3 : STD_LOGIC; signal \aid_match_6__0\ : STD_LOGIC; signal aid_match_70 : STD_LOGIC; signal \aid_match_70_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_70_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_70_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_70_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_70_carry_n_1 : STD_LOGIC; signal aid_match_70_carry_n_2 : STD_LOGIC; signal aid_match_70_carry_n_3 : STD_LOGIC; signal \aid_match_7__0\ : STD_LOGIC; signal cmd_push_0 : STD_LOGIC; signal cmd_push_1 : STD_LOGIC; signal cmd_push_2 : STD_LOGIC; signal cmd_push_3 : STD_LOGIC; signal cmd_push_4 : STD_LOGIC; signal cmd_push_5 : STD_LOGIC; signal cmd_push_6 : STD_LOGIC; signal cmd_push_7 : STD_LOGIC; signal f_mux4_return : STD_LOGIC_VECTOR ( 13 downto 0 ); signal \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.accept_cnt_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8_n_0\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_15\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_16\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_17\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_18\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_19\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_20\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_21\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_22\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_23\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_24\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_25\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_26\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_27\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_28\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_29\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_30\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_31\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_32\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_33\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_34\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_35\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_36\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_37\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_38\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_39\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_40\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_41\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_42\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_43\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_44\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_45\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_46\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_47\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_48\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_49\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_50\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_51\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_52\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_53\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_54\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_55\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_56\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_57\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_58\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_11_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_12_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_14_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_15_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_17_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_18_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_20_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_21_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_22_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_23_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_28__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_29_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_31__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_32_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_35_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_3_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_4_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_7_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_8_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_9_n_0\ : STD_LOGIC; signal p_0_out : STD_LOGIC; signal p_0_out_0 : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_1\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_2\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_3\ : STD_LOGIC; signal p_10_out : STD_LOGIC; signal p_10_out_carry_n_1 : STD_LOGIC; signal p_10_out_carry_n_2 : STD_LOGIC; signal p_10_out_carry_n_3 : STD_LOGIC; signal p_12_out : STD_LOGIC; signal p_12_out_carry_n_1 : STD_LOGIC; signal p_12_out_carry_n_2 : STD_LOGIC; signal p_12_out_carry_n_3 : STD_LOGIC; signal p_14_out : STD_LOGIC; signal p_14_out_carry_n_1 : STD_LOGIC; signal p_14_out_carry_n_2 : STD_LOGIC; signal p_14_out_carry_n_3 : STD_LOGIC; signal p_2_out : STD_LOGIC; signal p_2_out_carry_n_1 : STD_LOGIC; signal p_2_out_carry_n_2 : STD_LOGIC; signal p_2_out_carry_n_3 : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_4_out_carry_n_1 : STD_LOGIC; signal p_4_out_carry_n_2 : STD_LOGIC; signal p_4_out_carry_n_3 : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_6_out_carry_n_1 : STD_LOGIC; signal p_6_out_carry_n_2 : STD_LOGIC; signal p_6_out_carry_n_3 : STD_LOGIC; signal p_8_out : STD_LOGIC; signal p_8_out_carry_n_1 : STD_LOGIC; signal p_8_out_carry_n_2 : STD_LOGIC; signal p_8_out_carry_n_3 : STD_LOGIC; signal resp_select : STD_LOGIC_VECTOR ( 2 to 2 ); signal \^s_axi_bvalid[0]\ : STD_LOGIC; signal \thread_valid_0__2\ : STD_LOGIC; signal \thread_valid_1__2\ : STD_LOGIC; signal \thread_valid_2__2\ : STD_LOGIC; signal \thread_valid_3__2\ : STD_LOGIC; signal \thread_valid_4__2\ : STD_LOGIC; signal \thread_valid_5__2\ : STD_LOGIC; signal \thread_valid_6__2\ : STD_LOGIC; signal \thread_valid_7__2\ : STD_LOGIC; signal NLW_aid_match_00_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_10_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_20_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_30_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_40_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_50_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_60_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_70_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_10_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_12_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_14_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_2_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_4_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_6_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_8_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[0]_i_1__0\ : label is "soft_lutpair189"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0\ : label is "soft_lutpair181"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1\ : label is "soft_lutpair181"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1\ : label is "soft_lutpair175"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2\ : label is "soft_lutpair175"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1\ : label is "soft_lutpair174"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2\ : label is "soft_lutpair174"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0\ : label is "soft_lutpair184"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1\ : label is "soft_lutpair184"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0\ : label is "soft_lutpair183"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1\ : label is "soft_lutpair183"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1\ : label is "soft_lutpair173"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2\ : label is "soft_lutpair173"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2\ : label is "soft_lutpair176"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3\ : label is "soft_lutpair177"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4\ : label is "soft_lutpair178"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0\ : label is "soft_lutpair188"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1\ : label is "soft_lutpair188"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1\ : label is "soft_lutpair168"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2\ : label is "soft_lutpair168"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0\ : label is "soft_lutpair187"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1\ : label is "soft_lutpair187"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1\ : label is "soft_lutpair166"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2\ : label is "soft_lutpair166"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3\ : label is "soft_lutpair179"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4\ : label is "soft_lutpair172"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0\ : label is "soft_lutpair185"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1\ : label is "soft_lutpair185"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1\ : label is "soft_lutpair171"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2\ : label is "soft_lutpair171"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0\ : label is "soft_lutpair182"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1\ : label is "soft_lutpair182"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1\ : label is "soft_lutpair170"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2\ : label is "soft_lutpair170"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2\ : label is "soft_lutpair180"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3\ : label is "soft_lutpair163"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0\ : label is "soft_lutpair186"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1\ : label is "soft_lutpair186"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1\ : label is "soft_lutpair169"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2\ : label is "soft_lutpair169"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4\ : label is "soft_lutpair164"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1\ : label is "soft_lutpair167"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1\ : label is "soft_lutpair165"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5\ : label is "soft_lutpair164"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9\ : label is "soft_lutpair163"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_10\ : label is "soft_lutpair179"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_13\ : label is "soft_lutpair178"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_16\ : label is "soft_lutpair177"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_19\ : label is "soft_lutpair176"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_20\ : label is "soft_lutpair165"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_28__0\ : label is "soft_lutpair167"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_30\ : label is "soft_lutpair180"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_33\ : label is "soft_lutpair172"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_35\ : label is "soft_lutpair189"; begin D(2 downto 0) <= \^d\(2 downto 0); SR(0) <= \^sr\(0); \s_axi_bvalid[0]\ <= \^s_axi_bvalid[0]\; aid_match_00_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_00, CO(2) => aid_match_00_carry_n_1, CO(1) => aid_match_00_carry_n_2, CO(0) => aid_match_00_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_00_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_00_carry_i_1__0_n_0\, S(2) => \aid_match_00_carry_i_2__0_n_0\, S(1) => \aid_match_00_carry_i_3__0_n_0\, S(0) => \aid_match_00_carry_i_4__0_n_0\ ); \aid_match_00_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11), O => \aid_match_00_carry_i_1__0_n_0\ ); \aid_match_00_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(8), O => \aid_match_00_carry_i_2__0_n_0\ ); \aid_match_00_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(5), O => \aid_match_00_carry_i_3__0_n_0\ ); \aid_match_00_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(2), O => \aid_match_00_carry_i_4__0_n_0\ ); aid_match_10_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_10, CO(2) => aid_match_10_carry_n_1, CO(1) => aid_match_10_carry_n_2, CO(0) => aid_match_10_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_10_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_10_carry_i_1__0_n_0\, S(2) => \aid_match_10_carry_i_2__0_n_0\, S(1) => \aid_match_10_carry_i_3__0_n_0\, S(0) => \aid_match_10_carry_i_4__0_n_0\ ); \aid_match_10_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11), I5 => \s_axi_awid[11]\(11), O => \aid_match_10_carry_i_1__0_n_0\ ); \aid_match_10_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(8), I5 => \s_axi_awid[11]\(8), O => \aid_match_10_carry_i_2__0_n_0\ ); \aid_match_10_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(5), I5 => \s_axi_awid[11]\(5), O => \aid_match_10_carry_i_3__0_n_0\ ); \aid_match_10_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(2), I5 => \s_axi_awid[11]\(2), O => \aid_match_10_carry_i_4__0_n_0\ ); aid_match_20_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_20, CO(2) => aid_match_20_carry_n_1, CO(1) => aid_match_20_carry_n_2, CO(0) => aid_match_20_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_20_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_20_carry_i_1__0_n_0\, S(2) => \aid_match_20_carry_i_2__0_n_0\, S(1) => \aid_match_20_carry_i_3__0_n_0\, S(0) => \aid_match_20_carry_i_4__0_n_0\ ); \aid_match_20_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11), O => \aid_match_20_carry_i_1__0_n_0\ ); \aid_match_20_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(8), O => \aid_match_20_carry_i_2__0_n_0\ ); \aid_match_20_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(5), O => \aid_match_20_carry_i_3__0_n_0\ ); \aid_match_20_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(2), O => \aid_match_20_carry_i_4__0_n_0\ ); aid_match_30_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_30, CO(2) => aid_match_30_carry_n_1, CO(1) => aid_match_30_carry_n_2, CO(0) => aid_match_30_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_30_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_30_carry_i_1__0_n_0\, S(2) => \aid_match_30_carry_i_2__0_n_0\, S(1) => \aid_match_30_carry_i_3__0_n_0\, S(0) => \aid_match_30_carry_i_4__0_n_0\ ); \aid_match_30_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11), O => \aid_match_30_carry_i_1__0_n_0\ ); \aid_match_30_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(8), O => \aid_match_30_carry_i_2__0_n_0\ ); \aid_match_30_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(5), O => \aid_match_30_carry_i_3__0_n_0\ ); \aid_match_30_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(2), O => \aid_match_30_carry_i_4__0_n_0\ ); aid_match_40_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_40, CO(2) => aid_match_40_carry_n_1, CO(1) => aid_match_40_carry_n_2, CO(0) => aid_match_40_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_40_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_40_carry_i_1__0_n_0\, S(2) => \aid_match_40_carry_i_2__0_n_0\, S(1) => \aid_match_40_carry_i_3__0_n_0\, S(0) => \aid_match_40_carry_i_4__0_n_0\ ); \aid_match_40_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11), O => \aid_match_40_carry_i_1__0_n_0\ ); \aid_match_40_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(8), O => \aid_match_40_carry_i_2__0_n_0\ ); \aid_match_40_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(5), O => \aid_match_40_carry_i_3__0_n_0\ ); \aid_match_40_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(2), O => \aid_match_40_carry_i_4__0_n_0\ ); aid_match_50_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_50, CO(2) => aid_match_50_carry_n_1, CO(1) => aid_match_50_carry_n_2, CO(0) => aid_match_50_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_50_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_50_carry_i_1__0_n_0\, S(2) => \aid_match_50_carry_i_2__0_n_0\, S(1) => \aid_match_50_carry_i_3__0_n_0\, S(0) => \aid_match_50_carry_i_4__0_n_0\ ); \aid_match_50_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11), O => \aid_match_50_carry_i_1__0_n_0\ ); \aid_match_50_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(8), O => \aid_match_50_carry_i_2__0_n_0\ ); \aid_match_50_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(5), O => \aid_match_50_carry_i_3__0_n_0\ ); \aid_match_50_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(2), O => \aid_match_50_carry_i_4__0_n_0\ ); aid_match_60_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_60, CO(2) => aid_match_60_carry_n_1, CO(1) => aid_match_60_carry_n_2, CO(0) => aid_match_60_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_60_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_60_carry_i_1__0_n_0\, S(2) => \aid_match_60_carry_i_2__0_n_0\, S(1) => \aid_match_60_carry_i_3__0_n_0\, S(0) => \aid_match_60_carry_i_4__0_n_0\ ); \aid_match_60_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11), O => \aid_match_60_carry_i_1__0_n_0\ ); \aid_match_60_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(8), O => \aid_match_60_carry_i_2__0_n_0\ ); \aid_match_60_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(5), O => \aid_match_60_carry_i_3__0_n_0\ ); \aid_match_60_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(2), O => \aid_match_60_carry_i_4__0_n_0\ ); aid_match_70_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_70, CO(2) => aid_match_70_carry_n_1, CO(1) => aid_match_70_carry_n_2, CO(0) => aid_match_70_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_70_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_70_carry_i_1__0_n_0\, S(2) => \aid_match_70_carry_i_2__0_n_0\, S(1) => \aid_match_70_carry_i_3__0_n_0\, S(0) => \aid_match_70_carry_i_4__0_n_0\ ); \aid_match_70_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11), O => \aid_match_70_carry_i_1__0_n_0\ ); \aid_match_70_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(8), O => \aid_match_70_carry_i_2__0_n_0\ ); \aid_match_70_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(5), O => \aid_match_70_carry_i_3__0_n_0\ ); \aid_match_70_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(2), O => \aid_match_70_carry_i_4__0_n_0\ ); \gen_multi_thread.accept_cnt[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg\(0), O => \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\ ); \gen_multi_thread.accept_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\, Q => \gen_multi_thread.accept_cnt_reg\(0), R => \^sr\(0) ); \gen_multi_thread.accept_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.mux_resp_multi_thread_n_26\, Q => \gen_multi_thread.accept_cnt_reg\(1), R => \^sr\(0) ); \gen_multi_thread.accept_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.mux_resp_multi_thread_n_25\, Q => \gen_multi_thread.accept_cnt_reg\(2), R => \^sr\(0) ); \gen_multi_thread.accept_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.mux_resp_multi_thread_n_24\, Q => \gen_multi_thread.accept_cnt_reg\(3), R => \^sr\(0) ); \gen_multi_thread.arbiter_resp_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_arbiter_resp port map ( ADDRESS_HIT_0 => ADDRESS_HIT_0, E(0) => E(0), Q(0) => \gen_multi_thread.accept_cnt_reg\(3), SR(0) => \^sr\(0), aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_master_slots[0].w_issuing_cnt_reg[0]\(0) => \gen_master_slots[0].w_issuing_cnt_reg[0]\(0), \gen_master_slots[2].w_issuing_cnt_reg[16]\(0) => \gen_master_slots[2].w_issuing_cnt_reg[16]\(0), \gen_master_slots[3].w_issuing_cnt_reg[24]\(0) => \gen_master_slots[3].w_issuing_cnt_reg[24]\(0), \gen_multi_thread.accept_cnt_reg[0]\ => \gen_no_arbiter.s_ready_i[0]_i_35_n_0\, \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ => \gen_no_arbiter.s_ready_i[0]_i_4_n_0\, \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\, \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ => \gen_no_arbiter.s_ready_i[0]_i_7_n_0\, \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ => \gen_no_arbiter.s_ready_i[0]_i_5_n_0\, \gen_no_arbiter.s_ready_i_reg[0]\(0) => \gen_no_arbiter.s_ready_i_reg[0]\(0), \gen_no_arbiter.s_ready_i_reg[0]_0\ => \gen_no_arbiter.s_ready_i_reg[0]_0\, m_valid_i => m_valid_i, m_valid_i_reg => m_valid_i_reg, m_valid_i_reg_0 => m_valid_i_reg_0, match => match, p_0_out => p_0_out_0, p_101_in => p_101_in, p_108_out => p_108_out, p_128_out => p_128_out, p_46_out => p_46_out, p_48_in => p_48_in, p_66_in => p_66_in, p_68_out => p_68_out, p_84_in => p_84_in, p_88_out => p_88_out, resp_select(0) => resp_select(2), \s_axi_awaddr[30]\(2 downto 0) => \s_axi_awaddr[30]\(2 downto 0), s_axi_bready(0) => s_axi_bready(0), \s_axi_bvalid[0]\ => \^s_axi_bvalid[0]\, s_ready_i_reg(4 downto 0) => Q(4 downto 0), st_mr_bid(47 downto 0) => st_mr_bid(47 downto 0), st_mr_bmesg(7 downto 0) => st_mr_bmesg(7 downto 0), w_issuing_cnt(16 downto 0) => w_issuing_cnt(16 downto 0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(0), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(0), I1 => cmd_push_0, I2 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_0, I1 => active_cnt(0), I2 => active_cnt(2), I3 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(1), I1 => cmd_push_0, I2 => active_cnt(0), I3 => active_cnt(3), I4 => active_cnt(2), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\, Q => active_cnt(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\, Q => active_cnt(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\, Q => active_cnt(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\, Q => active_cnt(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_target[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E222" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I1 => \thread_valid_0__2\, I2 => aid_match_00, I3 => \m_ready_d_reg[1]\, O => cmd_push_0 ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(0), Q => active_target(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(1), Q => active_target(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(2), Q => active_target(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_1, I1 => active_cnt(8), I2 => active_cnt(10), I3 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(9), I1 => cmd_push_1, I2 => active_cnt(8), I3 => active_cnt(11), I4 => active_cnt(10), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(8), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(8), I1 => cmd_push_1, I2 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\, Q => active_cnt(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\, Q => active_cnt(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\, Q => active_cnt(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\, Q => active_cnt(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_target[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F8080808" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => aid_match_10, I4 => \m_ready_d_reg[1]\, O => cmd_push_1 ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(2), Q => active_target(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(0), Q => active_target(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(1), Q => active_target(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(16), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(16), I1 => cmd_push_2, I2 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_2, I1 => active_cnt(16), I2 => active_cnt(18), I3 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(17), I1 => cmd_push_2, I2 => active_cnt(16), I3 => active_cnt(19), I4 => active_cnt(18), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\, Q => active_cnt(16), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\, Q => active_cnt(17), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\, Q => active_cnt(18), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\, Q => active_cnt(19), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF80008000800080" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => \thread_valid_2__2\, I4 => aid_match_20, I5 => \m_ready_d_reg[1]\, O => cmd_push_2 ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(2), I1 => active_cnt(3), I2 => active_cnt(1), I3 => active_cnt(0), O => \thread_valid_0__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(10), I1 => active_cnt(11), I2 => active_cnt(9), I3 => active_cnt(8), O => \thread_valid_1__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(18), I1 => active_cnt(19), I2 => active_cnt(17), I3 => active_cnt(16), O => \thread_valid_2__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(0), Q => active_target(16), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(1), Q => active_target(17), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(2), Q => active_target(18), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(24), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(24), I1 => cmd_push_3, I2 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_3, I1 => active_cnt(24), I2 => active_cnt(26), I3 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(25), I1 => cmd_push_3, I2 => active_cnt(24), I3 => active_cnt(27), I4 => active_cnt(26), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\, Q => active_cnt(24), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\, Q => active_cnt(25), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\, Q => active_cnt(26), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\, Q => active_cnt(27), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_target[26]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I2 => \thread_valid_3__2\, I3 => aid_match_30, I4 => \m_ready_d_reg[1]\, O => cmd_push_3 ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(0), Q => active_target(24), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(1), Q => active_target(25), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(2), Q => active_target(26), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(32), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(32), I1 => cmd_push_4, I2 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_4, I1 => active_cnt(32), I2 => active_cnt(34), I3 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(33), I1 => cmd_push_4, I2 => active_cnt(32), I3 => active_cnt(35), I4 => active_cnt(34), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\, Q => active_cnt(32), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\, Q => active_cnt(33), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\, Q => active_cnt(34), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\, Q => active_cnt(35), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\, I1 => \thread_valid_3__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I3 => \thread_valid_4__2\, I4 => aid_match_40, I5 => \m_ready_d_reg[1]\, O => cmd_push_4 ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"55555557FFFFFFFF" ) port map ( I0 => \thread_valid_0__2\, I1 => active_cnt(10), I2 => active_cnt(11), I3 => active_cnt(9), I4 => active_cnt(8), I5 => \thread_valid_2__2\, O => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(26), I1 => active_cnt(27), I2 => active_cnt(25), I3 => active_cnt(24), O => \thread_valid_3__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(34), I1 => active_cnt(35), I2 => active_cnt(33), I3 => active_cnt(32), O => \thread_valid_4__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(0), Q => active_target(32), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(1), Q => active_target(33), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(2), Q => active_target(34), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(40), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(40), I1 => cmd_push_5, I2 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_5, I1 => active_cnt(40), I2 => active_cnt(42), I3 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(41), I1 => cmd_push_5, I2 => active_cnt(40), I3 => active_cnt(43), I4 => active_cnt(42), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\, Q => active_cnt(40), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\, Q => active_cnt(41), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\, Q => active_cnt(42), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\, Q => active_cnt(43), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_target[42]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I2 => \thread_valid_5__2\, I3 => aid_match_50, I4 => \m_ready_d_reg[1]\, O => cmd_push_5 ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(0), Q => active_target(40), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(1), Q => active_target(41), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(2), Q => active_target(42), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(48), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(48), I1 => cmd_push_6, I2 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_6, I1 => active_cnt(48), I2 => active_cnt(50), I3 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(49), I1 => cmd_push_6, I2 => active_cnt(48), I3 => active_cnt(51), I4 => active_cnt(50), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\, Q => active_cnt(48), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\, Q => active_cnt(49), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\, Q => active_cnt(50), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\, Q => active_cnt(51), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[74]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[75]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[76]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[77]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[78]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[79]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[80]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \accum_push_5__0\, I1 => \thread_valid_5__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I3 => \thread_valid_6__2\, I4 => aid_match_60, I5 => \m_ready_d_reg[1]\, O => cmd_push_6 ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(42), I1 => active_cnt(43), I2 => active_cnt(41), I3 => active_cnt(40), O => \thread_valid_5__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(50), I1 => active_cnt(51), I2 => active_cnt(49), I3 => active_cnt(48), O => \thread_valid_6__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(0), Q => active_target(48), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(1), Q => active_target(49), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(2), Q => active_target(50), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(56), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(56), I1 => cmd_push_7, I2 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_7, I1 => active_cnt(56), I2 => active_cnt(58), I3 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(57), I1 => cmd_push_7, I2 => active_cnt(56), I3 => active_cnt(59), I4 => active_cnt(58), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), O => \thread_valid_7__2\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\, Q => active_cnt(56), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\, Q => active_cnt(57), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\, Q => active_cnt(58), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\, Q => active_cnt(59), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[84]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[85]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[86]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[87]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[88]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[89]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[90]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[91]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[92]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_awaddr[30]\(2), I1 => \s_axi_awaddr[30]\(0), O => \^d\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_awaddr[30]\(2), I1 => \s_axi_awaddr[30]\(1), O => \^d\(1) ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FF404040" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I3 => \aid_match_7__0\, I4 => \m_ready_d_reg[1]\, O => cmd_push_7 ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF55555557" ) port map ( I0 => \thread_valid_3__2\, I1 => active_cnt(34), I2 => active_cnt(35), I3 => active_cnt(33), I4 => active_cnt(32), I5 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\, O => \accum_push_5__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), I4 => \thread_valid_6__2\, I5 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => \m_ready_d_reg[1]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7_n_0\, I3 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8_n_0\, I4 => \aid_match_6__0\, I5 => \aid_match_7__0\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(56), I1 => active_cnt(57), I2 => active_cnt(59), I3 => active_cnt(58), I4 => aid_match_70, O => \aid_match_7__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_00, I1 => \thread_valid_0__2\, I2 => aid_match_10, I3 => \thread_valid_1__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_20, I1 => \thread_valid_2__2\, I2 => aid_match_30, I3 => \thread_valid_3__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_40, I1 => \thread_valid_4__2\, I2 => aid_match_50, I3 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(48), I1 => active_cnt(49), I2 => active_cnt(51), I3 => active_cnt(50), I4 => aid_match_60, O => \aid_match_6__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(0), Q => active_target(56), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(1), Q => active_target(57), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(2), Q => active_target(58), R => \^sr\(0) ); \gen_multi_thread.mux_resp_multi_thread\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ port map ( CO(0) => p_14_out, D(2) => \gen_multi_thread.mux_resp_multi_thread_n_24\, D(1) => \gen_multi_thread.mux_resp_multi_thread_n_25\, D(0) => \gen_multi_thread.mux_resp_multi_thread_n_26\, E(0) => \gen_multi_thread.mux_resp_multi_thread_n_15\, Q(3 downto 0) => \gen_multi_thread.accept_cnt_reg\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_27\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_28\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_29\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_30\, cmd_push_0 => cmd_push_0, cmd_push_1 => cmd_push_1, cmd_push_2 => cmd_push_2, cmd_push_3 => cmd_push_3, cmd_push_4 => cmd_push_4, cmd_push_5 => cmd_push_5, cmd_push_6 => cmd_push_6, cmd_push_7 => cmd_push_7, f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_multi_thread.accept_cnt_reg[3]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_23\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) => \gen_multi_thread.mux_resp_multi_thread_n_55\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) => \gen_multi_thread.mux_resp_multi_thread_n_56\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) => \gen_multi_thread.mux_resp_multi_thread_n_57\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_58\, \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_22\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_51\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_52\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_53\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_54\, \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0) => p_12_out, \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_21\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_47\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_48\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_49\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_50\, \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0) => p_10_out, \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_16\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_43\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_44\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_45\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_46\, \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0) => p_8_out, \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_17\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_39\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_40\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_41\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_42\, \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0) => p_6_out, \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_20\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_35\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_36\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_37\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_38\, \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0) => p_4_out, \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_19\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_31\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_32\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_33\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_34\, \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0) => p_2_out, \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_18\, \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0) => p_0_out, \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11 downto 0), \m_ready_d_reg[1]\ => \m_ready_d_reg[1]\, m_valid_i_reg => \^s_axi_bvalid[0]\, p_0_out => p_0_out_0, resp_select(0) => resp_select(2), \s_axi_bid[0]\ => \s_axi_bid[0]\, \s_axi_bid[10]\ => \s_axi_bid[10]\, \s_axi_bid[11]\ => \s_axi_bid[11]\, \s_axi_bid[1]\ => \s_axi_bid[1]\, \s_axi_bid[2]\ => \s_axi_bid[2]\, \s_axi_bid[3]\ => \s_axi_bid[3]\, \s_axi_bid[4]\ => \s_axi_bid[4]\, \s_axi_bid[5]\ => \s_axi_bid[5]\, \s_axi_bid[6]\ => \s_axi_bid[6]\, \s_axi_bid[7]\ => \s_axi_bid[7]\, \s_axi_bid[8]\ => \s_axi_bid[8]\, \s_axi_bid[9]\ => \s_axi_bid[9]\, s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), st_mr_bid(11 downto 0) => st_mr_bid(59 downto 48), \thread_valid_0__2\ => \thread_valid_0__2\, \thread_valid_1__2\ => \thread_valid_1__2\, \thread_valid_2__2\ => \thread_valid_2__2\, \thread_valid_3__2\ => \thread_valid_3__2\, \thread_valid_4__2\ => \thread_valid_4__2\, \thread_valid_5__2\ => \thread_valid_5__2\, \thread_valid_6__2\ => \thread_valid_6__2\, \thread_valid_7__2\ => \thread_valid_7__2\ ); \gen_no_arbiter.m_target_hot_i[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => match, O => \^d\(2) ); \gen_no_arbiter.s_ready_i[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(24), I1 => active_cnt(25), I2 => active_cnt(27), I3 => active_cnt(26), I4 => aid_match_30, O => \aid_match_3__0\ ); \gen_no_arbiter.s_ready_i[0]_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(17), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(16), O => \gen_no_arbiter.s_ready_i[0]_i_11_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_12\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(18), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_12_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_13\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(16), I1 => active_cnt(17), I2 => active_cnt(19), I3 => active_cnt(18), I4 => aid_match_20, O => \aid_match_2__0\ ); \gen_no_arbiter.s_ready_i[0]_i_14\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(9), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(8), O => \gen_no_arbiter.s_ready_i[0]_i_14_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_15\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(10), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_15_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(8), I1 => active_cnt(9), I2 => active_cnt(11), I3 => active_cnt(10), I4 => aid_match_10, O => \aid_match_1__0\ ); \gen_no_arbiter.s_ready_i[0]_i_17\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(1), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(0), O => \gen_no_arbiter.s_ready_i[0]_i_17_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_18\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(2), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_18_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_19\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(0), I1 => active_cnt(1), I2 => active_cnt(3), I3 => active_cnt(2), I4 => aid_match_00, O => \aid_match_0__0\ ); \gen_no_arbiter.s_ready_i[0]_i_20\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(49), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(48), O => \gen_no_arbiter.s_ready_i[0]_i_20_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_21\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(50), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_21_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_22\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(57), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(56), O => \gen_no_arbiter.s_ready_i[0]_i_22_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_23\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(58), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_23_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_28__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(41), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(40), O => \gen_no_arbiter.s_ready_i[0]_i_28__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_29\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(42), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_29_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_8_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_9_n_0\, I2 => \aid_match_3__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_11_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_12_n_0\, I5 => \aid_match_2__0\, O => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_30\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(40), I1 => active_cnt(41), I2 => active_cnt(43), I3 => active_cnt(42), I4 => aid_match_50, O => \aid_match_5__0\ ); \gen_no_arbiter.s_ready_i[0]_i_31__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(33), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(32), O => \gen_no_arbiter.s_ready_i[0]_i_31__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_32\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(34), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_32_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_33\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(32), I1 => active_cnt(33), I2 => active_cnt(35), I3 => active_cnt(34), I4 => aid_match_40, O => \aid_match_4__0\ ); \gen_no_arbiter.s_ready_i[0]_i_35\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg\(0), I1 => \gen_multi_thread.accept_cnt_reg\(2), I2 => \gen_multi_thread.accept_cnt_reg\(1), O => \gen_no_arbiter.s_ready_i[0]_i_35_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_14_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_15_n_0\, I2 => \aid_match_1__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_17_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_18_n_0\, I5 => \aid_match_0__0\, O => \gen_no_arbiter.s_ready_i[0]_i_4_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_20_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_21_n_0\, I2 => \aid_match_6__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_22_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_23_n_0\, I5 => \aid_match_7__0\, O => \gen_no_arbiter.s_ready_i[0]_i_5_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_28__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_29_n_0\, I2 => \aid_match_5__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_31__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_32_n_0\, I5 => \aid_match_4__0\, O => \gen_no_arbiter.s_ready_i[0]_i_7_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(25), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(24), O => \gen_no_arbiter.s_ready_i[0]_i_8_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(26), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_9_n_0\ ); \p_0_out_inferred__9/i__carry\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_0_out, CO(2) => \p_0_out_inferred__9/i__carry_n_1\, CO(1) => \p_0_out_inferred__9/i__carry_n_2\, CO(0) => \p_0_out_inferred__9/i__carry_n_3\, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_27\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_28\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_29\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_30\ ); p_10_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_10_out, CO(2) => p_10_out_carry_n_1, CO(1) => p_10_out_carry_n_2, CO(0) => p_10_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_10_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_47\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_48\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_49\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_50\ ); p_12_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_12_out, CO(2) => p_12_out_carry_n_1, CO(1) => p_12_out_carry_n_2, CO(0) => p_12_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_12_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_51\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_52\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_53\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_54\ ); p_14_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_14_out, CO(2) => p_14_out_carry_n_1, CO(1) => p_14_out_carry_n_2, CO(0) => p_14_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_14_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_55\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_56\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_57\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_58\ ); p_2_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_2_out, CO(2) => p_2_out_carry_n_1, CO(1) => p_2_out_carry_n_2, CO(0) => p_2_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_2_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_31\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_32\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_33\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_34\ ); p_4_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_4_out, CO(2) => p_4_out_carry_n_1, CO(1) => p_4_out_carry_n_2, CO(0) => p_4_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_4_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_35\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_36\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_37\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_38\ ); p_6_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_6_out, CO(2) => p_6_out_carry_n_1, CO(1) => p_6_out_carry_n_2, CO(0) => p_6_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_6_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_39\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_40\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_41\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_42\ ); p_8_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_8_out, CO(2) => p_8_out_carry_n_1, CO(1) => p_8_out_carry_n_2, CO(0) => p_8_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_8_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_43\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_44\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_45\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_46\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_axic_reg_srl_fifo is port ( ss_wr_awready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); \write_cs0__0\ : out STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); match : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_22_in : in STD_LOGIC; ss_wr_awvalid : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_axic_reg_srl_fifo; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_axic_reg_srl_fifo is signal \/FSM_onehot_state[1]_i_1_n_0\ : STD_LOGIC; signal \/FSM_onehot_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_state[3]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_state_reg_n_0_[3]\ : signal is "yes"; signal areset_d1 : STD_LOGIC; signal fifoaddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gen_rep[0].fifoaddr[0]_i_1_n_0\ : STD_LOGIC; signal \gen_rep[0].fifoaddr[1]_i_1_n_0\ : STD_LOGIC; signal \gen_rep[0].fifoaddr[2]_i_1_n_0\ : STD_LOGIC; signal \gen_srls[0].gen_rep[0].srl_nx1_n_0\ : STD_LOGIC; signal \gen_srls[0].gen_rep[1].srl_nx1_n_0\ : STD_LOGIC; signal \gen_srls[0].gen_rep[2].srl_nx1_n_1\ : STD_LOGIC; signal load_s1 : STD_LOGIC; signal \m_aready0__3\ : STD_LOGIC; signal \m_aready__1\ : STD_LOGIC; signal m_avalid : STD_LOGIC; signal m_select_enc : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \m_valid_i__0\ : STD_LOGIC; signal m_valid_i_n_0 : STD_LOGIC; signal p_0_in5_out : STD_LOGIC; signal p_0_in8_in : STD_LOGIC; attribute RTL_KEEP of p_0_in8_in : signal is "yes"; signal p_9_in : STD_LOGIC; attribute RTL_KEEP of p_9_in : signal is "yes"; signal push : STD_LOGIC; signal \s_ready_i1__4\ : STD_LOGIC; signal \s_ready_i_i_1__9_n_0\ : STD_LOGIC; signal \^ss_wr_awready\ : STD_LOGIC; attribute KEEP : string; attribute KEEP of \FSM_onehot_state_reg[0]\ : label is "yes"; attribute KEEP of \FSM_onehot_state_reg[1]\ : label is "yes"; attribute KEEP of \FSM_onehot_state_reg[2]\ : label is "yes"; attribute KEEP of \FSM_onehot_state_reg[3]\ : label is "yes"; attribute syn_keep : string; attribute syn_keep of \gen_rep[0].fifoaddr_reg[0]\ : label is "1"; attribute syn_keep of \gen_rep[0].fifoaddr_reg[1]\ : label is "1"; attribute syn_keep of \gen_rep[0].fifoaddr_reg[2]\ : label is "1"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_axi_wvalid[0]_INST_0\ : label is "soft_lutpair191"; attribute SOFT_HLUTNM of \m_axi_wvalid[1]_INST_0\ : label is "soft_lutpair191"; attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair192"; attribute SOFT_HLUTNM of \m_axi_wvalid[3]_INST_0\ : label is "soft_lutpair192"; begin ss_wr_awready <= \^ss_wr_awready\; \/FSM_onehot_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"20202F20" ) port map ( I0 => s_axi_awvalid(0), I1 => m_ready_d(0), I2 => p_9_in, I3 => p_0_in5_out, I4 => p_0_in8_in, O => \/FSM_onehot_state[1]_i_1_n_0\ ); \/FSM_onehot_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B0B0B0BF" ) port map ( I0 => m_ready_d(0), I1 => s_axi_awvalid(0), I2 => p_9_in, I3 => p_0_in5_out, I4 => p_0_in8_in, O => \/FSM_onehot_state[2]_i_1_n_0\ ); \FSM_onehot_state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"008A0000" ) port map ( I0 => \m_aready__1\, I1 => m_ready_d(0), I2 => s_axi_awvalid(0), I3 => p_9_in, I4 => p_0_in8_in, O => \FSM_onehot_state[0]_i_1_n_0\ ); \FSM_onehot_state[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF488F488F488" ) port map ( I0 => \m_aready__1\, I1 => p_0_in8_in, I2 => p_9_in, I3 => ss_wr_awvalid, I4 => \FSM_onehot_state_reg_n_0_[3]\, I5 => p_0_in5_out, O => \m_valid_i__0\ ); \FSM_onehot_state[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00007500" ) port map ( I0 => \m_aready__1\, I1 => m_ready_d(0), I2 => s_axi_awvalid(0), I3 => p_0_in8_in, I4 => p_9_in, O => \FSM_onehot_state[3]_i_2_n_0\ ); \FSM_onehot_state_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \FSM_onehot_state[0]_i_1_n_0\, Q => p_9_in, S => areset_d1 ); \FSM_onehot_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \/FSM_onehot_state[1]_i_1_n_0\, Q => p_0_in8_in, R => areset_d1 ); \FSM_onehot_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \/FSM_onehot_state[2]_i_1_n_0\, Q => \FSM_onehot_state_reg_n_0_[2]\, R => areset_d1 ); \FSM_onehot_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \FSM_onehot_state[3]_i_2_n_0\, Q => \FSM_onehot_state_reg_n_0_[3]\, R => areset_d1 ); areset_d1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => SR(0), Q => areset_d1, R => '0' ); \gen_axi.write_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000800000" ) port map ( I0 => s_axi_wlast(0), I1 => m_avalid, I2 => s_axi_wvalid(0), I3 => m_select_enc(0), I4 => m_select_enc(2), I5 => m_select_enc(1), O => \write_cs0__0\ ); \gen_rep[0].fifoaddr[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8778" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => push, I3 => fifoaddr(0), O => \gen_rep[0].fifoaddr[0]_i_1_n_0\ ); \gen_rep[0].fifoaddr[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8FF77008" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(0), I3 => push, I4 => fifoaddr(1), O => \gen_rep[0].fifoaddr[1]_i_1_n_0\ ); \gen_rep[0].fifoaddr[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8FFFFFF770000008" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(0), I3 => fifoaddr(1), I4 => push, I5 => fifoaddr(2), O => \gen_rep[0].fifoaddr[2]_i_1_n_0\ ); \gen_rep[0].fifoaddr_reg[0]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => '1', D => \gen_rep[0].fifoaddr[0]_i_1_n_0\, Q => fifoaddr(0), S => SR(0) ); \gen_rep[0].fifoaddr_reg[1]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => '1', D => \gen_rep[0].fifoaddr[1]_i_1_n_0\, Q => fifoaddr(1), S => SR(0) ); \gen_rep[0].fifoaddr_reg[2]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => '1', D => \gen_rep[0].fifoaddr[2]_i_1_n_0\, Q => fifoaddr(2), S => SR(0) ); \gen_srls[0].gen_rep[0].srl_nx1\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ port map ( D(0) => D(0), aclk => aclk, fifoaddr(2 downto 0) => fifoaddr(2 downto 0), load_s1 => load_s1, m_select_enc(0) => m_select_enc(0), out0(0) => \FSM_onehot_state_reg_n_0_[3]\, push => push, \s_axi_awaddr[30]\(1) => \s_axi_awaddr[30]\(2), \s_axi_awaddr[30]\(0) => \s_axi_awaddr[30]\(0), \storage_data1_reg[0]\ => \gen_srls[0].gen_rep[0].srl_nx1_n_0\ ); \gen_srls[0].gen_rep[1].srl_nx1\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ port map ( D(0) => D(1), aclk => aclk, fifoaddr(2 downto 0) => fifoaddr(2 downto 0), load_s1 => load_s1, m_select_enc(0) => m_select_enc(1), out0(0) => \FSM_onehot_state_reg_n_0_[3]\, push => push, \s_axi_awaddr[30]\(1 downto 0) => \s_axi_awaddr[30]\(2 downto 1), \storage_data1_reg[1]\ => \gen_srls[0].gen_rep[1].srl_nx1_n_0\ ); \gen_srls[0].gen_rep[2].srl_nx1\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ port map ( D(0) => D(2), aclk => aclk, fifoaddr(2 downto 0) => fifoaddr(2 downto 0), load_s1 => load_s1, \m_aready0__3\ => \m_aready0__3\, \m_aready__1\ => \m_aready__1\, m_avalid => m_avalid, m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_ready_d(0) => m_ready_d(0), m_select_enc(2 downto 0) => m_select_enc(2 downto 0), match => match, out0(1) => p_0_in8_in, out0(0) => \FSM_onehot_state_reg_n_0_[3]\, p_22_in => p_22_in, push => push, s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wvalid(0) => s_axi_wvalid(0), ss_wr_awready => \^ss_wr_awready\, \storage_data1_reg[2]\ => \gen_srls[0].gen_rep[2].srl_nx1_n_1\ ); \m_axi_wvalid[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000008" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(0) ); \m_axi_wvalid[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000080" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(1) ); \m_axi_wvalid[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(2) ); \m_axi_wvalid[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00008000" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(3) ); m_valid_i: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF400F400F400" ) port map ( I0 => \m_aready__1\, I1 => p_0_in8_in, I2 => p_9_in, I3 => ss_wr_awvalid, I4 => \FSM_onehot_state_reg_n_0_[3]\, I5 => p_0_in5_out, O => m_valid_i_n_0 ); m_valid_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(1), I3 => fifoaddr(0), I4 => fifoaddr(2), I5 => push, O => p_0_in5_out ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => m_valid_i_n_0, Q => m_avalid, R => areset_d1 ); \s_axi_wready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => m_avalid, I1 => \m_aready0__3\, O => s_axi_wready(0) ); \s_ready_i_i_1__9\: unisim.vcomponents.LUT5 generic map( INIT => X"F0FFF0F8" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => areset_d1, I3 => \s_ready_i1__4\, I4 => \^ss_wr_awready\, O => \s_ready_i_i_1__9_n_0\ ); \s_ready_i_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000700000000000" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(2), I3 => fifoaddr(1), I4 => fifoaddr(0), I5 => push, O => \s_ready_i1__4\ ); s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__9_n_0\, Q => \^ss_wr_awready\, R => SR(0) ); \storage_data1[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"A0FCA0A0A0ECA0A0" ) port map ( I0 => \FSM_onehot_state_reg_n_0_[3]\, I1 => p_9_in, I2 => \m_aready__1\, I3 => m_ready_d(0), I4 => s_axi_awvalid(0), I5 => p_0_in8_in, O => load_s1 ); \storage_data1_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_srls[0].gen_rep[0].srl_nx1_n_0\, Q => m_select_enc(0), R => '0' ); \storage_data1_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_srls[0].gen_rep[1].srl_nx1_n_0\, Q => m_select_enc(1), R => '0' ); \storage_data1_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_srls[0].gen_rep[2].srl_nx1_n_1\, Q => m_select_enc(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice is port ( p_128_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_122_out : out STD_LOGIC; \m_axi_rready[0]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_0__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[2]\ : out STD_LOGIC; \chosen_reg[2]_0\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].r_issuing_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_93_in : in STD_LOGIC; p_102_out : in STD_LOGIC; p_108_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice is begin b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ port map ( D(13 downto 0) => D(13 downto 0), Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \chosen_reg[2]\ => \chosen_reg[2]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_128_out, p_108_out => p_108_out, p_1_in => p_1_in, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ port map ( E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \chosen_reg[0]\(0) => \chosen_reg[0]\(0), \chosen_reg[0]_0\(0) => \chosen_reg[0]_0\(0), \chosen_reg[2]\ => \chosen_reg[2]\, \gen_master_slots[0].r_issuing_cnt_reg[3]\(3 downto 0) => \gen_master_slots[0].r_issuing_cnt_reg[3]\(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[0]\ => \m_axi_rready[0]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_122_out, p_102_out => p_102_out, p_1_in => p_1_in, p_93_in => p_93_in, \r_cmd_pop_0__1\ => \r_cmd_pop_0__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_1 is port ( p_108_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_102_out : out STD_LOGIC; \m_axi_rready[1]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_1__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[1].r_issuing_cnt_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_75_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRESS_HIT_0 : in STD_LOGIC; \gen_master_slots[0].r_issuing_cnt_reg[0]\ : in STD_LOGIC; \m_axi_bid[23]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_1 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_1; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_1 is begin b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ port map ( Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), \m_axi_bid[23]\(13 downto 0) => \m_axi_bid[23]\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_108_out, p_1_in => p_1_in, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ port map ( ADDRESS_HIT_0 => ADDRESS_HIT_0, D(0) => D(0), E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \chosen_reg[1]\(0) => \chosen_reg[1]\(0), \chosen_reg[1]_0\(0) => \chosen_reg[1]_0\(0), \gen_master_slots[0].r_issuing_cnt_reg[0]\ => \gen_master_slots[0].r_issuing_cnt_reg[0]\, \gen_master_slots[1].r_issuing_cnt_reg[11]\(3 downto 0) => \gen_master_slots[1].r_issuing_cnt_reg[11]\(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[1]\ => \m_axi_rready[1]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_102_out, p_1_in => p_1_in, p_75_in => p_75_in, \r_cmd_pop_1__1\ => \r_cmd_pop_1__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_2 is port ( p_88_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_82_out : out STD_LOGIC; \m_axi_rready[2]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_2__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].r_issuing_cnt_reg[19]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_57_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \gen_master_slots[3].r_issuing_cnt_reg[24]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_axi_bid[35]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_2 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_2 is begin b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ port map ( Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), \m_axi_bid[35]\(13 downto 0) => \m_axi_bid[35]\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_88_out, p_1_in => p_1_in, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ port map ( D(1 downto 0) => D(1 downto 0), E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \chosen_reg[2]\(0) => \chosen_reg[2]\(0), \chosen_reg[2]_0\(0) => \chosen_reg[2]_0\(0), \gen_master_slots[2].r_issuing_cnt_reg[19]\(3 downto 0) => \gen_master_slots[2].r_issuing_cnt_reg[19]\(3 downto 0), \gen_master_slots[3].r_issuing_cnt_reg[24]\ => \gen_master_slots[3].r_issuing_cnt_reg[24]\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[2]\ => \m_axi_rready[2]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_82_out, p_1_in => p_1_in, p_57_in => p_57_in, \r_cmd_pop_2__1\ => \r_cmd_pop_2__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_3 is port ( p_68_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : out STD_LOGIC; p_62_out : out STD_LOGIC; \m_axi_rready[3]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_3__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[4]\ : out STD_LOGIC; \chosen_reg[4]_0\ : out STD_LOGIC; \aresetn_d_reg[1]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC; aresetn : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_1\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].r_issuing_cnt_reg[27]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_39_in : in STD_LOGIC; p_82_out : in STD_LOGIC; p_88_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_3 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_3 is signal \^p_1_in\ : STD_LOGIC; begin p_1_in <= \^p_1_in\; b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ port map ( D(13 downto 0) => D(13 downto 0), Q(0) => Q(0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \aresetn_d_reg[1]_1\ => \aresetn_d_reg[1]_1\, \chosen_reg[4]\ => \chosen_reg[4]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_68_out, p_1_in => \^p_1_in\, p_88_out => p_88_out, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ port map ( E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]_0\, \chosen_reg[3]\(0) => \chosen_reg[3]\(0), \chosen_reg[3]_0\(0) => \chosen_reg[3]_0\(0), \chosen_reg[4]\ => \chosen_reg[4]\, \gen_master_slots[3].r_issuing_cnt_reg[27]\(3 downto 0) => \gen_master_slots[3].r_issuing_cnt_reg[27]\(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[3]\ => \m_axi_rready[3]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_62_out, p_1_in => \^p_1_in\, p_39_in => p_39_in, p_82_out => p_82_out, \r_cmd_pop_3__1\ => \r_cmd_pop_3__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_4 is port ( p_46_out : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; mi_bready_4 : out STD_LOGIC; p_40_out : out STD_LOGIC; mi_rready_4 : out STD_LOGIC; s_ready_i_reg : out STD_LOGIC; \r_cmd_pop_4__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; p_29_in : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_23_in : in STD_LOGIC; \gen_axi.s_axi_rid_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); p_25_in : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 11 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_4 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_4; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_4 is signal \^m_valid_i_reg\ : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( D(11 downto 0) => D(11 downto 0), Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[0]\ => \aresetn_d_reg[0]\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(11 downto 0), \m_payload_i_reg[2]_0\ => p_46_out, m_valid_i_reg_0 => \^m_valid_i_reg\, mi_bready_4 => mi_bready_4, p_1_in => p_1_in, p_29_in => p_29_in, s_axi_bready(0) => s_axi_bready(0), s_ready_i_reg_0 => s_ready_i_reg ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \^m_valid_i_reg\, \chosen_reg[4]\(0) => \chosen_reg[4]\(0), \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0) => \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0), m_valid_i_reg_0 => p_40_out, p_1_in => p_1_in, p_23_in => p_23_in, p_25_in => p_25_in, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, s_axi_rready(0) => s_axi_rready(0), \skid_buffer_reg[34]_0\ => mi_rready_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_wdata_router is port ( ss_wr_awready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); \write_cs0__0\ : out STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); match : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_22_in : in STD_LOGIC; ss_wr_awvalid : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_wdata_router; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_wdata_router is begin wrouter_aw_fifo: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_data_fifo_v2_1_12_axic_reg_srl_fifo port map ( D(2 downto 0) => D(2 downto 0), SR(0) => SR(0), aclk => aclk, m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), m_ready_d(0) => m_ready_d(0), match => match, p_22_in => p_22_in, \s_axi_awaddr[30]\(2 downto 0) => \s_axi_awaddr[30]\(2 downto 0), s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), ss_wr_awready => ss_wr_awready, ss_wr_awvalid => ss_wr_awvalid, \write_cs0__0\ => \write_cs0__0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_crossbar is port ( M_AXI_RREADY : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : out STD_LOGIC_VECTOR ( 68 downto 0 ); \m_axi_arqos[15]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); S_AXI_ARREADY : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_rid[0]\ : out STD_LOGIC; \s_axi_rid[1]\ : out STD_LOGIC; \s_axi_rid[2]\ : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC; \s_axi_rid[4]\ : out STD_LOGIC; \s_axi_rid[5]\ : out STD_LOGIC; \s_axi_rid[6]\ : out STD_LOGIC; \s_axi_rid[7]\ : out STD_LOGIC; \s_axi_rid[8]\ : out STD_LOGIC; \s_axi_rid[9]\ : out STD_LOGIC; \s_axi_rid[10]\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC; s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_bid[0]\ : out STD_LOGIC; \s_axi_bid[1]\ : out STD_LOGIC; \s_axi_bid[2]\ : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC; \s_axi_bid[4]\ : out STD_LOGIC; \s_axi_bid[5]\ : out STD_LOGIC; \s_axi_bid[6]\ : out STD_LOGIC; \s_axi_bid[7]\ : out STD_LOGIC; \s_axi_bid[8]\ : out STD_LOGIC; \s_axi_bid[9]\ : out STD_LOGIC; \s_axi_bid[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); \s_axi_awready[0]\ : out STD_LOGIC; \s_axi_bvalid[0]\ : out STD_LOGIC; \s_axi_rvalid[0]\ : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 68 downto 0 ); \s_axi_arqos[3]\ : in STD_LOGIC_VECTOR ( 68 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); aresetn : in STD_LOGIC; m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_crossbar; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_crossbar is signal \^q\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal \^s_axi_arready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal aa_mi_artarget_hot : STD_LOGIC_VECTOR ( 4 to 4 ); signal aa_mi_arvalid : STD_LOGIC; signal aa_mi_awtarget_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal aa_sa_awvalid : STD_LOGIC; signal addr_arbiter_ar_n_79 : STD_LOGIC; signal addr_arbiter_ar_n_80 : STD_LOGIC; signal addr_arbiter_ar_n_81 : STD_LOGIC; signal addr_arbiter_ar_n_82 : STD_LOGIC; signal addr_arbiter_ar_n_83 : STD_LOGIC; signal addr_arbiter_ar_n_84 : STD_LOGIC; signal addr_arbiter_ar_n_85 : STD_LOGIC; signal addr_arbiter_ar_n_86 : STD_LOGIC; signal addr_arbiter_ar_n_87 : STD_LOGIC; signal addr_arbiter_ar_n_88 : STD_LOGIC; signal addr_arbiter_ar_n_89 : STD_LOGIC; signal addr_arbiter_ar_n_90 : STD_LOGIC; signal addr_arbiter_ar_n_99 : STD_LOGIC; signal addr_arbiter_aw_n_23 : STD_LOGIC; signal addr_arbiter_aw_n_25 : STD_LOGIC; signal aresetn_d : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0_4\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\ : STD_LOGIC; signal \gen_master_slots[0].r_issuing_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_4\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_54\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_55\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_6\ : STD_LOGIC; signal \gen_master_slots[0].w_issuing_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[1].r_issuing_cnt[8]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[1].reg_slice_mi_n_4\ : STD_LOGIC; signal \gen_master_slots[1].reg_slice_mi_n_53\ : STD_LOGIC; signal \gen_master_slots[1].w_issuing_cnt[8]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[2].r_issuing_cnt[16]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[2].reg_slice_mi_n_4\ : STD_LOGIC; signal \gen_master_slots[2].reg_slice_mi_n_53\ : STD_LOGIC; signal \gen_master_slots[2].w_issuing_cnt[16]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[3].r_issuing_cnt[24]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_5\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_55\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_56\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_57\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_7\ : STD_LOGIC; signal \gen_master_slots[3].w_issuing_cnt[24]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[4].reg_slice_mi_n_1\ : STD_LOGIC; signal \gen_master_slots[4].reg_slice_mi_n_5\ : STD_LOGIC; signal \gen_multi_thread.arbiter_resp_inst/chosen\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gen_multi_thread.arbiter_resp_inst/chosen_9\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_49\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\ : STD_LOGIC; signal \^m_axi_arqos[15]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal m_ready_d : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m_ready_d_12 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m_valid_i : STD_LOGIC; signal m_valid_i_10 : STD_LOGIC; signal match : STD_LOGIC; signal match_3 : STD_LOGIC; signal mi_arready_4 : STD_LOGIC; signal mi_awready_4 : STD_LOGIC; signal \mi_awready_mux__3\ : STD_LOGIC; signal mi_bready_4 : STD_LOGIC; signal mi_rready_4 : STD_LOGIC; signal p_101_in : STD_LOGIC; signal p_102_out : STD_LOGIC; signal p_104_out : STD_LOGIC; signal p_108_out : STD_LOGIC; signal p_122_out : STD_LOGIC; signal p_124_out : STD_LOGIC; signal p_128_out : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_22_in : STD_LOGIC; signal p_23_in : STD_LOGIC; signal p_25_in : STD_LOGIC; signal p_28_in : STD_LOGIC_VECTOR ( 11 downto 0 ); signal p_29_in : STD_LOGIC; signal p_32_in : STD_LOGIC_VECTOR ( 11 downto 0 ); signal p_39_in : STD_LOGIC; signal p_40_out : STD_LOGIC; signal p_42_out : STD_LOGIC; signal p_46_out : STD_LOGIC; signal p_48_in : STD_LOGIC; signal p_57_in : STD_LOGIC; signal p_62_out : STD_LOGIC; signal p_64_out : STD_LOGIC; signal p_66_in : STD_LOGIC; signal p_68_out : STD_LOGIC; signal p_75_in : STD_LOGIC; signal p_82_out : STD_LOGIC; signal p_84_in : STD_LOGIC; signal p_84_out : STD_LOGIC; signal p_88_out : STD_LOGIC; signal p_93_in : STD_LOGIC; signal \r_cmd_pop_0__1\ : STD_LOGIC; signal \r_cmd_pop_1__1\ : STD_LOGIC; signal \r_cmd_pop_2__1\ : STD_LOGIC; signal \r_cmd_pop_3__1\ : STD_LOGIC; signal \r_cmd_pop_4__1\ : STD_LOGIC; signal r_issuing_cnt : STD_LOGIC_VECTOR ( 32 downto 0 ); signal \r_pipe/p_1_in\ : STD_LOGIC; signal \r_pipe/p_1_in_5\ : STD_LOGIC; signal \r_pipe/p_1_in_6\ : STD_LOGIC; signal \r_pipe/p_1_in_7\ : STD_LOGIC; signal \r_pipe/p_1_in_8\ : STD_LOGIC; signal \read_cs__0\ : STD_LOGIC; signal reset : STD_LOGIC; signal \^s_axi_awready[0]\ : STD_LOGIC; signal s_axi_rlast_i0 : STD_LOGIC; signal s_axi_rvalid_i : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal s_ready_i0_11 : STD_LOGIC; signal \s_ready_i0__1\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \sa_wm_awready_mux__3\ : STD_LOGIC; signal splitter_aw_mi_n_0 : STD_LOGIC; signal splitter_aw_mi_n_1 : STD_LOGIC; signal splitter_aw_mi_n_10 : STD_LOGIC; signal splitter_aw_mi_n_11 : STD_LOGIC; signal splitter_aw_mi_n_12 : STD_LOGIC; signal splitter_aw_mi_n_2 : STD_LOGIC; signal splitter_aw_mi_n_3 : STD_LOGIC; signal splitter_aw_mi_n_4 : STD_LOGIC; signal splitter_aw_mi_n_5 : STD_LOGIC; signal splitter_aw_mi_n_6 : STD_LOGIC; signal splitter_aw_mi_n_7 : STD_LOGIC; signal splitter_aw_mi_n_8 : STD_LOGIC; signal splitter_aw_mi_n_9 : STD_LOGIC; signal ss_aa_awready : STD_LOGIC; signal ss_wr_awready : STD_LOGIC; signal ss_wr_awvalid : STD_LOGIC; signal st_aa_awtarget_enc : STD_LOGIC_VECTOR ( 1 downto 0 ); signal st_mr_bid : STD_LOGIC_VECTOR ( 59 downto 0 ); signal st_mr_bmesg : STD_LOGIC_VECTOR ( 10 downto 0 ); signal st_mr_rid : STD_LOGIC_VECTOR ( 59 downto 0 ); signal st_mr_rmesg : STD_LOGIC_VECTOR ( 139 downto 0 ); signal w_issuing_cnt : STD_LOGIC_VECTOR ( 32 downto 0 ); signal write_cs01_out : STD_LOGIC; signal \write_cs0__0\ : STD_LOGIC; begin Q(68 downto 0) <= \^q\(68 downto 0); S_AXI_ARREADY(0) <= \^s_axi_arready\(0); \m_axi_arqos[15]\(68 downto 0) <= \^m_axi_arqos[15]\(68 downto 0); \s_axi_awready[0]\ <= \^s_axi_awready[0]\; addr_arbiter_ar: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\, D(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\, D(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\, E(0) => s_ready_i0, Q(0) => aa_mi_artarget_hot(4), SR(0) => reset, S_AXI_ARREADY(0) => \^s_axi_arready\(0), aa_mi_arvalid => aa_mi_arvalid, aclk => aclk, \gen_axi.s_axi_rid_i_reg[11]\(0) => s_axi_rvalid_i, \gen_master_slots[0].r_issuing_cnt_reg[3]\(2) => addr_arbiter_ar_n_79, \gen_master_slots[0].r_issuing_cnt_reg[3]\(1) => addr_arbiter_ar_n_80, \gen_master_slots[0].r_issuing_cnt_reg[3]\(0) => addr_arbiter_ar_n_81, \gen_master_slots[1].r_issuing_cnt_reg[11]\(2) => addr_arbiter_ar_n_82, \gen_master_slots[1].r_issuing_cnt_reg[11]\(1) => addr_arbiter_ar_n_83, \gen_master_slots[1].r_issuing_cnt_reg[11]\(0) => addr_arbiter_ar_n_84, \gen_master_slots[2].r_issuing_cnt_reg[19]\(2) => addr_arbiter_ar_n_88, \gen_master_slots[2].r_issuing_cnt_reg[19]\(1) => addr_arbiter_ar_n_89, \gen_master_slots[2].r_issuing_cnt_reg[19]\(0) => addr_arbiter_ar_n_90, \gen_master_slots[3].r_issuing_cnt_reg[27]\(2) => addr_arbiter_ar_n_85, \gen_master_slots[3].r_issuing_cnt_reg[27]\(1) => addr_arbiter_ar_n_86, \gen_master_slots[3].r_issuing_cnt_reg[27]\(0) => addr_arbiter_ar_n_87, \gen_master_slots[4].r_issuing_cnt_reg[32]\ => addr_arbiter_ar_n_99, \m_axi_arqos[15]\(68 downto 0) => \^m_axi_arqos[15]\(68 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_valid_i => m_valid_i, match => match, mi_arready_4 => mi_arready_4, p_23_in => p_23_in, p_39_in => p_39_in, p_57_in => p_57_in, p_75_in => p_75_in, p_93_in => p_93_in, \r_cmd_pop_0__1\ => \r_cmd_pop_0__1\, \r_cmd_pop_1__1\ => \r_cmd_pop_1__1\, \r_cmd_pop_2__1\ => \r_cmd_pop_2__1\, \r_cmd_pop_3__1\ => \r_cmd_pop_3__1\, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, r_issuing_cnt(16) => r_issuing_cnt(32), r_issuing_cnt(15 downto 12) => r_issuing_cnt(27 downto 24), r_issuing_cnt(11 downto 8) => r_issuing_cnt(19 downto 16), r_issuing_cnt(7 downto 4) => r_issuing_cnt(11 downto 8), r_issuing_cnt(3 downto 0) => r_issuing_cnt(3 downto 0), \read_cs__0\ => \read_cs__0\, \s_axi_araddr[24]\(0) => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_49\, \s_axi_arqos[3]\(68 downto 0) => \s_axi_arqos[3]\(68 downto 0), s_axi_rlast_i0 => s_axi_rlast_i0 ); addr_arbiter_aw: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_addr_arbiter_0 port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0_4\, D(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\, D(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\, E(0) => s_ready_i0_11, Q(4 downto 0) => aa_mi_awtarget_hot(4 downto 0), SR(0) => reset, aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, \chosen_reg[4]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(4), \gen_master_slots[4].w_issuing_cnt_reg[32]\ => addr_arbiter_aw_n_25, \gen_no_arbiter.s_ready_i_reg[0]_0\ => addr_arbiter_aw_n_23, \m_axi_awqos[15]\(68 downto 0) => \^q\(68 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_ready_d(1 downto 0) => m_ready_d_12(1 downto 0), m_ready_d_0(0) => m_ready_d(0), m_valid_i => m_valid_i_10, match => match_3, mi_awready_4 => mi_awready_4, \mi_awready_mux__3\ => \mi_awready_mux__3\, p_101_in => p_101_in, p_46_out => p_46_out, p_48_in => p_48_in, p_66_in => p_66_in, p_84_in => p_84_in, \s_axi_awaddr[24]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\, \s_axi_awqos[3]\(68 downto 0) => D(68 downto 0), s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bready(0) => s_axi_bready(0), \s_ready_i0__1\(0) => \s_ready_i0__1\(0), \sa_wm_awready_mux__3\ => \sa_wm_awready_mux__3\, ss_aa_awready => ss_aa_awready, w_issuing_cnt(0) => w_issuing_cnt(32), write_cs01_out => write_cs01_out ); aresetn_d_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => aresetn_d, R => '0' ); \gen_decerr_slave.decerr_slave_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_decerr_slave port map ( E(0) => s_axi_rvalid_i, Q(0) => aa_mi_awtarget_hot(4), SR(0) => reset, aa_mi_arvalid => aa_mi_arvalid, aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, \gen_no_arbiter.m_mesg_i_reg[11]\(11 downto 0) => \^q\(11 downto 0), \gen_no_arbiter.m_mesg_i_reg[51]\(19 downto 12) => \^m_axi_arqos[15]\(51 downto 44), \gen_no_arbiter.m_mesg_i_reg[51]\(11 downto 0) => \^m_axi_arqos[15]\(11 downto 0), \gen_no_arbiter.m_target_hot_i_reg[4]\(0) => aa_mi_artarget_hot(4), \m_payload_i_reg[13]\(11 downto 0) => p_32_in(11 downto 0), m_ready_d(0) => m_ready_d_12(1), \m_ready_d_reg[1]\ => splitter_aw_mi_n_3, mi_arready_4 => mi_arready_4, mi_awready_4 => mi_awready_4, mi_bready_4 => mi_bready_4, mi_rready_4 => mi_rready_4, p_22_in => p_22_in, p_23_in => p_23_in, p_25_in => p_25_in, p_29_in => p_29_in, \read_cs__0\ => \read_cs__0\, s_axi_rlast_i0 => s_axi_rlast_i0, \skid_buffer_reg[46]\(11 downto 0) => p_28_in(11 downto 0), write_cs01_out => write_cs01_out, \write_cs0__0\ => \write_cs0__0\ ); \gen_master_slots[0].r_issuing_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(0), O => \gen_master_slots[0].r_issuing_cnt[0]_i_1_n_0\ ); \gen_master_slots[0].r_issuing_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => \gen_master_slots[0].r_issuing_cnt[0]_i_1_n_0\, Q => r_issuing_cnt(0), R => reset ); \gen_master_slots[0].r_issuing_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_81, Q => r_issuing_cnt(1), R => reset ); \gen_master_slots[0].r_issuing_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_80, Q => r_issuing_cnt(2), R => reset ); \gen_master_slots[0].r_issuing_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_79, Q => r_issuing_cnt(3), R => reset ); \gen_master_slots[0].reg_slice_mi\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice port map ( D(13 downto 2) => m_axi_bid(11 downto 0), D(1 downto 0) => m_axi_bresp(1 downto 0), E(0) => \gen_master_slots[0].reg_slice_mi_n_4\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(0), aclk => aclk, \aresetn_d_reg[1]\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[0]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(0), \chosen_reg[0]_0\(0) => \r_pipe/p_1_in_8\, \chosen_reg[2]\ => \gen_master_slots[0].reg_slice_mi_n_54\, \chosen_reg[2]_0\ => \gen_master_slots[0].reg_slice_mi_n_55\, \gen_master_slots[0].r_issuing_cnt_reg[3]\(3 downto 0) => r_issuing_cnt(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_124_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(1 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(34 downto 3), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(1 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[0].reg_slice_mi_n_6\, m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[0]\ => M_AXI_RREADY(0), m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), p_102_out => p_102_out, p_108_out => p_108_out, p_122_out => p_122_out, p_128_out => p_128_out, p_1_in => p_1_in, p_93_in => p_93_in, \r_cmd_pop_0__1\ => \r_cmd_pop_0__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[0].w_issuing_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(0), O => \gen_master_slots[0].w_issuing_cnt[0]_i_1_n_0\ ); \gen_master_slots[0].w_issuing_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => \gen_master_slots[0].w_issuing_cnt[0]_i_1_n_0\, Q => w_issuing_cnt(0), R => reset ); \gen_master_slots[0].w_issuing_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => splitter_aw_mi_n_12, Q => w_issuing_cnt(1), R => reset ); \gen_master_slots[0].w_issuing_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => splitter_aw_mi_n_11, Q => w_issuing_cnt(2), R => reset ); \gen_master_slots[0].w_issuing_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => splitter_aw_mi_n_10, Q => w_issuing_cnt(3), R => reset ); \gen_master_slots[1].r_issuing_cnt[8]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(8), O => \gen_master_slots[1].r_issuing_cnt[8]_i_1_n_0\ ); \gen_master_slots[1].r_issuing_cnt_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_83, Q => r_issuing_cnt(10), R => reset ); \gen_master_slots[1].r_issuing_cnt_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_82, Q => r_issuing_cnt(11), R => reset ); \gen_master_slots[1].r_issuing_cnt_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => \gen_master_slots[1].r_issuing_cnt[8]_i_1_n_0\, Q => r_issuing_cnt(8), R => reset ); \gen_master_slots[1].r_issuing_cnt_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_84, Q => r_issuing_cnt(9), R => reset ); \gen_master_slots[1].reg_slice_mi\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_1 port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\, E(0) => \gen_master_slots[1].reg_slice_mi_n_4\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(1), aclk => aclk, \aresetn_d_reg[1]\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[1]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(1), \chosen_reg[1]_0\(0) => \r_pipe/p_1_in_7\, \gen_master_slots[0].r_issuing_cnt_reg[0]\ => \gen_master_slots[0].reg_slice_mi_n_6\, \gen_master_slots[1].r_issuing_cnt_reg[11]\(3 downto 0) => r_issuing_cnt(11 downto 8), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(23 downto 12), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_104_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(36 downto 35), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(69 downto 38), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(23 downto 12), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(4 downto 3), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[1].reg_slice_mi_n_53\, \m_axi_bid[23]\(13 downto 2) => m_axi_bid(23 downto 12), \m_axi_bid[23]\(1 downto 0) => m_axi_bresp(3 downto 2), m_axi_bready(0) => m_axi_bready(1), m_axi_bvalid(0) => m_axi_bvalid(1), m_axi_rdata(31 downto 0) => m_axi_rdata(63 downto 32), m_axi_rid(11 downto 0) => m_axi_rid(23 downto 12), m_axi_rlast(0) => m_axi_rlast(1), \m_axi_rready[1]\ => M_AXI_RREADY(1), m_axi_rresp(1 downto 0) => m_axi_rresp(3 downto 2), m_axi_rvalid(0) => m_axi_rvalid(1), p_102_out => p_102_out, p_108_out => p_108_out, p_1_in => p_1_in, p_75_in => p_75_in, \r_cmd_pop_1__1\ => \r_cmd_pop_1__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[1].w_issuing_cnt[8]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(8), O => \gen_master_slots[1].w_issuing_cnt[8]_i_1_n_0\ ); \gen_master_slots[1].w_issuing_cnt_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => splitter_aw_mi_n_1, Q => w_issuing_cnt(10), R => reset ); \gen_master_slots[1].w_issuing_cnt_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => splitter_aw_mi_n_0, Q => w_issuing_cnt(11), R => reset ); \gen_master_slots[1].w_issuing_cnt_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => \gen_master_slots[1].w_issuing_cnt[8]_i_1_n_0\, Q => w_issuing_cnt(8), R => reset ); \gen_master_slots[1].w_issuing_cnt_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => splitter_aw_mi_n_2, Q => w_issuing_cnt(9), R => reset ); \gen_master_slots[2].r_issuing_cnt[16]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(16), O => \gen_master_slots[2].r_issuing_cnt[16]_i_1_n_0\ ); \gen_master_slots[2].r_issuing_cnt_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => \gen_master_slots[2].r_issuing_cnt[16]_i_1_n_0\, Q => r_issuing_cnt(16), R => reset ); \gen_master_slots[2].r_issuing_cnt_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_90, Q => r_issuing_cnt(17), R => reset ); \gen_master_slots[2].r_issuing_cnt_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_89, Q => r_issuing_cnt(18), R => reset ); \gen_master_slots[2].r_issuing_cnt_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_88, Q => r_issuing_cnt(19), R => reset ); \gen_master_slots[2].reg_slice_mi\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_2 port map ( D(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\, E(0) => \gen_master_slots[2].reg_slice_mi_n_4\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(2), aclk => aclk, \aresetn_d_reg[1]\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[2]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(2), \chosen_reg[2]_0\(0) => \r_pipe/p_1_in\, \gen_master_slots[2].r_issuing_cnt_reg[19]\(3 downto 0) => r_issuing_cnt(19 downto 16), \gen_master_slots[3].r_issuing_cnt_reg[24]\ => \gen_master_slots[3].reg_slice_mi_n_7\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(35 downto 24), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_84_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(71 downto 70), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(104 downto 73), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(35 downto 24), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(7 downto 6), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[2].reg_slice_mi_n_53\, \m_axi_bid[35]\(13 downto 2) => m_axi_bid(35 downto 24), \m_axi_bid[35]\(1 downto 0) => m_axi_bresp(5 downto 4), m_axi_bready(0) => m_axi_bready(2), m_axi_bvalid(0) => m_axi_bvalid(2), m_axi_rdata(31 downto 0) => m_axi_rdata(95 downto 64), m_axi_rid(11 downto 0) => m_axi_rid(35 downto 24), m_axi_rlast(0) => m_axi_rlast(2), \m_axi_rready[2]\ => M_AXI_RREADY(2), m_axi_rresp(1 downto 0) => m_axi_rresp(5 downto 4), m_axi_rvalid(0) => m_axi_rvalid(2), p_1_in => p_1_in, p_57_in => p_57_in, p_82_out => p_82_out, p_88_out => p_88_out, \r_cmd_pop_2__1\ => \r_cmd_pop_2__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[2].w_issuing_cnt[16]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(16), O => \gen_master_slots[2].w_issuing_cnt[16]_i_1_n_0\ ); \gen_master_slots[2].w_issuing_cnt_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => \gen_master_slots[2].w_issuing_cnt[16]_i_1_n_0\, Q => w_issuing_cnt(16), R => reset ); \gen_master_slots[2].w_issuing_cnt_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => splitter_aw_mi_n_6, Q => w_issuing_cnt(17), R => reset ); \gen_master_slots[2].w_issuing_cnt_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => splitter_aw_mi_n_5, Q => w_issuing_cnt(18), R => reset ); \gen_master_slots[2].w_issuing_cnt_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => splitter_aw_mi_n_4, Q => w_issuing_cnt(19), R => reset ); \gen_master_slots[3].r_issuing_cnt[24]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(24), O => \gen_master_slots[3].r_issuing_cnt[24]_i_1_n_0\ ); \gen_master_slots[3].r_issuing_cnt_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => \gen_master_slots[3].r_issuing_cnt[24]_i_1_n_0\, Q => r_issuing_cnt(24), R => reset ); \gen_master_slots[3].r_issuing_cnt_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => addr_arbiter_ar_n_87, Q => r_issuing_cnt(25), R => reset ); \gen_master_slots[3].r_issuing_cnt_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => addr_arbiter_ar_n_86, Q => r_issuing_cnt(26), R => reset ); \gen_master_slots[3].r_issuing_cnt_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => addr_arbiter_ar_n_85, Q => r_issuing_cnt(27), R => reset ); \gen_master_slots[3].reg_slice_mi\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_3 port map ( D(13 downto 2) => m_axi_bid(47 downto 36), D(1 downto 0) => m_axi_bresp(7 downto 6), E(0) => \gen_master_slots[3].reg_slice_mi_n_5\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(3), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]\ => \gen_master_slots[3].reg_slice_mi_n_57\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_1\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[3]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(3), \chosen_reg[3]_0\(0) => \r_pipe/p_1_in_5\, \chosen_reg[4]\ => \gen_master_slots[3].reg_slice_mi_n_55\, \chosen_reg[4]_0\ => \gen_master_slots[3].reg_slice_mi_n_56\, \gen_master_slots[3].r_issuing_cnt_reg[27]\(3 downto 0) => r_issuing_cnt(27 downto 24), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(47 downto 36), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_64_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(106 downto 105), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(139 downto 108), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(47 downto 36), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(10 downto 9), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[3].reg_slice_mi_n_7\, m_axi_bready(0) => m_axi_bready(3), m_axi_bvalid(0) => m_axi_bvalid(3), m_axi_rdata(31 downto 0) => m_axi_rdata(127 downto 96), m_axi_rid(11 downto 0) => m_axi_rid(47 downto 36), m_axi_rlast(0) => m_axi_rlast(3), \m_axi_rready[3]\ => M_AXI_RREADY(3), m_axi_rresp(1 downto 0) => m_axi_rresp(7 downto 6), m_axi_rvalid(0) => m_axi_rvalid(3), p_1_in => p_1_in, p_39_in => p_39_in, p_62_out => p_62_out, p_68_out => p_68_out, p_82_out => p_82_out, p_88_out => p_88_out, \r_cmd_pop_3__1\ => \r_cmd_pop_3__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[3].w_issuing_cnt[24]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(24), O => \gen_master_slots[3].w_issuing_cnt[24]_i_1_n_0\ ); \gen_master_slots[3].w_issuing_cnt_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => \gen_master_slots[3].w_issuing_cnt[24]_i_1_n_0\, Q => w_issuing_cnt(24), R => reset ); \gen_master_slots[3].w_issuing_cnt_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => splitter_aw_mi_n_9, Q => w_issuing_cnt(25), R => reset ); \gen_master_slots[3].w_issuing_cnt_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => splitter_aw_mi_n_8, Q => w_issuing_cnt(26), R => reset ); \gen_master_slots[3].w_issuing_cnt_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => splitter_aw_mi_n_7, Q => w_issuing_cnt(27), R => reset ); \gen_master_slots[4].r_issuing_cnt_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => addr_arbiter_ar_n_99, Q => r_issuing_cnt(32), R => reset ); \gen_master_slots[4].reg_slice_mi\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice_4 port map ( D(11 downto 0) => p_32_in(11 downto 0), E(0) => \r_pipe/p_1_in_6\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(4), aclk => aclk, \aresetn_d_reg[0]\ => \gen_master_slots[3].reg_slice_mi_n_57\, \chosen_reg[4]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(4), \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0) => p_28_in(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 1) => st_mr_rid(59 downto 48), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => p_42_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(11 downto 0) => st_mr_bid(59 downto 48), m_valid_i_reg => \gen_master_slots[4].reg_slice_mi_n_1\, mi_bready_4 => mi_bready_4, mi_rready_4 => mi_rready_4, p_1_in => p_1_in, p_23_in => p_23_in, p_25_in => p_25_in, p_29_in => p_29_in, p_40_out => p_40_out, p_46_out => p_46_out, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0), s_ready_i_reg => \gen_master_slots[4].reg_slice_mi_n_5\ ); \gen_master_slots[4].w_issuing_cnt_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => addr_arbiter_aw_n_25, Q => w_issuing_cnt(32), R => reset ); \gen_slave_slots[0].gen_si_read.si_transactor_ar\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\, D(0) => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_49\, E(0) => s_ready_i0, Q(4 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen\(4 downto 0), SR(0) => reset, S_AXI_ARREADY(0) => \^s_axi_arready\(0), aa_mi_arvalid => aa_mi_arvalid, aclk => aclk, aresetn_d => aresetn_d, \gen_master_slots[1].r_issuing_cnt_reg[8]\ => \gen_master_slots[1].reg_slice_mi_n_53\, \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_master_slots[2].reg_slice_mi_n_53\, \m_payload_i_reg[0]\(0) => \r_pipe/p_1_in_8\, \m_payload_i_reg[0]_0\(0) => \r_pipe/p_1_in_7\, \m_payload_i_reg[0]_1\(0) => \r_pipe/p_1_in_5\, \m_payload_i_reg[0]_2\(0) => \r_pipe/p_1_in\, \m_payload_i_reg[34]\(0) => \r_pipe/p_1_in_6\, \m_payload_i_reg[34]_0\(0) => p_42_out, \m_payload_i_reg[34]_1\(0) => p_64_out, \m_payload_i_reg[34]_2\(0) => p_124_out, \m_payload_i_reg[34]_3\(0) => p_84_out, \m_payload_i_reg[34]_4\(0) => p_104_out, m_valid_i => m_valid_i, m_valid_i_reg => \gen_master_slots[3].reg_slice_mi_n_55\, m_valid_i_reg_0 => \gen_master_slots[0].reg_slice_mi_n_54\, match => match, p_102_out => p_102_out, p_122_out => p_122_out, p_40_out => p_40_out, p_62_out => p_62_out, p_82_out => p_82_out, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, r_issuing_cnt(0) => r_issuing_cnt(32), \s_axi_araddr[30]\(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\, \s_axi_araddr[30]\(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\, \s_axi_araddr[30]\(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\, \s_axi_arid[11]\(11 downto 0) => \s_axi_arqos[3]\(11 downto 0), s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rid[0]\ => \s_axi_rid[0]\, \s_axi_rid[10]\ => \s_axi_rid[10]\, \s_axi_rid[11]\ => \s_axi_rid[11]\, \s_axi_rid[1]\ => \s_axi_rid[1]\, \s_axi_rid[2]\ => \s_axi_rid[2]\, \s_axi_rid[3]\ => \s_axi_rid[3]\, \s_axi_rid[4]\ => \s_axi_rid[4]\, \s_axi_rid[5]\ => \s_axi_rid[5]\, \s_axi_rid[6]\ => \s_axi_rid[6]\, \s_axi_rid[7]\ => \s_axi_rid[7]\, \s_axi_rid[8]\ => \s_axi_rid[8]\, \s_axi_rid[9]\ => \s_axi_rid[9]\, s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), \s_axi_rvalid[0]\ => \s_axi_rvalid[0]\, st_mr_rid(59 downto 0) => st_mr_rid(59 downto 0), st_mr_rmesg(135 downto 104) => st_mr_rmesg(139 downto 108), st_mr_rmesg(103 downto 70) => st_mr_rmesg(106 downto 73), st_mr_rmesg(69 downto 36) => st_mr_rmesg(71 downto 38), st_mr_rmesg(35 downto 2) => st_mr_rmesg(36 downto 3), st_mr_rmesg(1 downto 0) => st_mr_rmesg(1 downto 0) ); \gen_slave_slots[0].gen_si_write.si_transactor_aw\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_si_transactor__parameterized0\ port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0_4\, D(2) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\, D(1 downto 0) => st_aa_awtarget_enc(1 downto 0), E(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, Q(4 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(4 downto 0), SR(0) => reset, aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, \gen_master_slots[0].w_issuing_cnt_reg[0]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, \gen_master_slots[2].w_issuing_cnt_reg[16]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, \gen_master_slots[3].w_issuing_cnt_reg[24]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, \gen_no_arbiter.s_ready_i_reg[0]\(0) => s_ready_i0_11, \gen_no_arbiter.s_ready_i_reg[0]_0\ => addr_arbiter_aw_n_23, \m_ready_d_reg[1]\ => \^s_axi_awready[0]\, m_valid_i => m_valid_i_10, m_valid_i_reg => \gen_master_slots[3].reg_slice_mi_n_56\, m_valid_i_reg_0 => \gen_master_slots[0].reg_slice_mi_n_55\, match => match_3, p_101_in => p_101_in, p_108_out => p_108_out, p_128_out => p_128_out, p_46_out => p_46_out, p_48_in => p_48_in, p_66_in => p_66_in, p_68_out => p_68_out, p_84_in => p_84_in, p_88_out => p_88_out, \s_axi_awaddr[30]\(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\, \s_axi_awaddr[30]\(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\, \s_axi_awaddr[30]\(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\, \s_axi_awid[11]\(11 downto 0) => D(11 downto 0), \s_axi_bid[0]\ => \s_axi_bid[0]\, \s_axi_bid[10]\ => \s_axi_bid[10]\, \s_axi_bid[11]\ => \s_axi_bid[11]\, \s_axi_bid[1]\ => \s_axi_bid[1]\, \s_axi_bid[2]\ => \s_axi_bid[2]\, \s_axi_bid[3]\ => \s_axi_bid[3]\, \s_axi_bid[4]\ => \s_axi_bid[4]\, \s_axi_bid[5]\ => \s_axi_bid[5]\, \s_axi_bid[6]\ => \s_axi_bid[6]\, \s_axi_bid[7]\ => \s_axi_bid[7]\, \s_axi_bid[8]\ => \s_axi_bid[8]\, \s_axi_bid[9]\ => \s_axi_bid[9]\, s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), \s_axi_bvalid[0]\ => \s_axi_bvalid[0]\, st_mr_bid(59 downto 0) => st_mr_bid(59 downto 0), st_mr_bmesg(7 downto 6) => st_mr_bmesg(10 downto 9), st_mr_bmesg(5 downto 4) => st_mr_bmesg(7 downto 6), st_mr_bmesg(3 downto 2) => st_mr_bmesg(4 downto 3), st_mr_bmesg(1 downto 0) => st_mr_bmesg(1 downto 0), w_issuing_cnt(16) => w_issuing_cnt(32), w_issuing_cnt(15 downto 12) => w_issuing_cnt(27 downto 24), w_issuing_cnt(11 downto 8) => w_issuing_cnt(19 downto 16), w_issuing_cnt(7 downto 4) => w_issuing_cnt(11 downto 8), w_issuing_cnt(3 downto 0) => w_issuing_cnt(3 downto 0) ); \gen_slave_slots[0].gen_si_write.splitter_aw_si\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter port map ( aclk => aclk, aresetn_d => aresetn_d, m_ready_d(1 downto 0) => m_ready_d(1 downto 0), \s_axi_awready[0]\ => \^s_axi_awready[0]\, s_axi_awvalid(0) => s_axi_awvalid(0), ss_aa_awready => ss_aa_awready, ss_wr_awready => ss_wr_awready, ss_wr_awvalid => ss_wr_awvalid ); \gen_slave_slots[0].gen_si_write.wdata_router_w\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_wdata_router port map ( D(2) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\, D(1 downto 0) => st_aa_awtarget_enc(1 downto 0), SR(0) => reset, aclk => aclk, m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), m_ready_d(0) => m_ready_d(1), match => match_3, p_22_in => p_22_in, \s_axi_awaddr[30]\(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\, \s_axi_awaddr[30]\(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\, \s_axi_awaddr[30]\(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\, s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), ss_wr_awready => ss_wr_awready, ss_wr_awvalid => ss_wr_awvalid, \write_cs0__0\ => \write_cs0__0\ ); splitter_aw_mi: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_splitter_5 port map ( D(2) => splitter_aw_mi_n_0, D(1) => splitter_aw_mi_n_1, D(0) => splitter_aw_mi_n_2, Q(3 downto 0) => aa_mi_awtarget_hot(3 downto 0), aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, \chosen_reg[3]\(3 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(3 downto 0), \gen_axi.s_axi_awready_i_reg\ => splitter_aw_mi_n_3, \gen_master_slots[0].w_issuing_cnt_reg[3]\(2) => splitter_aw_mi_n_10, \gen_master_slots[0].w_issuing_cnt_reg[3]\(1) => splitter_aw_mi_n_11, \gen_master_slots[0].w_issuing_cnt_reg[3]\(0) => splitter_aw_mi_n_12, \gen_master_slots[2].w_issuing_cnt_reg[19]\(2) => splitter_aw_mi_n_4, \gen_master_slots[2].w_issuing_cnt_reg[19]\(1) => splitter_aw_mi_n_5, \gen_master_slots[2].w_issuing_cnt_reg[19]\(0) => splitter_aw_mi_n_6, \gen_master_slots[3].w_issuing_cnt_reg[27]\(2) => splitter_aw_mi_n_7, \gen_master_slots[3].w_issuing_cnt_reg[27]\(1) => splitter_aw_mi_n_8, \gen_master_slots[3].w_issuing_cnt_reg[27]\(0) => splitter_aw_mi_n_9, m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_ready_d(1 downto 0) => m_ready_d_12(1 downto 0), \mi_awready_mux__3\ => \mi_awready_mux__3\, p_108_out => p_108_out, p_128_out => p_128_out, p_68_out => p_68_out, p_88_out => p_88_out, s_axi_bready(0) => s_axi_bready(0), \s_ready_i0__1\(0) => \s_ready_i0__1\(0), \sa_wm_awready_mux__3\ => \sa_wm_awready_mux__3\, w_issuing_cnt(15 downto 12) => w_issuing_cnt(27 downto 24), w_issuing_cnt(11 downto 8) => w_issuing_cnt(19 downto 16), w_issuing_cnt(7 downto 4) => w_issuing_cnt(11 downto 8), w_issuing_cnt(3 downto 0) => w_issuing_cnt(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_ruser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 12; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_CONNECTIVITY_MODE : integer; attribute C_CONNECTIVITY_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_DEBUG : integer; attribute C_DEBUG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; attribute C_M_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000001101000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; attribute C_M_AXI_BASE_ADDR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "256'b0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000000000000000000010000010010000100000000000000000000000000000000000000000000000001000001001000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; attribute C_M_AXI_READ_ISSUING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_M_AXI_SECURE : string; attribute C_M_AXI_SECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_M_AXI_WRITE_CONNECTIVITY : string; attribute C_M_AXI_WRITE_CONNECTIVITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_WRITE_ISSUING : string; attribute C_M_AXI_WRITE_ISSUING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_NUM_ADDR_RANGES : integer; attribute C_NUM_ADDR_RANGES of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_NUM_MASTER_SLOTS : integer; attribute C_NUM_MASTER_SLOTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 4; attribute C_NUM_SLAVE_SLOTS : integer; attribute C_NUM_SLAVE_SLOTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_R_REGISTER : integer; attribute C_R_REGISTER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_ARB_PRIORITY : integer; attribute C_S_AXI_ARB_PRIORITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_BASE_ID : integer; attribute C_S_AXI_BASE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_READ_ACCEPTANCE : integer; attribute C_S_AXI_READ_ACCEPTANCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute C_S_AXI_SINGLE_THREAD : integer; attribute C_S_AXI_SINGLE_THREAD of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_THREAD_ID_WIDTH : integer; attribute C_S_AXI_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 12; attribute C_S_AXI_WRITE_ACCEPTANCE : integer; attribute C_S_AXI_WRITE_ACCEPTANCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "yes"; attribute P_ADDR_DECODE : integer; attribute P_ADDR_DECODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_AXI3 : integer; attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "3'b010"; attribute P_FAMILY : string; attribute P_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "zynq"; attribute P_INCR : string; attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "2'b01"; attribute P_LEN : integer; attribute P_LEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute P_LOCK : integer; attribute P_LOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_M_AXI_ERR_MODE : string; attribute P_M_AXI_ERR_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute P_M_AXI_SUPPORTS_READ : string; attribute P_M_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "4'b1111"; attribute P_M_AXI_SUPPORTS_WRITE : string; attribute P_M_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "4'b1111"; attribute P_ONES : string; attribute P_ONES of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "65'b11111111111111111111111111111111111111111111111111111111111111111"; attribute P_RANGE_CHECK : integer; attribute P_RANGE_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_S_AXI_BASE_ID : string; attribute P_S_AXI_BASE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000000000"; attribute P_S_AXI_HIGH_ID : string; attribute P_S_AXI_HIGH_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000111111111111"; attribute P_S_AXI_SUPPORTS_READ : string; attribute P_S_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "1'b1"; attribute P_S_AXI_SUPPORTS_WRITE : string; attribute P_S_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar : entity is "1'b1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar is signal \<const0>\ : STD_LOGIC; signal \^m_axi_araddr\ : STD_LOGIC_VECTOR ( 127 downto 96 ); signal \^m_axi_arburst\ : STD_LOGIC_VECTOR ( 7 downto 6 ); signal \^m_axi_arcache\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_arlen\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^m_axi_arlock\ : STD_LOGIC_VECTOR ( 3 to 3 ); signal \^m_axi_arprot\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^m_axi_arqos\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_arsize\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^m_axi_awaddr\ : STD_LOGIC_VECTOR ( 127 downto 96 ); signal \^m_axi_awburst\ : STD_LOGIC_VECTOR ( 7 downto 6 ); signal \^m_axi_awcache\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_awlen\ : STD_LOGIC_VECTOR ( 31 downto 24 ); signal \^m_axi_awlock\ : STD_LOGIC_VECTOR ( 3 to 3 ); signal \^m_axi_awprot\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^m_axi_awqos\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_awsize\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\(0) <= s_axi_wlast(0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); m_axi_araddr(127 downto 96) <= \^m_axi_araddr\(127 downto 96); m_axi_araddr(95 downto 64) <= \^m_axi_araddr\(127 downto 96); m_axi_araddr(63 downto 32) <= \^m_axi_araddr\(127 downto 96); m_axi_araddr(31 downto 0) <= \^m_axi_araddr\(127 downto 96); m_axi_arburst(7 downto 6) <= \^m_axi_arburst\(7 downto 6); m_axi_arburst(5 downto 4) <= \^m_axi_arburst\(7 downto 6); m_axi_arburst(3 downto 2) <= \^m_axi_arburst\(7 downto 6); m_axi_arburst(1 downto 0) <= \^m_axi_arburst\(7 downto 6); m_axi_arcache(15 downto 12) <= \^m_axi_arcache\(15 downto 12); m_axi_arcache(11 downto 8) <= \^m_axi_arcache\(15 downto 12); m_axi_arcache(7 downto 4) <= \^m_axi_arcache\(15 downto 12); m_axi_arcache(3 downto 0) <= \^m_axi_arcache\(15 downto 12); m_axi_arid(47 downto 36) <= \^m_axi_arid\(11 downto 0); m_axi_arid(35 downto 24) <= \^m_axi_arid\(11 downto 0); m_axi_arid(23 downto 12) <= \^m_axi_arid\(11 downto 0); m_axi_arid(11 downto 0) <= \^m_axi_arid\(11 downto 0); m_axi_arlen(31 downto 24) <= \^m_axi_arlen\(7 downto 0); m_axi_arlen(23 downto 16) <= \^m_axi_arlen\(7 downto 0); m_axi_arlen(15 downto 8) <= \^m_axi_arlen\(7 downto 0); m_axi_arlen(7 downto 0) <= \^m_axi_arlen\(7 downto 0); m_axi_arlock(3) <= \^m_axi_arlock\(3); m_axi_arlock(2) <= \^m_axi_arlock\(3); m_axi_arlock(1) <= \^m_axi_arlock\(3); m_axi_arlock(0) <= \^m_axi_arlock\(3); m_axi_arprot(11 downto 9) <= \^m_axi_arprot\(11 downto 9); m_axi_arprot(8 downto 6) <= \^m_axi_arprot\(11 downto 9); m_axi_arprot(5 downto 3) <= \^m_axi_arprot\(11 downto 9); m_axi_arprot(2 downto 0) <= \^m_axi_arprot\(11 downto 9); m_axi_arqos(15 downto 12) <= \^m_axi_arqos\(15 downto 12); m_axi_arqos(11 downto 8) <= \^m_axi_arqos\(15 downto 12); m_axi_arqos(7 downto 4) <= \^m_axi_arqos\(15 downto 12); m_axi_arqos(3 downto 0) <= \^m_axi_arqos\(15 downto 12); m_axi_arregion(15) <= \<const0>\; m_axi_arregion(14) <= \<const0>\; m_axi_arregion(13) <= \<const0>\; m_axi_arregion(12) <= \<const0>\; m_axi_arregion(11) <= \<const0>\; m_axi_arregion(10) <= \<const0>\; m_axi_arregion(9) <= \<const0>\; m_axi_arregion(8) <= \<const0>\; m_axi_arregion(7) <= \<const0>\; m_axi_arregion(6) <= \<const0>\; m_axi_arregion(5) <= \<const0>\; m_axi_arregion(4) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(11 downto 9) <= \^m_axi_arsize\(11 downto 9); m_axi_arsize(8 downto 6) <= \^m_axi_arsize\(11 downto 9); m_axi_arsize(5 downto 3) <= \^m_axi_arsize\(11 downto 9); m_axi_arsize(2 downto 0) <= \^m_axi_arsize\(11 downto 9); m_axi_aruser(3) <= \<const0>\; m_axi_aruser(2) <= \<const0>\; m_axi_aruser(1) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awaddr(127 downto 96) <= \^m_axi_awaddr\(127 downto 96); m_axi_awaddr(95 downto 64) <= \^m_axi_awaddr\(127 downto 96); m_axi_awaddr(63 downto 32) <= \^m_axi_awaddr\(127 downto 96); m_axi_awaddr(31 downto 0) <= \^m_axi_awaddr\(127 downto 96); m_axi_awburst(7 downto 6) <= \^m_axi_awburst\(7 downto 6); m_axi_awburst(5 downto 4) <= \^m_axi_awburst\(7 downto 6); m_axi_awburst(3 downto 2) <= \^m_axi_awburst\(7 downto 6); m_axi_awburst(1 downto 0) <= \^m_axi_awburst\(7 downto 6); m_axi_awcache(15 downto 12) <= \^m_axi_awcache\(15 downto 12); m_axi_awcache(11 downto 8) <= \^m_axi_awcache\(15 downto 12); m_axi_awcache(7 downto 4) <= \^m_axi_awcache\(15 downto 12); m_axi_awcache(3 downto 0) <= \^m_axi_awcache\(15 downto 12); m_axi_awid(47 downto 36) <= \^m_axi_awid\(11 downto 0); m_axi_awid(35 downto 24) <= \^m_axi_awid\(11 downto 0); m_axi_awid(23 downto 12) <= \^m_axi_awid\(11 downto 0); m_axi_awid(11 downto 0) <= \^m_axi_awid\(11 downto 0); m_axi_awlen(31 downto 24) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(23 downto 16) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(15 downto 8) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(7 downto 0) <= \^m_axi_awlen\(31 downto 24); m_axi_awlock(3) <= \^m_axi_awlock\(3); m_axi_awlock(2) <= \^m_axi_awlock\(3); m_axi_awlock(1) <= \^m_axi_awlock\(3); m_axi_awlock(0) <= \^m_axi_awlock\(3); m_axi_awprot(11 downto 9) <= \^m_axi_awprot\(11 downto 9); m_axi_awprot(8 downto 6) <= \^m_axi_awprot\(11 downto 9); m_axi_awprot(5 downto 3) <= \^m_axi_awprot\(11 downto 9); m_axi_awprot(2 downto 0) <= \^m_axi_awprot\(11 downto 9); m_axi_awqos(15 downto 12) <= \^m_axi_awqos\(15 downto 12); m_axi_awqos(11 downto 8) <= \^m_axi_awqos\(15 downto 12); m_axi_awqos(7 downto 4) <= \^m_axi_awqos\(15 downto 12); m_axi_awqos(3 downto 0) <= \^m_axi_awqos\(15 downto 12); m_axi_awregion(15) <= \<const0>\; m_axi_awregion(14) <= \<const0>\; m_axi_awregion(13) <= \<const0>\; m_axi_awregion(12) <= \<const0>\; m_axi_awregion(11) <= \<const0>\; m_axi_awregion(10) <= \<const0>\; m_axi_awregion(9) <= \<const0>\; m_axi_awregion(8) <= \<const0>\; m_axi_awregion(7) <= \<const0>\; m_axi_awregion(6) <= \<const0>\; m_axi_awregion(5) <= \<const0>\; m_axi_awregion(4) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(11 downto 9) <= \^m_axi_awsize\(11 downto 9); m_axi_awsize(8 downto 6) <= \^m_axi_awsize\(11 downto 9); m_axi_awsize(5 downto 3) <= \^m_axi_awsize\(11 downto 9); m_axi_awsize(2 downto 0) <= \^m_axi_awsize\(11 downto 9); m_axi_awuser(3) <= \<const0>\; m_axi_awuser(2) <= \<const0>\; m_axi_awuser(1) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(127 downto 96) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(95 downto 64) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(63 downto 32) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(47) <= \<const0>\; m_axi_wid(46) <= \<const0>\; m_axi_wid(45) <= \<const0>\; m_axi_wid(44) <= \<const0>\; m_axi_wid(43) <= \<const0>\; m_axi_wid(42) <= \<const0>\; m_axi_wid(41) <= \<const0>\; m_axi_wid(40) <= \<const0>\; m_axi_wid(39) <= \<const0>\; m_axi_wid(38) <= \<const0>\; m_axi_wid(37) <= \<const0>\; m_axi_wid(36) <= \<const0>\; m_axi_wid(35) <= \<const0>\; m_axi_wid(34) <= \<const0>\; m_axi_wid(33) <= \<const0>\; m_axi_wid(32) <= \<const0>\; m_axi_wid(31) <= \<const0>\; m_axi_wid(30) <= \<const0>\; m_axi_wid(29) <= \<const0>\; m_axi_wid(28) <= \<const0>\; m_axi_wid(27) <= \<const0>\; m_axi_wid(26) <= \<const0>\; m_axi_wid(25) <= \<const0>\; m_axi_wid(24) <= \<const0>\; m_axi_wid(23) <= \<const0>\; m_axi_wid(22) <= \<const0>\; m_axi_wid(21) <= \<const0>\; m_axi_wid(20) <= \<const0>\; m_axi_wid(19) <= \<const0>\; m_axi_wid(18) <= \<const0>\; m_axi_wid(17) <= \<const0>\; m_axi_wid(16) <= \<const0>\; m_axi_wid(15) <= \<const0>\; m_axi_wid(14) <= \<const0>\; m_axi_wid(13) <= \<const0>\; m_axi_wid(12) <= \<const0>\; m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast(3) <= \^s_axi_wlast\(0); m_axi_wlast(2) <= \^s_axi_wlast\(0); m_axi_wlast(1) <= \^s_axi_wlast\(0); m_axi_wlast(0) <= \^s_axi_wlast\(0); m_axi_wstrb(15 downto 12) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(11 downto 8) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(7 downto 4) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(3) <= \<const0>\; m_axi_wuser(2) <= \<const0>\; m_axi_wuser(1) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_samd.crossbar_samd\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_crossbar port map ( D(68 downto 65) => s_axi_awqos(3 downto 0), D(64 downto 61) => s_axi_awcache(3 downto 0), D(60 downto 59) => s_axi_awburst(1 downto 0), D(58 downto 56) => s_axi_awprot(2 downto 0), D(55) => s_axi_awlock(0), D(54 downto 52) => s_axi_awsize(2 downto 0), D(51 downto 44) => s_axi_awlen(7 downto 0), D(43 downto 12) => s_axi_awaddr(31 downto 0), D(11 downto 0) => s_axi_awid(11 downto 0), M_AXI_RREADY(3 downto 0) => m_axi_rready(3 downto 0), Q(68 downto 65) => \^m_axi_awqos\(15 downto 12), Q(64 downto 61) => \^m_axi_awcache\(15 downto 12), Q(60 downto 59) => \^m_axi_awburst\(7 downto 6), Q(58 downto 56) => \^m_axi_awprot\(11 downto 9), Q(55) => \^m_axi_awlock\(3), Q(54 downto 52) => \^m_axi_awsize\(11 downto 9), Q(51 downto 44) => \^m_axi_awlen\(31 downto 24), Q(43 downto 12) => \^m_axi_awaddr\(127 downto 96), Q(11 downto 0) => \^m_axi_awid\(11 downto 0), S_AXI_ARREADY(0) => s_axi_arready(0), aclk => aclk, aresetn => aresetn, \m_axi_arqos[15]\(68 downto 65) => \^m_axi_arqos\(15 downto 12), \m_axi_arqos[15]\(64 downto 61) => \^m_axi_arcache\(15 downto 12), \m_axi_arqos[15]\(60 downto 59) => \^m_axi_arburst\(7 downto 6), \m_axi_arqos[15]\(58 downto 56) => \^m_axi_arprot\(11 downto 9), \m_axi_arqos[15]\(55) => \^m_axi_arlock\(3), \m_axi_arqos[15]\(54 downto 52) => \^m_axi_arsize\(11 downto 9), \m_axi_arqos[15]\(51 downto 44) => \^m_axi_arlen\(7 downto 0), \m_axi_arqos[15]\(43 downto 12) => \^m_axi_araddr\(127 downto 96), \m_axi_arqos[15]\(11 downto 0) => \^m_axi_arid\(11 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bid(47 downto 0) => m_axi_bid(47 downto 0), m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_bresp(7 downto 0) => m_axi_bresp(7 downto 0), m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(47 downto 0) => m_axi_rid(47 downto 0), m_axi_rlast(3 downto 0) => m_axi_rlast(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_rvalid(3 downto 0) => m_axi_rvalid(3 downto 0), m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), \s_axi_arqos[3]\(68 downto 65) => s_axi_arqos(3 downto 0), \s_axi_arqos[3]\(64 downto 61) => s_axi_arcache(3 downto 0), \s_axi_arqos[3]\(60 downto 59) => s_axi_arburst(1 downto 0), \s_axi_arqos[3]\(58 downto 56) => s_axi_arprot(2 downto 0), \s_axi_arqos[3]\(55) => s_axi_arlock(0), \s_axi_arqos[3]\(54 downto 52) => s_axi_arsize(2 downto 0), \s_axi_arqos[3]\(51 downto 44) => s_axi_arlen(7 downto 0), \s_axi_arqos[3]\(43 downto 12) => s_axi_araddr(31 downto 0), \s_axi_arqos[3]\(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arvalid(0) => s_axi_arvalid(0), \s_axi_awready[0]\ => s_axi_awready(0), s_axi_awvalid(0) => s_axi_awvalid(0), \s_axi_bid[0]\ => s_axi_bid(0), \s_axi_bid[10]\ => s_axi_bid(10), \s_axi_bid[11]\ => s_axi_bid(11), \s_axi_bid[1]\ => s_axi_bid(1), \s_axi_bid[2]\ => s_axi_bid(2), \s_axi_bid[3]\ => s_axi_bid(3), \s_axi_bid[4]\ => s_axi_bid(4), \s_axi_bid[5]\ => s_axi_bid(5), \s_axi_bid[6]\ => s_axi_bid(6), \s_axi_bid[7]\ => s_axi_bid(7), \s_axi_bid[8]\ => s_axi_bid(8), \s_axi_bid[9]\ => s_axi_bid(9), s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), \s_axi_bvalid[0]\ => s_axi_bvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rid[0]\ => s_axi_rid(0), \s_axi_rid[10]\ => s_axi_rid(10), \s_axi_rid[11]\ => s_axi_rid(11), \s_axi_rid[1]\ => s_axi_rid(1), \s_axi_rid[2]\ => s_axi_rid(2), \s_axi_rid[3]\ => s_axi_rid(3), \s_axi_rid[4]\ => s_axi_rid(4), \s_axi_rid[5]\ => s_axi_rid(5), \s_axi_rid[6]\ => s_axi_rid(6), \s_axi_rid[7]\ => s_axi_rid(7), \s_axi_rid[8]\ => s_axi_rid(8), \s_axi_rid[9]\ => s_axi_rid(9), s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), \s_axi_rvalid[0]\ => s_axi_rvalid(0), s_axi_wlast(0) => \^s_axi_wlast\(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_xbar_0,axi_crossbar_v2_1_14_axi_crossbar,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_crossbar_v2_1_14_axi_crossbar,Vivado 2017.2.1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_CONNECTIVITY_MODE : integer; attribute C_CONNECTIVITY_MODE of inst : label is 1; attribute C_DEBUG : integer; attribute C_DEBUG of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; attribute C_M_AXI_ADDR_WIDTH of inst : label is "128'b00000000000000000000000000001101000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; attribute C_M_AXI_BASE_ADDR of inst : label is "256'b0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000000000000000000010000010010000100000000000000000000000000000000000000000000000001000001001000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; attribute C_M_AXI_READ_ISSUING of inst : label is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_M_AXI_SECURE : string; attribute C_M_AXI_SECURE of inst : label is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_M_AXI_WRITE_CONNECTIVITY : string; attribute C_M_AXI_WRITE_CONNECTIVITY of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_WRITE_ISSUING : string; attribute C_M_AXI_WRITE_ISSUING of inst : label is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_NUM_ADDR_RANGES : integer; attribute C_NUM_ADDR_RANGES of inst : label is 1; attribute C_NUM_MASTER_SLOTS : integer; attribute C_NUM_MASTER_SLOTS of inst : label is 4; attribute C_NUM_SLAVE_SLOTS : integer; attribute C_NUM_SLAVE_SLOTS of inst : label is 1; attribute C_R_REGISTER : integer; attribute C_R_REGISTER of inst : label is 0; attribute C_S_AXI_ARB_PRIORITY : integer; attribute C_S_AXI_ARB_PRIORITY of inst : label is 0; attribute C_S_AXI_BASE_ID : integer; attribute C_S_AXI_BASE_ID of inst : label is 0; attribute C_S_AXI_READ_ACCEPTANCE : integer; attribute C_S_AXI_READ_ACCEPTANCE of inst : label is 8; attribute C_S_AXI_SINGLE_THREAD : integer; attribute C_S_AXI_SINGLE_THREAD of inst : label is 0; attribute C_S_AXI_THREAD_ID_WIDTH : integer; attribute C_S_AXI_THREAD_ID_WIDTH of inst : label is 12; attribute C_S_AXI_WRITE_ACCEPTANCE : integer; attribute C_S_AXI_WRITE_ACCEPTANCE of inst : label is 8; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_ADDR_DECODE : integer; attribute P_ADDR_DECODE of inst : label is 1; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_FAMILY : string; attribute P_FAMILY of inst : label is "zynq"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_LEN : integer; attribute P_LEN of inst : label is 8; attribute P_LOCK : integer; attribute P_LOCK of inst : label is 1; attribute P_M_AXI_ERR_MODE : string; attribute P_M_AXI_ERR_MODE of inst : label is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute P_M_AXI_SUPPORTS_READ : string; attribute P_M_AXI_SUPPORTS_READ of inst : label is "4'b1111"; attribute P_M_AXI_SUPPORTS_WRITE : string; attribute P_M_AXI_SUPPORTS_WRITE of inst : label is "4'b1111"; attribute P_ONES : string; attribute P_ONES of inst : label is "65'b11111111111111111111111111111111111111111111111111111111111111111"; attribute P_RANGE_CHECK : integer; attribute P_RANGE_CHECK of inst : label is 1; attribute P_S_AXI_BASE_ID : string; attribute P_S_AXI_BASE_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000000000"; attribute P_S_AXI_HIGH_ID : string; attribute P_S_AXI_HIGH_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000111111111111"; attribute P_S_AXI_SUPPORTS_READ : string; attribute P_S_AXI_SUPPORTS_READ of inst : label is "1'b1"; attribute P_S_AXI_SUPPORTS_WRITE : string; attribute P_S_AXI_SUPPORTS_WRITE of inst : label is "1'b1"; begin inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_crossbar_v2_1_14_axi_crossbar port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(127 downto 0) => m_axi_araddr(127 downto 0), m_axi_arburst(7 downto 0) => m_axi_arburst(7 downto 0), m_axi_arcache(15 downto 0) => m_axi_arcache(15 downto 0), m_axi_arid(47 downto 0) => m_axi_arid(47 downto 0), m_axi_arlen(31 downto 0) => m_axi_arlen(31 downto 0), m_axi_arlock(3 downto 0) => m_axi_arlock(3 downto 0), m_axi_arprot(11 downto 0) => m_axi_arprot(11 downto 0), m_axi_arqos(15 downto 0) => m_axi_arqos(15 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arregion(15 downto 0) => m_axi_arregion(15 downto 0), m_axi_arsize(11 downto 0) => m_axi_arsize(11 downto 0), m_axi_aruser(3 downto 0) => NLW_inst_m_axi_aruser_UNCONNECTED(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awaddr(127 downto 0) => m_axi_awaddr(127 downto 0), m_axi_awburst(7 downto 0) => m_axi_awburst(7 downto 0), m_axi_awcache(15 downto 0) => m_axi_awcache(15 downto 0), m_axi_awid(47 downto 0) => m_axi_awid(47 downto 0), m_axi_awlen(31 downto 0) => m_axi_awlen(31 downto 0), m_axi_awlock(3 downto 0) => m_axi_awlock(3 downto 0), m_axi_awprot(11 downto 0) => m_axi_awprot(11 downto 0), m_axi_awqos(15 downto 0) => m_axi_awqos(15 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awregion(15 downto 0) => m_axi_awregion(15 downto 0), m_axi_awsize(11 downto 0) => m_axi_awsize(11 downto 0), m_axi_awuser(3 downto 0) => NLW_inst_m_axi_awuser_UNCONNECTED(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bid(47 downto 0) => m_axi_bid(47 downto 0), m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_bresp(7 downto 0) => m_axi_bresp(7 downto 0), m_axi_buser(3 downto 0) => B"0000", m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(47 downto 0) => m_axi_rid(47 downto 0), m_axi_rlast(3 downto 0) => m_axi_rlast(3 downto 0), m_axi_rready(3 downto 0) => m_axi_rready(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_ruser(3 downto 0) => B"0000", m_axi_rvalid(3 downto 0) => m_axi_rvalid(3 downto 0), m_axi_wdata(127 downto 0) => m_axi_wdata(127 downto 0), m_axi_wid(47 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(47 downto 0), m_axi_wlast(3 downto 0) => m_axi_wlast(3 downto 0), m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wstrb(15 downto 0) => m_axi_wstrb(15 downto 0), m_axi_wuser(3 downto 0) => NLW_inst_m_axi_wuser_UNCONNECTED(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready(0) => s_axi_arready(0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready(0) => s_axi_awready(0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid(0) => s_axi_bvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid(0) => s_axi_rvalid(0), s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid(0) => s_axi_wvalid(0) ); end STRUCTURE;
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Technology specific dual-port RAM. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library techmap; use techmap.gencomp.all; use techmap.types_mem.all; entity syncram_2p_tech is generic ( tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1 ); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0) ); end; architecture rtl of syncram_2p_tech is component syncram_2p_inferred is generic ( abits : integer := 8; dbits : integer := 32; sepclk: integer := 0 ); port ( rclk : in std_ulogic; wclk : in std_ulogic; rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); data: in std_logic_vector (dbits -1 downto 0); wren : in std_ulogic; q: out std_logic_vector (dbits -1 downto 0) ); end component; begin inf : if tech = inferred generate x0 : syncram_2p_inferred generic map (abits, dbits, sepclk) port map (rclk, wclk, raddress, waddress, datain, write, dataout); end generate; xilinx6 : if tech = virtex6 or tech = kintex7 generate x0 : syncram_2p_inferred generic map (abits, dbits, sepclk) port map (rclk, wclk, raddress, waddress, datain, write, dataout); end generate; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc972.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p05n01i00972ent IS END c06s03b00x00p05n01i00972ent; ARCHITECTURE c06s03b00x00p05n01i00972arch OF c06s03b00x00p05n01i00972ent IS BEGIN TESTING: PROCESS type R1 is record RE1: BOOLEAN; RE2: INTEGER; RE3: BIT; RE4: SEVERITY_LEVEL; RE5: REAL; RE6: CHARACTER; RE7: TIME; end record; variable V2 : R1; BEGIN V2.RE1 := RE1; V2.RE2 := RE2; V2.RE3 := RE3; V2.RE4 := RE4; V2.RE5 := RE5; V2.RE6 := RE6; V2.RE7 := RE7; -- ERROR: RECORD ELEMENT NAME CANNOT BE USED BY ITSELF assert FALSE report "***FAILED TEST: c06s03b00x00p05n01i00972 - Record element name cannot be used by itself." severity ERROR; wait; END PROCESS TESTING; END c06s03b00x00p05n01i00972arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc972.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p05n01i00972ent IS END c06s03b00x00p05n01i00972ent; ARCHITECTURE c06s03b00x00p05n01i00972arch OF c06s03b00x00p05n01i00972ent IS BEGIN TESTING: PROCESS type R1 is record RE1: BOOLEAN; RE2: INTEGER; RE3: BIT; RE4: SEVERITY_LEVEL; RE5: REAL; RE6: CHARACTER; RE7: TIME; end record; variable V2 : R1; BEGIN V2.RE1 := RE1; V2.RE2 := RE2; V2.RE3 := RE3; V2.RE4 := RE4; V2.RE5 := RE5; V2.RE6 := RE6; V2.RE7 := RE7; -- ERROR: RECORD ELEMENT NAME CANNOT BE USED BY ITSELF assert FALSE report "***FAILED TEST: c06s03b00x00p05n01i00972 - Record element name cannot be used by itself." severity ERROR; wait; END PROCESS TESTING; END c06s03b00x00p05n01i00972arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc972.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p05n01i00972ent IS END c06s03b00x00p05n01i00972ent; ARCHITECTURE c06s03b00x00p05n01i00972arch OF c06s03b00x00p05n01i00972ent IS BEGIN TESTING: PROCESS type R1 is record RE1: BOOLEAN; RE2: INTEGER; RE3: BIT; RE4: SEVERITY_LEVEL; RE5: REAL; RE6: CHARACTER; RE7: TIME; end record; variable V2 : R1; BEGIN V2.RE1 := RE1; V2.RE2 := RE2; V2.RE3 := RE3; V2.RE4 := RE4; V2.RE5 := RE5; V2.RE6 := RE6; V2.RE7 := RE7; -- ERROR: RECORD ELEMENT NAME CANNOT BE USED BY ITSELF assert FALSE report "***FAILED TEST: c06s03b00x00p05n01i00972 - Record element name cannot be used by itself." severity ERROR; wait; END PROCESS TESTING; END c06s03b00x00p05n01i00972arch;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity capacitor is port ( terminal node1, node2 : electrical ); end entity capacitor; architecture leakage of capacitor is constant c : real := 1.0E-6; constant r_leak : real := 10.0E6; quantity v_cap across i_cap, i_leak through node1 to node2; begin i_cap == c * v_cap'dot; i_leak == v_cap / r_leak; end architecture leakage;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity capacitor is port ( terminal node1, node2 : electrical ); end entity capacitor; architecture leakage of capacitor is constant c : real := 1.0E-6; constant r_leak : real := 10.0E6; quantity v_cap across i_cap, i_leak through node1 to node2; begin i_cap == c * v_cap'dot; i_leak == v_cap / r_leak; end architecture leakage;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity capacitor is port ( terminal node1, node2 : electrical ); end entity capacitor; architecture leakage of capacitor is constant c : real := 1.0E-6; constant r_leak : real := 10.0E6; quantity v_cap across i_cap, i_leak through node1 to node2; begin i_cap == c * v_cap'dot; i_leak == v_cap / r_leak; end architecture leakage;
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:52:11) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir2_spea2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir2_spea2_entity; ARCHITECTURE fir2_spea2_description OF fir2_spea2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := not input1 or input1; register2 := not input2 or input2; register3 := not input3 or input3; register4 := not input4 or input4; WHEN "00000010" => register1 := register1 + register2; register2 := not input5 or input5; register5 := not input6 or input6; register6 := not input7 or input7; register3 := register3 + register4; WHEN "00000011" => register2 := register2 + register5; register4 := not input8 or input8; register5 := not input9 or input9; WHEN "00000100" => register2 := register2 * 11; register5 := register6 + register5; register6 := not input10 or input10; WHEN "00000101" => register5 := register5 * 14; register1 := register1 * 16; register7 := not input11 or input11; WHEN "00000110" => register4 := register4 + register7; register7 := not input12 or input12; WHEN "00000111" => register6 := register7 + register6; register1 := register5 + register1; register5 := not input13 or input13; register7 := not input14 or input14; register4 := register4 * 22; register8 := not input15 or input15; register3 := register3 * 25; WHEN "00001000" => register6 := register6 * 27; WHEN "00001001" => register1 := register6 + register1; register5 := register8 + register5; WHEN "00001010" => register5 := register5 * 29; register1 := register4 + register1; register4 := not input16 or input16; WHEN "00001011" => register1 := register5 + register1; register4 := register4 + register7; WHEN "00001100" => register4 := register4 * 32; WHEN "00001101" => register1 := register4 + register1; WHEN "00001110" => register1 := register3 + register1; WHEN "00001111" => register1 := register2 + register1; WHEN "00010000" => output1 <= to_unsigned(2 ** to_integer(register1), 4); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir2_spea2_description;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:ScratchRam:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_ScratchRam_0_0 IS PORT ( DATA_IN : IN STD_LOGIC_VECTOR(9 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); ADDR : IN STD_LOGIC_VECTOR(7 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END RAT_ScratchRam_0_0; ARCHITECTURE RAT_ScratchRam_0_0_arch OF RAT_ScratchRam_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_ScratchRam_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT ScratchRam IS PORT ( DATA_IN : IN STD_LOGIC_VECTOR(9 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); ADDR : IN STD_LOGIC_VECTOR(7 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END COMPONENT ScratchRam; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_ScratchRam_0_0_arch: ARCHITECTURE IS "ScratchRam,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_ScratchRam_0_0_arch : ARCHITECTURE IS "RAT_ScratchRam_0_0,ScratchRam,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_ScratchRam_0_0_arch: ARCHITECTURE IS "RAT_ScratchRam_0_0,ScratchRam,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=ScratchRam,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : ScratchRam PORT MAP ( DATA_IN => DATA_IN, DATA_OUT => DATA_OUT, ADDR => ADDR, WE => WE, CLK => CLK ); END RAT_ScratchRam_0_0_arch;
package pkg is type protected_t is protected end protected protected_t; procedure proc(variable prot : inout protected_t; variable result : out boolean); end package; package body pkg is type protected_t is protected body end protected body protected_t; procedure proc(variable prot : inout protected_t; variable result : out boolean) is begin end; end package body pkg; use work.pkg.all; package other_pkg is procedure other_proc(variable result : out boolean); alias other_proc is proc[protected_t, boolean]; impure function other_proc return boolean; end package;
package pkg is type protected_t is protected end protected protected_t; procedure proc(variable prot : inout protected_t; variable result : out boolean); end package; package body pkg is type protected_t is protected body end protected body protected_t; procedure proc(variable prot : inout protected_t; variable result : out boolean) is begin end; end package body pkg; use work.pkg.all; package other_pkg is procedure other_proc(variable result : out boolean); alias other_proc is proc[protected_t, boolean]; impure function other_proc return boolean; end package;
package pkg is type protected_t is protected end protected protected_t; procedure proc(variable prot : inout protected_t; variable result : out boolean); end package; package body pkg is type protected_t is protected body end protected body protected_t; procedure proc(variable prot : inout protected_t; variable result : out boolean) is begin end; end package body pkg; use work.pkg.all; package other_pkg is procedure other_proc(variable result : out boolean); alias other_proc is proc[protected_t, boolean]; impure function other_proc return boolean; end package;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNPJ4Y7BVC is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 1; BitPattern : string := "00000000000000000000000000100000"; width : positive := 32); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNPJ4Y7BVC is Begin -- Delay Element, with reset value DelayWithInit : alt_dspbuilder_SInitDelay generic map ( LPM_WIDTH => 32, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1", ResetValue => "00000000000000000000000000100000") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNPJ4Y7BVC is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 1; BitPattern : string := "00000000000000000000000000100000"; width : positive := 32); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNPJ4Y7BVC is Begin -- Delay Element, with reset value DelayWithInit : alt_dspbuilder_SInitDelay generic map ( LPM_WIDTH => 32, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1", ResetValue => "00000000000000000000000000100000") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNPJ4Y7BVC is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 1; BitPattern : string := "00000000000000000000000000100000"; width : positive := 32); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNPJ4Y7BVC is Begin -- Delay Element, with reset value DelayWithInit : alt_dspbuilder_SInitDelay generic map ( LPM_WIDTH => 32, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1", ResetValue => "00000000000000000000000000100000") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNPJ4Y7BVC is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 1; BitPattern : string := "00000000000000000000000000100000"; width : positive := 32); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNPJ4Y7BVC is Begin -- Delay Element, with reset value DelayWithInit : alt_dspbuilder_SInitDelay generic map ( LPM_WIDTH => 32, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1", ResetValue => "00000000000000000000000000100000") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
-- This unit will simulate a DSP -- It will read form a file library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use std.textio.all; library simio; use simio.SIMIO_PACKAGE.all; entity dspemulator is generic ( DSP_INC_FILE : string := "UNUSED" ; ABUS_WIDTH : integer := 16; DBUS_WIDTH : integer := 16 ); port ( clk : in std_logic; dspce : out std_logic; dspa : out std_logic_vector( ABUS_WIDTH-1 downto 0 ) := ( others => '0' ); data : out std_logic_vector( DBUS_WIDTH-1 downto 0 ) := ( others => '0' ); wr : out std_logic; IOstb : out std_logic ); end dspemulator; architecture behavior of dspemulator is signal state: integer:=0; begin process( clk ) variable buf: line ; variable lineno:integer:=0; FILE data_file: TEXT IS IN DSP_INC_FILE; variable counter : integer:=0; variable itime : integer:=-1; variable init: boolean := false; variable onwork: boolean := false; variable wrinc: boolean := false; variable wrTemp: std_logic :='0'; variable dataBeRead : std_logic_vector(DBUS_WIDTH - 1 downto 0) := (others => '0'); variable dataTemp : std_logic_vector(DBUS_WIDTH - 1 downto 0) := (others => '0'); variable booval: boolean :=false; -- variable strTime,strData,strAddress : string(4 downto 1); variable strTime : string(4 downto 1); variable strData : string((DBUS_WIDTH - 1)/4 + 1 downto 1); variable strAddress : string((ABUS_WIDTH - 1)/4 + 1 downto 1); variable dspaTemp : std_logic_vector(ABUS_WIDTH - 1 downto 0) := (others => '0'); variable dspceTemp: std_logic:='0'; begin if NOT( init ) then counter:=0; init:=true; onwork:=false; state<=4; IOstb<='1'; end if; if(DSP_INC_FILE = "UNUSED") then ASSERT FALSE REPORT "file not found!" SEVERITY WARNING; end if; if clk'event and clk='1' then counter:=counter+1; if itime = counter then onwork:=true; state<=0; end if; if itime < counter-5 then state<=4; end if; case state is when 0 => dspceTemp:='1'; dataTemp:=dataBeRead; wrTemp:='0'; state<=state+1; when 1 => if wrinc then wrTemp:='1'; end if; state<=state+1; when 2 => IOstb<='0'; state<=state+1; when 3 => IOstb<='1'; state<=state+1; when 4 => wrTemp:='0'; wrinc:=false; onwork:=false; dspaTemp:=conv_std_logic_vector(0,ABUS_WIDTH); if NOT ENDFILE(data_file) then booval := true; READLINE(data_file, buf); lineno:=lineno+1; if (buf(buf'LOW) = 'W') then wrinc:=true; end if; shrink_line(buf, 1); READ(L=>buf, VALUE=>strTime, good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal File Format! no time domain " SEVERITY ERROR; end if; itime:=itime+hex_str_to_int(strTime); shrink_line(buf, 1); READ(L=>buf, VALUE=>strAddress, good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal File Format! no write data domain" SEVERITY ERROR; end if; dspatemp:=CONV_STD_LOGIC_VECTOR(hex_str_to_int(strAddress),ABUS_WIDTH); if wrinc then shrink_line(buf, 1); READ(L=>buf, VALUE=>strData, good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal File Format! no write data domain" SEVERITY ERROR; end if; dataBeRead:=CONV_STD_LOGIC_VECTOR(hex_str_to_int(strData),DBUS_WIDTH); end if; end if; state <=state+1; when others => null; end case; dspce<=dspceTemp; dspa<=dspaTemp(ABUS_WIDTH-1 downto 0 ); wr<=not wrTemp; data<=dataTemp(DBUS_WIDTH-1 downto 0 ); end if; end process; end behavior;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use ieee.math_real.all; library work; use work.bus_pkg.all; package depp_pkg is subtype depp_address_type is std_logic_vector(7 downto 0); subtype depp_data_type is std_logic_vector(7 downto 0); constant depp2bus_write_mask_length_ceil : natural := natural(ceil(real(bus_write_mask'length)/real(8))); -- All registers are defined as inclusive start, inclusive end. -- First the common registers, addr and data are available to both read and write constant depp2bus_addr_reg_start : natural := 0; constant depp2bus_addr_reg_len : natural := bus_address_type'length/8; constant depp2bus_addr_reg_end : natural := depp2bus_addr_reg_start + depp2bus_addr_reg_len - 1; constant depp2bus_writeData_reg_start : natural := depp2bus_addr_reg_start + depp2bus_addr_reg_len; constant depp2bus_writeData_reg_len : natural := bus_data_type'length/8; constant depp2bus_writeData_reg_end : natural := depp2bus_writeData_reg_start + depp2bus_writeData_reg_len - 1; -- Writing to the readData register has no effect constant depp2bus_readData_reg_start : natural := depp2bus_writeData_reg_end + 1; constant depp2bus_readData_reg_len : natural := bus_data_type'length/8; constant depp2bus_readData_reg_end : natural := depp2bus_readData_reg_start + depp2bus_readData_reg_len - 1; constant depp2bus_write_mask_reg_start : natural := depp2bus_readData_reg_end + 1; constant depp2bus_write_mask_reg_len : natural := depp2bus_write_mask_length_ceil; constant depp2bus_write_mask_reg_end : natural := depp2bus_write_mask_reg_start + depp2bus_write_mask_reg_len - 1; constant depp2bus_mode_register_start : natural := depp2bus_write_mask_reg_end + 1; constant depp2bus_mode_register_end : natural := depp2bus_mode_register_start; -- Writing to the fault register has no effect constant depp2bus_fault_register_start : natural := depp2bus_mode_register_end + 1; constant depp2bus_fault_register_end : natural := depp2bus_fault_register_start; -- Reading from this register always returns 0 constant depp2bus_activation_register_start : natural := depp2bus_fault_register_end + 1; constant depp2bus_activation_register_end : natural := depp2bus_activation_register_start; -- The possible modes of the depp2bus device. This is about address increment rules (both depp and bus) -- -- With fast write enabled, a write to depp2bus_writeData_reg_end will first execute normally and then trigger a write action on the bus. -- After the write action, the bus address will be incremented by depp2bus_writeData_reg_len. -- Moreover, any write to the writeData_reg will cause the depp address to increase by one, wrapping around to start when required. -- One should set the start bus address and the writemask, then set the depp address to depp2bus_writeData_start and -- then just keep writing the dstb. constant depp_mode_fast_write_bit : natural := 0; -- When fast read is enabled: -- Any read from the readData reg will increment the depp address with wraparound after the read is completed. -- Any read from readData_reg_start will first cause a bus read before executing the read. -- The bus address is incremented by depp2bus_readData_reg_len after such an automatic read. -- -- One should set the bus address, set the depp address to depp2bus_readData_reg_start and then keep on reading for as long as required. constant depp_mode_fast_read_bit : natural := 1; function depp_mode_fast_write_active( depp_mode : depp_data_type ) return boolean; function depp_mode_fast_read_active( depp_mode : depp_data_type ) return boolean; end depp_pkg; package body depp_pkg is function depp_mode_fast_write_active( depp_mode : depp_data_type ) return boolean is begin return depp_mode(depp_mode_fast_write_bit) = '1'; end function; function depp_mode_fast_read_active( depp_mode : depp_data_type ) return boolean is begin return depp_mode(depp_mode_fast_read_bit) = '1'; end function; end package body;
------------------------------------------------------------------------------- -- axi_vdma_lite_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_lite_if.vhd -- Description: This entity is AXI Lite Interface Module for the AXI DMA -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_vdma_lite_if is generic( C_MM2S_IS : integer range 0 to 1 := 1; -- Include or exclude MM2S channel -- 0 = exclude mm2s channel -- 1 = include mm2s channel C_S2MM_IS : integer range 0 to 1 := 1; -- Include or exclude S2MM channel -- 0 = exclude s2mm channel -- 1 = include s2mm channel C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 1; -- Specifies the AXI Lite clock is asynchronous -- 0 = AXI Clocks are Synchronous -- 1 = AXI Clocks are Asynchronous C_NUM_CE : integer := 8 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ); port ( ----------------------------------------------------------------------- -- -- AXI Lite Control Interface -- ----------------------------------------------------------------------- -- s_axi_lite_aclk : in std_logic ; -- s_axi_lite_aresetn : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- mm2s_hrd_resetn : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- s2mm_hrd_resetn : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- axi2ip_lite_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- MM2S Reg Interface signals -- mm2s_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- mm2s_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- mm2s_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- S2MM Reg Interface signals -- s2mm_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- s2mm_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- s2mm_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- axi2ip_common_region_1_rden : out std_logic ; -- axi2ip_common_region_2_rden : out std_logic ; -- -- -- ip2axi_rddata_common_region : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) -- ); end axi_vdma_lite_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Register I/F Address offset constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8); -- Register I/F CE number constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE); constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- AXI Lite slave interface signals signal awvalid : std_logic := '0'; signal wvalid : std_logic := '0'; signal arvalid : std_logic := '0'; signal awaddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal wdata : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal araddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal s2mm_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal write_response_accepted : std_logic := '0'; signal write_has_started : std_logic := '0'; signal awready_out_i : std_logic := '0'; signal wready_out_i : std_logic := '0'; signal wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal bvalid_out_i : std_logic := '0'; signal read_data_res_accepted : std_logic := '0'; signal read_has_started_i : std_logic := '0'; signal sig_arvalid_arrived : std_logic := '0'; signal sig_arvalid_arrived_d1 : std_logic := '0'; signal sig_arvalid_arrived_d2 : std_logic := '0'; signal sig_arvalid_arrived_d3 : std_logic := '0'; signal sig_arvalid_arrived_d4 : std_logic := '0'; signal sig_arvalid_detected : std_logic := '0'; signal arready_out_i_cmb : std_logic := '0'; signal arready_out_i : std_logic := '0'; signal arready_out_i_mm2s : std_logic := '0'; signal arready_out_i_s2mm : std_logic := '0'; signal arready_out_i_common : std_logic := '0'; signal rvalid_out_i : std_logic := '0'; ----Async_mode signal wready_out_to_bvalid : std_logic := '0'; signal mm2s_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal s2mm_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal addr_region_mm2s_rden_cmb : std_logic := '0'; signal addr_region_s2mm_rden_cmb : std_logic := '0'; signal addr_region_1_common_rden_cmb : std_logic := '0'; signal addr_region_2_common_rden_cmb : std_logic := '0'; signal ip2axi_rddata_captured : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal arready_out_i_d1 : std_logic := '0'; signal sig_awvalid_arrived_d1 : std_logic := '0'; signal sig_awvalid_arrived : std_logic := '0'; signal sig_awvalid_detected : std_logic := '0'; signal sig_wvalid_arrived : std_logic := '0'; signal lite_wr_addr_phase_finished_data_phase_started : std_logic := '0'; signal prepare_wrce : std_logic := '0'; signal prepare_wrce_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite : std_logic := '0'; signal prepare_wrce_pulse_lite_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite_d2 : std_logic := '0'; signal prepare_wrce_pulse_lite_d3 : std_logic := '0'; signal prepare_wrce_pulse_lite_d4 : std_logic := '0'; signal prepare_wrce_pulse_lite_d5 : std_logic := '0'; signal prepare_wrce_pulse_lite_d6 : std_logic := '0'; signal prepare_wrce_pulse_mm2s : std_logic := '0'; signal prepare_wrce_pulse_s2mm : std_logic := '0'; signal wready_mm2s : std_logic := '0'; signal wready_s2mm : std_logic := '0'; signal lite_mm2s_wr_done : std_logic := '0'; signal lite_s2mm_wr_done : std_logic := '0'; signal lite_wr_done : std_logic := '0'; signal lite_wr_done_d1 : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm : std_logic := '0'; signal mm2s_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal s2mm_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF ip2axi_rddata_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF ip2axi_rddata_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF mm2s_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF s2mm_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin s_axi_lite_awready <= awready_out_i; s_axi_lite_wready <= wready_out_i; s_axi_lite_bvalid <= bvalid_out_i; s_axi_lite_arready <= arready_out_i; s_axi_lite_rvalid <= rvalid_out_i; axi2ip_lite_rdaddr(8) <= '0'; axi2ip_lite_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); axi2ip_lite_rdaddr(1) <= '0'; axi2ip_lite_rdaddr(0) <= '0'; mm2s_axi2ip_rdaddr(8) <= '0'; mm2s_axi2ip_rdaddr(1) <= '0'; mm2s_axi2ip_rdaddr(0) <= '0'; s2mm_axi2ip_rdaddr(8) <= '0'; s2mm_axi2ip_rdaddr(1) <= '0'; s2mm_axi2ip_rdaddr(0) <= '0'; s_axi_lite_bresp <= OKAY_RESP; s_axi_lite_rresp <= OKAY_RESP; ------------------------------------------------------------------------------------------------- --------------------------- Register AXI4-LITE Control signals ---------------------------------- ------------------------------------------------------------------------------------------------- REG_INPUTS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awvalid <= '0' ; wvalid <= '0' ; arvalid <= '0' ; awaddr <= (others => '0') ; wdata <= (others => '0') ; araddr <= (others => '0') ; else awvalid <= s_axi_lite_awvalid ; wvalid <= s_axi_lite_wvalid ; arvalid <= s_axi_lite_arvalid ; awaddr <= s_axi_lite_awaddr ; wdata <= s_axi_lite_wdata ; araddr <= s_axi_lite_araddr ; end if; end if; end process REG_INPUTS; ------------------------------------------------------------------------------- -------------------------------AXI4-LITE WRITE--------------------------------- ------------------------------------------------------------------------------- sig_awvalid_arrived <= awvalid; sig_wvalid_arrived <= wvalid; D1_LITE_WR_ADDR_PHASE_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_has_started = '1')then sig_awvalid_arrived_d1 <= '0'; else sig_awvalid_arrived_d1 <= sig_awvalid_arrived; end if; end if; end process D1_LITE_WR_ADDR_PHASE_DETECT; AXI4_LITE_WR_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_response_accepted = '1')then write_has_started <= '0'; elsif(sig_awvalid_detected = '1')then write_has_started <= '1'; end if; end if; end process AXI4_LITE_WR_STARTED; sig_awvalid_detected <= sig_awvalid_arrived and not (sig_awvalid_arrived_d1) and not (write_has_started); --axi2ip_wraddr_captured <= awaddr when sig_awvalid_detected = '1'; CAPTURE_AWADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wraddr_captured(7 downto 2) <= (others => '0'); elsif(sig_awvalid_detected = '1')then axi2ip_wraddr_captured(7 downto 2) <= awaddr(7 downto 2); end if; end if; end process CAPTURE_AWADDR; GEN_LITE_AWREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awready_out_i <= '0'; else awready_out_i <= sig_awvalid_detected; end if; end if; end process GEN_LITE_AWREADY; GEN_WR_ADDR_PHASE_TO_DATA_PHASE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or wready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '0'; elsif(awready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '1'; end if; end if; end process GEN_WR_ADDR_PHASE_TO_DATA_PHASE; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce_gen(j) <= (prepare_wrce and not prepare_wrce_d1) when axi2ip_wraddr_captured ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_GEN; mm2s_axi2ip_wrce <= wrce_gen; s2mm_axi2ip_wrce <= wrce_gen; GEN_LITE_WREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= (prepare_wrce and not prepare_wrce_d1); end if; end if; end process GEN_LITE_WREADY; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- GEN_LITE_ARREADY_SYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d1; end if; end if; end process GEN_LITE_ARREADY_SYNC; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; AXI4_LITE_RRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_PROCESS; ------------------------- --*READ ------------------------- mm2s_axi2ip_wrdata <= wdata; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end generate GEN_LITE_IS_SYNC; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -------------------------------AXI4-LITE READ---------------------------------- ------------------------------------------------------------------------------- sig_arvalid_arrived <= arvalid; D1_LITE_RD_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_has_started_i = '1')then sig_arvalid_arrived_d1 <= '0'; else sig_arvalid_arrived_d1 <= sig_arvalid_arrived; end if; end if; end process D1_LITE_RD_DETECT; AXI4_LITE_RD_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_data_res_accepted = '1')then read_has_started_i <= '0'; elsif(sig_arvalid_detected = '1')then read_has_started_i <= '1'; end if; end if; end process AXI4_LITE_RD_STARTED; sig_arvalid_detected <= sig_arvalid_arrived and not (sig_arvalid_arrived_d1) and not (read_has_started_i); read_data_res_accepted <= rvalid_out_i and s_axi_lite_rready; CAPTURE_ARADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_rdaddr_captured(7 downto 2) <= (others => '0'); elsif(sig_arvalid_detected = '1')then axi2ip_rdaddr_captured(7 downto 2) <= araddr(7 downto 2); end if; end if; end process CAPTURE_ARADDR; ------------------------------------------------------------------------------- -- Decode read_lite_addr MSB to get the region of read access ------------------------------------------------------------------------------- --***************************************************************************** -- MM2S_Region_1 (0x00 to 0x1C) -- MM2S_Region_2 (0x50 to 0x9C) -- S2MM_Region_1 (0x30 to 0x3C) -- S2MM_Region_2 (0xA0 to 0xEC) -- Common_Region_1 (0x20 to 0x2C) (common read only register) -- Common_Region_2 (0xF0 to 0xFC) (s2mm read-only registers) --***************************************************************************** addr_region_1_common_rden_cmb <= ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))); addr_region_2_common_rden_cmb <= (axi2ip_rdaddr_captured(7) and axi2ip_rdaddr_captured(6) and axi2ip_rdaddr_captured(5) and axi2ip_rdaddr_captured(4)); --MM2S Region read addr_region_mm2s_rden_cmb <= (((not axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5))) or ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)))) ; --S2MM Region read addr_region_s2mm_rden_cmb <= (((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)))); ------------------------------------------------------------------------------- -- Write Response ------------------------------------------------------------------------------- AXI4_LITE_WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_out_i <= '0'; elsif(bvalid_out_i = '1' and s_axi_lite_bready = '1')then bvalid_out_i <= '0'; elsif(wready_out_to_bvalid = '1')then bvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_WRESP_PROCESS; write_response_accepted <= bvalid_out_i and s_axi_lite_bready; axi2ip_common_region_1_rden <= addr_region_1_common_rden_cmb; GEN_S2MM_COM_REG2_READ : if C_S2MM_IS = 1 generate axi2ip_common_region_2_rden <= addr_region_2_common_rden_cmb; end generate GEN_S2MM_COM_REG2_READ; GEN_NO_S2MM_COM_REG2_READ : if C_S2MM_IS = 0 generate axi2ip_common_region_2_rden <= '0'; end generate GEN_NO_S2MM_COM_REG2_READ; -------------------------------------------------------------------------------------------------- --***** ASYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate --Both channels exist and async mode GEN_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 1 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; end generate GEN_ASYNC_LITE_ACCESS; -------------------------------------------- --ASYNC_MODE but only single channel enabled -------------------------------------------- GEN_S2MM_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 0 and C_S2MM_IS = 1 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --S2MM GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; mm2s_axi2ip_wrdata <= wdata; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; mm2s_axi2ip_wrce <= (others => '0'); end generate GEN_S2MM_ONLY_ASYNC_LITE_ACCESS; GEN_MM2S_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 0 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; s2mm_axi2ip_wrce <= (others => '0'); end generate GEN_MM2S_ONLY_ASYNC_LITE_ACCESS; end generate GEN_LITE_IS_ASYNC; end implementation;
------------------------------------------------------------------------------- -- axi_vdma_lite_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_lite_if.vhd -- Description: This entity is AXI Lite Interface Module for the AXI DMA -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_vdma_lite_if is generic( C_MM2S_IS : integer range 0 to 1 := 1; -- Include or exclude MM2S channel -- 0 = exclude mm2s channel -- 1 = include mm2s channel C_S2MM_IS : integer range 0 to 1 := 1; -- Include or exclude S2MM channel -- 0 = exclude s2mm channel -- 1 = include s2mm channel C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 1; -- Specifies the AXI Lite clock is asynchronous -- 0 = AXI Clocks are Synchronous -- 1 = AXI Clocks are Asynchronous C_NUM_CE : integer := 8 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ); port ( ----------------------------------------------------------------------- -- -- AXI Lite Control Interface -- ----------------------------------------------------------------------- -- s_axi_lite_aclk : in std_logic ; -- s_axi_lite_aresetn : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- mm2s_hrd_resetn : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- s2mm_hrd_resetn : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- axi2ip_lite_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- MM2S Reg Interface signals -- mm2s_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- mm2s_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- mm2s_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- S2MM Reg Interface signals -- s2mm_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- s2mm_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- s2mm_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- axi2ip_common_region_1_rden : out std_logic ; -- axi2ip_common_region_2_rden : out std_logic ; -- -- -- ip2axi_rddata_common_region : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) -- ); end axi_vdma_lite_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Register I/F Address offset constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8); -- Register I/F CE number constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE); constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- AXI Lite slave interface signals signal awvalid : std_logic := '0'; signal wvalid : std_logic := '0'; signal arvalid : std_logic := '0'; signal awaddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal wdata : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal araddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal s2mm_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal write_response_accepted : std_logic := '0'; signal write_has_started : std_logic := '0'; signal awready_out_i : std_logic := '0'; signal wready_out_i : std_logic := '0'; signal wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal bvalid_out_i : std_logic := '0'; signal read_data_res_accepted : std_logic := '0'; signal read_has_started_i : std_logic := '0'; signal sig_arvalid_arrived : std_logic := '0'; signal sig_arvalid_arrived_d1 : std_logic := '0'; signal sig_arvalid_arrived_d2 : std_logic := '0'; signal sig_arvalid_arrived_d3 : std_logic := '0'; signal sig_arvalid_arrived_d4 : std_logic := '0'; signal sig_arvalid_detected : std_logic := '0'; signal arready_out_i_cmb : std_logic := '0'; signal arready_out_i : std_logic := '0'; signal arready_out_i_mm2s : std_logic := '0'; signal arready_out_i_s2mm : std_logic := '0'; signal arready_out_i_common : std_logic := '0'; signal rvalid_out_i : std_logic := '0'; ----Async_mode signal wready_out_to_bvalid : std_logic := '0'; signal mm2s_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal s2mm_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal addr_region_mm2s_rden_cmb : std_logic := '0'; signal addr_region_s2mm_rden_cmb : std_logic := '0'; signal addr_region_1_common_rden_cmb : std_logic := '0'; signal addr_region_2_common_rden_cmb : std_logic := '0'; signal ip2axi_rddata_captured : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal arready_out_i_d1 : std_logic := '0'; signal sig_awvalid_arrived_d1 : std_logic := '0'; signal sig_awvalid_arrived : std_logic := '0'; signal sig_awvalid_detected : std_logic := '0'; signal sig_wvalid_arrived : std_logic := '0'; signal lite_wr_addr_phase_finished_data_phase_started : std_logic := '0'; signal prepare_wrce : std_logic := '0'; signal prepare_wrce_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite : std_logic := '0'; signal prepare_wrce_pulse_lite_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite_d2 : std_logic := '0'; signal prepare_wrce_pulse_lite_d3 : std_logic := '0'; signal prepare_wrce_pulse_lite_d4 : std_logic := '0'; signal prepare_wrce_pulse_lite_d5 : std_logic := '0'; signal prepare_wrce_pulse_lite_d6 : std_logic := '0'; signal prepare_wrce_pulse_mm2s : std_logic := '0'; signal prepare_wrce_pulse_s2mm : std_logic := '0'; signal wready_mm2s : std_logic := '0'; signal wready_s2mm : std_logic := '0'; signal lite_mm2s_wr_done : std_logic := '0'; signal lite_s2mm_wr_done : std_logic := '0'; signal lite_wr_done : std_logic := '0'; signal lite_wr_done_d1 : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm : std_logic := '0'; signal mm2s_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal s2mm_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF ip2axi_rddata_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF ip2axi_rddata_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF mm2s_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF s2mm_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin s_axi_lite_awready <= awready_out_i; s_axi_lite_wready <= wready_out_i; s_axi_lite_bvalid <= bvalid_out_i; s_axi_lite_arready <= arready_out_i; s_axi_lite_rvalid <= rvalid_out_i; axi2ip_lite_rdaddr(8) <= '0'; axi2ip_lite_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); axi2ip_lite_rdaddr(1) <= '0'; axi2ip_lite_rdaddr(0) <= '0'; mm2s_axi2ip_rdaddr(8) <= '0'; mm2s_axi2ip_rdaddr(1) <= '0'; mm2s_axi2ip_rdaddr(0) <= '0'; s2mm_axi2ip_rdaddr(8) <= '0'; s2mm_axi2ip_rdaddr(1) <= '0'; s2mm_axi2ip_rdaddr(0) <= '0'; s_axi_lite_bresp <= OKAY_RESP; s_axi_lite_rresp <= OKAY_RESP; ------------------------------------------------------------------------------------------------- --------------------------- Register AXI4-LITE Control signals ---------------------------------- ------------------------------------------------------------------------------------------------- REG_INPUTS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awvalid <= '0' ; wvalid <= '0' ; arvalid <= '0' ; awaddr <= (others => '0') ; wdata <= (others => '0') ; araddr <= (others => '0') ; else awvalid <= s_axi_lite_awvalid ; wvalid <= s_axi_lite_wvalid ; arvalid <= s_axi_lite_arvalid ; awaddr <= s_axi_lite_awaddr ; wdata <= s_axi_lite_wdata ; araddr <= s_axi_lite_araddr ; end if; end if; end process REG_INPUTS; ------------------------------------------------------------------------------- -------------------------------AXI4-LITE WRITE--------------------------------- ------------------------------------------------------------------------------- sig_awvalid_arrived <= awvalid; sig_wvalid_arrived <= wvalid; D1_LITE_WR_ADDR_PHASE_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_has_started = '1')then sig_awvalid_arrived_d1 <= '0'; else sig_awvalid_arrived_d1 <= sig_awvalid_arrived; end if; end if; end process D1_LITE_WR_ADDR_PHASE_DETECT; AXI4_LITE_WR_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_response_accepted = '1')then write_has_started <= '0'; elsif(sig_awvalid_detected = '1')then write_has_started <= '1'; end if; end if; end process AXI4_LITE_WR_STARTED; sig_awvalid_detected <= sig_awvalid_arrived and not (sig_awvalid_arrived_d1) and not (write_has_started); --axi2ip_wraddr_captured <= awaddr when sig_awvalid_detected = '1'; CAPTURE_AWADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wraddr_captured(7 downto 2) <= (others => '0'); elsif(sig_awvalid_detected = '1')then axi2ip_wraddr_captured(7 downto 2) <= awaddr(7 downto 2); end if; end if; end process CAPTURE_AWADDR; GEN_LITE_AWREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awready_out_i <= '0'; else awready_out_i <= sig_awvalid_detected; end if; end if; end process GEN_LITE_AWREADY; GEN_WR_ADDR_PHASE_TO_DATA_PHASE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or wready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '0'; elsif(awready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '1'; end if; end if; end process GEN_WR_ADDR_PHASE_TO_DATA_PHASE; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce_gen(j) <= (prepare_wrce and not prepare_wrce_d1) when axi2ip_wraddr_captured ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_GEN; mm2s_axi2ip_wrce <= wrce_gen; s2mm_axi2ip_wrce <= wrce_gen; GEN_LITE_WREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= (prepare_wrce and not prepare_wrce_d1); end if; end if; end process GEN_LITE_WREADY; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- GEN_LITE_ARREADY_SYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d1; end if; end if; end process GEN_LITE_ARREADY_SYNC; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; AXI4_LITE_RRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_PROCESS; ------------------------- --*READ ------------------------- mm2s_axi2ip_wrdata <= wdata; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end generate GEN_LITE_IS_SYNC; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -------------------------------AXI4-LITE READ---------------------------------- ------------------------------------------------------------------------------- sig_arvalid_arrived <= arvalid; D1_LITE_RD_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_has_started_i = '1')then sig_arvalid_arrived_d1 <= '0'; else sig_arvalid_arrived_d1 <= sig_arvalid_arrived; end if; end if; end process D1_LITE_RD_DETECT; AXI4_LITE_RD_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_data_res_accepted = '1')then read_has_started_i <= '0'; elsif(sig_arvalid_detected = '1')then read_has_started_i <= '1'; end if; end if; end process AXI4_LITE_RD_STARTED; sig_arvalid_detected <= sig_arvalid_arrived and not (sig_arvalid_arrived_d1) and not (read_has_started_i); read_data_res_accepted <= rvalid_out_i and s_axi_lite_rready; CAPTURE_ARADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_rdaddr_captured(7 downto 2) <= (others => '0'); elsif(sig_arvalid_detected = '1')then axi2ip_rdaddr_captured(7 downto 2) <= araddr(7 downto 2); end if; end if; end process CAPTURE_ARADDR; ------------------------------------------------------------------------------- -- Decode read_lite_addr MSB to get the region of read access ------------------------------------------------------------------------------- --***************************************************************************** -- MM2S_Region_1 (0x00 to 0x1C) -- MM2S_Region_2 (0x50 to 0x9C) -- S2MM_Region_1 (0x30 to 0x3C) -- S2MM_Region_2 (0xA0 to 0xEC) -- Common_Region_1 (0x20 to 0x2C) (common read only register) -- Common_Region_2 (0xF0 to 0xFC) (s2mm read-only registers) --***************************************************************************** addr_region_1_common_rden_cmb <= ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))); addr_region_2_common_rden_cmb <= (axi2ip_rdaddr_captured(7) and axi2ip_rdaddr_captured(6) and axi2ip_rdaddr_captured(5) and axi2ip_rdaddr_captured(4)); --MM2S Region read addr_region_mm2s_rden_cmb <= (((not axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5))) or ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)))) ; --S2MM Region read addr_region_s2mm_rden_cmb <= (((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)))); ------------------------------------------------------------------------------- -- Write Response ------------------------------------------------------------------------------- AXI4_LITE_WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_out_i <= '0'; elsif(bvalid_out_i = '1' and s_axi_lite_bready = '1')then bvalid_out_i <= '0'; elsif(wready_out_to_bvalid = '1')then bvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_WRESP_PROCESS; write_response_accepted <= bvalid_out_i and s_axi_lite_bready; axi2ip_common_region_1_rden <= addr_region_1_common_rden_cmb; GEN_S2MM_COM_REG2_READ : if C_S2MM_IS = 1 generate axi2ip_common_region_2_rden <= addr_region_2_common_rden_cmb; end generate GEN_S2MM_COM_REG2_READ; GEN_NO_S2MM_COM_REG2_READ : if C_S2MM_IS = 0 generate axi2ip_common_region_2_rden <= '0'; end generate GEN_NO_S2MM_COM_REG2_READ; -------------------------------------------------------------------------------------------------- --***** ASYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate --Both channels exist and async mode GEN_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 1 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; end generate GEN_ASYNC_LITE_ACCESS; -------------------------------------------- --ASYNC_MODE but only single channel enabled -------------------------------------------- GEN_S2MM_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 0 and C_S2MM_IS = 1 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --S2MM GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; mm2s_axi2ip_wrdata <= wdata; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; mm2s_axi2ip_wrce <= (others => '0'); end generate GEN_S2MM_ONLY_ASYNC_LITE_ACCESS; GEN_MM2S_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 0 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; s2mm_axi2ip_wrce <= (others => '0'); end generate GEN_MM2S_ONLY_ASYNC_LITE_ACCESS; end generate GEN_LITE_IS_ASYNC; end implementation;
------------------------------------------------------------------------------- -- axi_vdma_lite_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_lite_if.vhd -- Description: This entity is AXI Lite Interface Module for the AXI DMA -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_vdma_lite_if is generic( C_MM2S_IS : integer range 0 to 1 := 1; -- Include or exclude MM2S channel -- 0 = exclude mm2s channel -- 1 = include mm2s channel C_S2MM_IS : integer range 0 to 1 := 1; -- Include or exclude S2MM channel -- 0 = exclude s2mm channel -- 1 = include s2mm channel C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 1; -- Specifies the AXI Lite clock is asynchronous -- 0 = AXI Clocks are Synchronous -- 1 = AXI Clocks are Asynchronous C_NUM_CE : integer := 8 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ); port ( ----------------------------------------------------------------------- -- -- AXI Lite Control Interface -- ----------------------------------------------------------------------- -- s_axi_lite_aclk : in std_logic ; -- s_axi_lite_aresetn : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- mm2s_hrd_resetn : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- s2mm_hrd_resetn : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- axi2ip_lite_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- MM2S Reg Interface signals -- mm2s_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- mm2s_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- mm2s_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- S2MM Reg Interface signals -- s2mm_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- s2mm_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- s2mm_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- axi2ip_common_region_1_rden : out std_logic ; -- axi2ip_common_region_2_rden : out std_logic ; -- -- -- ip2axi_rddata_common_region : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) -- ); end axi_vdma_lite_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Register I/F Address offset constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8); -- Register I/F CE number constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE); constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- AXI Lite slave interface signals signal awvalid : std_logic := '0'; signal wvalid : std_logic := '0'; signal arvalid : std_logic := '0'; signal awaddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal wdata : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal araddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal s2mm_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal write_response_accepted : std_logic := '0'; signal write_has_started : std_logic := '0'; signal awready_out_i : std_logic := '0'; signal wready_out_i : std_logic := '0'; signal wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal bvalid_out_i : std_logic := '0'; signal read_data_res_accepted : std_logic := '0'; signal read_has_started_i : std_logic := '0'; signal sig_arvalid_arrived : std_logic := '0'; signal sig_arvalid_arrived_d1 : std_logic := '0'; signal sig_arvalid_arrived_d2 : std_logic := '0'; signal sig_arvalid_arrived_d3 : std_logic := '0'; signal sig_arvalid_arrived_d4 : std_logic := '0'; signal sig_arvalid_detected : std_logic := '0'; signal arready_out_i_cmb : std_logic := '0'; signal arready_out_i : std_logic := '0'; signal arready_out_i_mm2s : std_logic := '0'; signal arready_out_i_s2mm : std_logic := '0'; signal arready_out_i_common : std_logic := '0'; signal rvalid_out_i : std_logic := '0'; ----Async_mode signal wready_out_to_bvalid : std_logic := '0'; signal mm2s_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal s2mm_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal addr_region_mm2s_rden_cmb : std_logic := '0'; signal addr_region_s2mm_rden_cmb : std_logic := '0'; signal addr_region_1_common_rden_cmb : std_logic := '0'; signal addr_region_2_common_rden_cmb : std_logic := '0'; signal ip2axi_rddata_captured : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal arready_out_i_d1 : std_logic := '0'; signal sig_awvalid_arrived_d1 : std_logic := '0'; signal sig_awvalid_arrived : std_logic := '0'; signal sig_awvalid_detected : std_logic := '0'; signal sig_wvalid_arrived : std_logic := '0'; signal lite_wr_addr_phase_finished_data_phase_started : std_logic := '0'; signal prepare_wrce : std_logic := '0'; signal prepare_wrce_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite : std_logic := '0'; signal prepare_wrce_pulse_lite_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite_d2 : std_logic := '0'; signal prepare_wrce_pulse_lite_d3 : std_logic := '0'; signal prepare_wrce_pulse_lite_d4 : std_logic := '0'; signal prepare_wrce_pulse_lite_d5 : std_logic := '0'; signal prepare_wrce_pulse_lite_d6 : std_logic := '0'; signal prepare_wrce_pulse_mm2s : std_logic := '0'; signal prepare_wrce_pulse_s2mm : std_logic := '0'; signal wready_mm2s : std_logic := '0'; signal wready_s2mm : std_logic := '0'; signal lite_mm2s_wr_done : std_logic := '0'; signal lite_s2mm_wr_done : std_logic := '0'; signal lite_wr_done : std_logic := '0'; signal lite_wr_done_d1 : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm : std_logic := '0'; signal mm2s_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal s2mm_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF ip2axi_rddata_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF ip2axi_rddata_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF mm2s_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF s2mm_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin s_axi_lite_awready <= awready_out_i; s_axi_lite_wready <= wready_out_i; s_axi_lite_bvalid <= bvalid_out_i; s_axi_lite_arready <= arready_out_i; s_axi_lite_rvalid <= rvalid_out_i; axi2ip_lite_rdaddr(8) <= '0'; axi2ip_lite_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); axi2ip_lite_rdaddr(1) <= '0'; axi2ip_lite_rdaddr(0) <= '0'; mm2s_axi2ip_rdaddr(8) <= '0'; mm2s_axi2ip_rdaddr(1) <= '0'; mm2s_axi2ip_rdaddr(0) <= '0'; s2mm_axi2ip_rdaddr(8) <= '0'; s2mm_axi2ip_rdaddr(1) <= '0'; s2mm_axi2ip_rdaddr(0) <= '0'; s_axi_lite_bresp <= OKAY_RESP; s_axi_lite_rresp <= OKAY_RESP; ------------------------------------------------------------------------------------------------- --------------------------- Register AXI4-LITE Control signals ---------------------------------- ------------------------------------------------------------------------------------------------- REG_INPUTS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awvalid <= '0' ; wvalid <= '0' ; arvalid <= '0' ; awaddr <= (others => '0') ; wdata <= (others => '0') ; araddr <= (others => '0') ; else awvalid <= s_axi_lite_awvalid ; wvalid <= s_axi_lite_wvalid ; arvalid <= s_axi_lite_arvalid ; awaddr <= s_axi_lite_awaddr ; wdata <= s_axi_lite_wdata ; araddr <= s_axi_lite_araddr ; end if; end if; end process REG_INPUTS; ------------------------------------------------------------------------------- -------------------------------AXI4-LITE WRITE--------------------------------- ------------------------------------------------------------------------------- sig_awvalid_arrived <= awvalid; sig_wvalid_arrived <= wvalid; D1_LITE_WR_ADDR_PHASE_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_has_started = '1')then sig_awvalid_arrived_d1 <= '0'; else sig_awvalid_arrived_d1 <= sig_awvalid_arrived; end if; end if; end process D1_LITE_WR_ADDR_PHASE_DETECT; AXI4_LITE_WR_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_response_accepted = '1')then write_has_started <= '0'; elsif(sig_awvalid_detected = '1')then write_has_started <= '1'; end if; end if; end process AXI4_LITE_WR_STARTED; sig_awvalid_detected <= sig_awvalid_arrived and not (sig_awvalid_arrived_d1) and not (write_has_started); --axi2ip_wraddr_captured <= awaddr when sig_awvalid_detected = '1'; CAPTURE_AWADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wraddr_captured(7 downto 2) <= (others => '0'); elsif(sig_awvalid_detected = '1')then axi2ip_wraddr_captured(7 downto 2) <= awaddr(7 downto 2); end if; end if; end process CAPTURE_AWADDR; GEN_LITE_AWREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awready_out_i <= '0'; else awready_out_i <= sig_awvalid_detected; end if; end if; end process GEN_LITE_AWREADY; GEN_WR_ADDR_PHASE_TO_DATA_PHASE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or wready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '0'; elsif(awready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '1'; end if; end if; end process GEN_WR_ADDR_PHASE_TO_DATA_PHASE; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce_gen(j) <= (prepare_wrce and not prepare_wrce_d1) when axi2ip_wraddr_captured ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_GEN; mm2s_axi2ip_wrce <= wrce_gen; s2mm_axi2ip_wrce <= wrce_gen; GEN_LITE_WREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= (prepare_wrce and not prepare_wrce_d1); end if; end if; end process GEN_LITE_WREADY; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- GEN_LITE_ARREADY_SYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d1; end if; end if; end process GEN_LITE_ARREADY_SYNC; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; AXI4_LITE_RRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_PROCESS; ------------------------- --*READ ------------------------- mm2s_axi2ip_wrdata <= wdata; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end generate GEN_LITE_IS_SYNC; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -------------------------------AXI4-LITE READ---------------------------------- ------------------------------------------------------------------------------- sig_arvalid_arrived <= arvalid; D1_LITE_RD_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_has_started_i = '1')then sig_arvalid_arrived_d1 <= '0'; else sig_arvalid_arrived_d1 <= sig_arvalid_arrived; end if; end if; end process D1_LITE_RD_DETECT; AXI4_LITE_RD_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_data_res_accepted = '1')then read_has_started_i <= '0'; elsif(sig_arvalid_detected = '1')then read_has_started_i <= '1'; end if; end if; end process AXI4_LITE_RD_STARTED; sig_arvalid_detected <= sig_arvalid_arrived and not (sig_arvalid_arrived_d1) and not (read_has_started_i); read_data_res_accepted <= rvalid_out_i and s_axi_lite_rready; CAPTURE_ARADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_rdaddr_captured(7 downto 2) <= (others => '0'); elsif(sig_arvalid_detected = '1')then axi2ip_rdaddr_captured(7 downto 2) <= araddr(7 downto 2); end if; end if; end process CAPTURE_ARADDR; ------------------------------------------------------------------------------- -- Decode read_lite_addr MSB to get the region of read access ------------------------------------------------------------------------------- --***************************************************************************** -- MM2S_Region_1 (0x00 to 0x1C) -- MM2S_Region_2 (0x50 to 0x9C) -- S2MM_Region_1 (0x30 to 0x3C) -- S2MM_Region_2 (0xA0 to 0xEC) -- Common_Region_1 (0x20 to 0x2C) (common read only register) -- Common_Region_2 (0xF0 to 0xFC) (s2mm read-only registers) --***************************************************************************** addr_region_1_common_rden_cmb <= ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))); addr_region_2_common_rden_cmb <= (axi2ip_rdaddr_captured(7) and axi2ip_rdaddr_captured(6) and axi2ip_rdaddr_captured(5) and axi2ip_rdaddr_captured(4)); --MM2S Region read addr_region_mm2s_rden_cmb <= (((not axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5))) or ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)))) ; --S2MM Region read addr_region_s2mm_rden_cmb <= (((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)))); ------------------------------------------------------------------------------- -- Write Response ------------------------------------------------------------------------------- AXI4_LITE_WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_out_i <= '0'; elsif(bvalid_out_i = '1' and s_axi_lite_bready = '1')then bvalid_out_i <= '0'; elsif(wready_out_to_bvalid = '1')then bvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_WRESP_PROCESS; write_response_accepted <= bvalid_out_i and s_axi_lite_bready; axi2ip_common_region_1_rden <= addr_region_1_common_rden_cmb; GEN_S2MM_COM_REG2_READ : if C_S2MM_IS = 1 generate axi2ip_common_region_2_rden <= addr_region_2_common_rden_cmb; end generate GEN_S2MM_COM_REG2_READ; GEN_NO_S2MM_COM_REG2_READ : if C_S2MM_IS = 0 generate axi2ip_common_region_2_rden <= '0'; end generate GEN_NO_S2MM_COM_REG2_READ; -------------------------------------------------------------------------------------------------- --***** ASYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate --Both channels exist and async mode GEN_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 1 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; end generate GEN_ASYNC_LITE_ACCESS; -------------------------------------------- --ASYNC_MODE but only single channel enabled -------------------------------------------- GEN_S2MM_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 0 and C_S2MM_IS = 1 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --S2MM GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; mm2s_axi2ip_wrdata <= wdata; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; mm2s_axi2ip_wrce <= (others => '0'); end generate GEN_S2MM_ONLY_ASYNC_LITE_ACCESS; GEN_MM2S_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 0 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; s2mm_axi2ip_wrce <= (others => '0'); end generate GEN_MM2S_ONLY_ASYNC_LITE_ACCESS; end generate GEN_LITE_IS_ASYNC; end implementation;
------------------------------------------------------------------------------- -- axi_vdma_lite_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_lite_if.vhd -- Description: This entity is AXI Lite Interface Module for the AXI DMA -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_vdma_lite_if is generic( C_MM2S_IS : integer range 0 to 1 := 1; -- Include or exclude MM2S channel -- 0 = exclude mm2s channel -- 1 = include mm2s channel C_S2MM_IS : integer range 0 to 1 := 1; -- Include or exclude S2MM channel -- 0 = exclude s2mm channel -- 1 = include s2mm channel C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 1; -- Specifies the AXI Lite clock is asynchronous -- 0 = AXI Clocks are Synchronous -- 1 = AXI Clocks are Asynchronous C_NUM_CE : integer := 8 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ); port ( ----------------------------------------------------------------------- -- -- AXI Lite Control Interface -- ----------------------------------------------------------------------- -- s_axi_lite_aclk : in std_logic ; -- s_axi_lite_aresetn : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- mm2s_hrd_resetn : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- s2mm_hrd_resetn : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- axi2ip_lite_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- MM2S Reg Interface signals -- mm2s_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- mm2s_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- mm2s_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- S2MM Reg Interface signals -- s2mm_axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- s2mm_ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- s2mm_axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- axi2ip_common_region_1_rden : out std_logic ; -- axi2ip_common_region_2_rden : out std_logic ; -- -- -- ip2axi_rddata_common_region : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) -- ); end axi_vdma_lite_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Register I/F Address offset constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8); -- Register I/F CE number constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE); constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- AXI Lite slave interface signals signal awvalid : std_logic := '0'; signal wvalid : std_logic := '0'; signal arvalid : std_logic := '0'; signal awaddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal wdata : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal araddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal s2mm_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal write_response_accepted : std_logic := '0'; signal write_has_started : std_logic := '0'; signal awready_out_i : std_logic := '0'; signal wready_out_i : std_logic := '0'; signal wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal bvalid_out_i : std_logic := '0'; signal read_data_res_accepted : std_logic := '0'; signal read_has_started_i : std_logic := '0'; signal sig_arvalid_arrived : std_logic := '0'; signal sig_arvalid_arrived_d1 : std_logic := '0'; signal sig_arvalid_arrived_d2 : std_logic := '0'; signal sig_arvalid_arrived_d3 : std_logic := '0'; signal sig_arvalid_arrived_d4 : std_logic := '0'; signal sig_arvalid_detected : std_logic := '0'; signal arready_out_i_cmb : std_logic := '0'; signal arready_out_i : std_logic := '0'; signal arready_out_i_mm2s : std_logic := '0'; signal arready_out_i_s2mm : std_logic := '0'; signal arready_out_i_common : std_logic := '0'; signal rvalid_out_i : std_logic := '0'; ----Async_mode signal wready_out_to_bvalid : std_logic := '0'; signal mm2s_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal s2mm_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0); signal addr_region_mm2s_rden_cmb : std_logic := '0'; signal addr_region_s2mm_rden_cmb : std_logic := '0'; signal addr_region_1_common_rden_cmb : std_logic := '0'; signal addr_region_2_common_rden_cmb : std_logic := '0'; signal ip2axi_rddata_captured : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal ip2axi_rddata_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured : std_logic_vector(7 downto 2) := (others => '0'); -- --signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- --signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); -- signal arready_out_i_d1 : std_logic := '0'; signal sig_awvalid_arrived_d1 : std_logic := '0'; signal sig_awvalid_arrived : std_logic := '0'; signal sig_awvalid_detected : std_logic := '0'; signal sig_wvalid_arrived : std_logic := '0'; signal lite_wr_addr_phase_finished_data_phase_started : std_logic := '0'; signal prepare_wrce : std_logic := '0'; signal prepare_wrce_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite : std_logic := '0'; signal prepare_wrce_pulse_lite_d1 : std_logic := '0'; signal prepare_wrce_pulse_lite_d2 : std_logic := '0'; signal prepare_wrce_pulse_lite_d3 : std_logic := '0'; signal prepare_wrce_pulse_lite_d4 : std_logic := '0'; signal prepare_wrce_pulse_lite_d5 : std_logic := '0'; signal prepare_wrce_pulse_lite_d6 : std_logic := '0'; signal prepare_wrce_pulse_mm2s : std_logic := '0'; signal prepare_wrce_pulse_s2mm : std_logic := '0'; signal wready_mm2s : std_logic := '0'; signal wready_s2mm : std_logic := '0'; signal lite_mm2s_wr_done : std_logic := '0'; signal lite_s2mm_wr_done : std_logic := '0'; signal lite_wr_done : std_logic := '0'; signal lite_wr_done_d1 : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_mm2s : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm_rd_lite_domain : std_logic := '0'; signal sig_arvalid_arrived_d1_s2mm : std_logic := '0'; signal mm2s_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- signal s2mm_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF ip2axi_rddata_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF ip2axi_rddata_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_mm2s_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF axi2ip_wraddr_captured_s2mm_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF mm2s_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ATTRIBUTE async_reg OF s2mm_axi2ip_wrdata_cdc_tig : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin s_axi_lite_awready <= awready_out_i; s_axi_lite_wready <= wready_out_i; s_axi_lite_bvalid <= bvalid_out_i; s_axi_lite_arready <= arready_out_i; s_axi_lite_rvalid <= rvalid_out_i; axi2ip_lite_rdaddr(8) <= '0'; axi2ip_lite_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); axi2ip_lite_rdaddr(1) <= '0'; axi2ip_lite_rdaddr(0) <= '0'; mm2s_axi2ip_rdaddr(8) <= '0'; mm2s_axi2ip_rdaddr(1) <= '0'; mm2s_axi2ip_rdaddr(0) <= '0'; s2mm_axi2ip_rdaddr(8) <= '0'; s2mm_axi2ip_rdaddr(1) <= '0'; s2mm_axi2ip_rdaddr(0) <= '0'; s_axi_lite_bresp <= OKAY_RESP; s_axi_lite_rresp <= OKAY_RESP; ------------------------------------------------------------------------------------------------- --------------------------- Register AXI4-LITE Control signals ---------------------------------- ------------------------------------------------------------------------------------------------- REG_INPUTS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awvalid <= '0' ; wvalid <= '0' ; arvalid <= '0' ; awaddr <= (others => '0') ; wdata <= (others => '0') ; araddr <= (others => '0') ; else awvalid <= s_axi_lite_awvalid ; wvalid <= s_axi_lite_wvalid ; arvalid <= s_axi_lite_arvalid ; awaddr <= s_axi_lite_awaddr ; wdata <= s_axi_lite_wdata ; araddr <= s_axi_lite_araddr ; end if; end if; end process REG_INPUTS; ------------------------------------------------------------------------------- -------------------------------AXI4-LITE WRITE--------------------------------- ------------------------------------------------------------------------------- sig_awvalid_arrived <= awvalid; sig_wvalid_arrived <= wvalid; D1_LITE_WR_ADDR_PHASE_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_has_started = '1')then sig_awvalid_arrived_d1 <= '0'; else sig_awvalid_arrived_d1 <= sig_awvalid_arrived; end if; end if; end process D1_LITE_WR_ADDR_PHASE_DETECT; AXI4_LITE_WR_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or write_response_accepted = '1')then write_has_started <= '0'; elsif(sig_awvalid_detected = '1')then write_has_started <= '1'; end if; end if; end process AXI4_LITE_WR_STARTED; sig_awvalid_detected <= sig_awvalid_arrived and not (sig_awvalid_arrived_d1) and not (write_has_started); --axi2ip_wraddr_captured <= awaddr when sig_awvalid_detected = '1'; CAPTURE_AWADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wraddr_captured(7 downto 2) <= (others => '0'); elsif(sig_awvalid_detected = '1')then axi2ip_wraddr_captured(7 downto 2) <= awaddr(7 downto 2); end if; end if; end process CAPTURE_AWADDR; GEN_LITE_AWREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awready_out_i <= '0'; else awready_out_i <= sig_awvalid_detected; end if; end if; end process GEN_LITE_AWREADY; GEN_WR_ADDR_PHASE_TO_DATA_PHASE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or wready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '0'; elsif(awready_out_i = '1')then lite_wr_addr_phase_finished_data_phase_started <= '1'; end if; end if; end process GEN_WR_ADDR_PHASE_TO_DATA_PHASE; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce_gen(j) <= (prepare_wrce and not prepare_wrce_d1) when axi2ip_wraddr_captured ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_GEN; mm2s_axi2ip_wrce <= wrce_gen; s2mm_axi2ip_wrce <= wrce_gen; GEN_LITE_WREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= (prepare_wrce and not prepare_wrce_d1); end if; end if; end process GEN_LITE_WREADY; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- GEN_LITE_ARREADY_SYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d1; end if; end if; end process GEN_LITE_ARREADY_SYNC; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; AXI4_LITE_RRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_PROCESS; ------------------------- --*READ ------------------------- mm2s_axi2ip_wrdata <= wdata; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end generate GEN_LITE_IS_SYNC; -------------------------------------------------------------------------------------------------- --***** SYNC_MODE -------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -------------------------------AXI4-LITE READ---------------------------------- ------------------------------------------------------------------------------- sig_arvalid_arrived <= arvalid; D1_LITE_RD_DETECT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_has_started_i = '1')then sig_arvalid_arrived_d1 <= '0'; else sig_arvalid_arrived_d1 <= sig_arvalid_arrived; end if; end if; end process D1_LITE_RD_DETECT; AXI4_LITE_RD_STARTED : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or read_data_res_accepted = '1')then read_has_started_i <= '0'; elsif(sig_arvalid_detected = '1')then read_has_started_i <= '1'; end if; end if; end process AXI4_LITE_RD_STARTED; sig_arvalid_detected <= sig_arvalid_arrived and not (sig_arvalid_arrived_d1) and not (read_has_started_i); read_data_res_accepted <= rvalid_out_i and s_axi_lite_rready; CAPTURE_ARADDR : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_rdaddr_captured(7 downto 2) <= (others => '0'); elsif(sig_arvalid_detected = '1')then axi2ip_rdaddr_captured(7 downto 2) <= araddr(7 downto 2); end if; end if; end process CAPTURE_ARADDR; ------------------------------------------------------------------------------- -- Decode read_lite_addr MSB to get the region of read access ------------------------------------------------------------------------------- --***************************************************************************** -- MM2S_Region_1 (0x00 to 0x1C) -- MM2S_Region_2 (0x50 to 0x9C) -- S2MM_Region_1 (0x30 to 0x3C) -- S2MM_Region_2 (0xA0 to 0xEC) -- Common_Region_1 (0x20 to 0x2C) (common read only register) -- Common_Region_2 (0xF0 to 0xFC) (s2mm read-only registers) --***************************************************************************** addr_region_1_common_rden_cmb <= ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))); addr_region_2_common_rden_cmb <= (axi2ip_rdaddr_captured(7) and axi2ip_rdaddr_captured(6) and axi2ip_rdaddr_captured(5) and axi2ip_rdaddr_captured(4)); --MM2S Region read addr_region_mm2s_rden_cmb <= (((not axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5))) or ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)))) ; --S2MM Region read addr_region_s2mm_rden_cmb <= (((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4))) or ((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)))); ------------------------------------------------------------------------------- -- Write Response ------------------------------------------------------------------------------- AXI4_LITE_WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_out_i <= '0'; elsif(bvalid_out_i = '1' and s_axi_lite_bready = '1')then bvalid_out_i <= '0'; elsif(wready_out_to_bvalid = '1')then bvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_WRESP_PROCESS; write_response_accepted <= bvalid_out_i and s_axi_lite_bready; axi2ip_common_region_1_rden <= addr_region_1_common_rden_cmb; GEN_S2MM_COM_REG2_READ : if C_S2MM_IS = 1 generate axi2ip_common_region_2_rden <= addr_region_2_common_rden_cmb; end generate GEN_S2MM_COM_REG2_READ; GEN_NO_S2MM_COM_REG2_READ : if C_S2MM_IS = 0 generate axi2ip_common_region_2_rden <= '0'; end generate GEN_NO_S2MM_COM_REG2_READ; -------------------------------------------------------------------------------------------------- --***** ASYNC_MODE -------------------------------------------------------------------------------------------------- GEN_LITE_IS_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate --Both channels exist and async mode GEN_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 1 generate prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); ---- LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; ------------------------- --*READ ------------------------- --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; end generate GEN_ASYNC_LITE_ACCESS; -------------------------------------------- --ASYNC_MODE but only single channel enabled -------------------------------------------- GEN_S2MM_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 0 and C_S2MM_IS = 1 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --S2MM ---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_s2mm_aclk , ---- scndry_resetn => s2mm_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_s2mm , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_hrd_resetn, scndry_out => prepare_wrce_pulse_s2mm, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_S2MM_GEN; s2mm_axi2ip_wrce <= s2mm_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --S2MM GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1' else ip2axi_rddata_captured_s2mm_cdc_tig; process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata; end if; end process ; GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1; end if; end process GEN_LITE_S2MM_RDATA_CROSSING; mm2s_axi2ip_wrdata <= wdata; GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then s2mm_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_S2MM_WDATA_CROSSING; s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig; GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2); GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk) begin if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_S2MM_WRADDR_CROSSING; mm2s_axi2ip_wrce <= (others => '0'); end generate GEN_S2MM_ONLY_ASYNC_LITE_ACCESS; GEN_MM2S_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 0 generate --Write prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started; GEN_WRCE_PULSE : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then prepare_wrce_d1 <= '0'; else prepare_wrce_d1 <= prepare_wrce; end if; end if; end process GEN_WRCE_PULSE; prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1; --MM2S ---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => s_axi_lite_aclk , ---- prmry_resetn => s_axi_lite_aresetn , ---- scndry_aclk => m_axi_mm2s_aclk , ---- scndry_resetn => mm2s_hrd_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => prepare_wrce_pulse_lite , ---- scndry_out => prepare_wrce_pulse_mm2s , ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , ---- scndry_vect_out => open ---- ); LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => s_axi_lite_aresetn, prmry_in => prepare_wrce_pulse_lite, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_mm2s_aclk, scndry_resetn => mm2s_hrd_resetn, scndry_out => prepare_wrce_pulse_mm2s, scndry_vect_out => open ); ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate AXI4_LITE_WRCE_MM2S_GEN; mm2s_axi2ip_wrce <= mm2s_wrce_gen; GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite; prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1; prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2; prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3; prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4; prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5; end if; end process GEN_LITE_WREADY_OUT_D; GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then wready_out_i <= '0'; else wready_out_i <= prepare_wrce_pulse_lite_d6; end if; end if; end process GEN_LITE_WREADY_OUT; wready_out_to_bvalid <= wready_out_i; --Read --MM2S GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1; sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2; sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3; end if; end process GEN_LITE_ARREADY_ASYNC_D; GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_out_i <= '0'; else arready_out_i <= sig_arvalid_arrived_d4; end if; end if; end process GEN_LITE_ARREADY_ASYNC; AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then rvalid_out_i <= '0'; elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then rvalid_out_i <= '0'; elsif(arready_out_i = '1')then rvalid_out_i <= '1'; end if; end if; end process AXI4_LITE_RRESP_ASYNC_PROCESS; s_axi_lite_rdata <= ip2axi_rddata_captured_d1; process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured; end if; end process ; ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1' else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1' else s2mm_ip2axi_rddata; process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata; end if; end process ; GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1; end if; end process GEN_LITE_MM2S_RDATA_CROSSING; GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then mm2s_axi2ip_wrdata_cdc_tig <= wdata; end if; end process GEN_LITE_MM2S_WDATA_CROSSING; s2mm_axi2ip_wrdata <= wdata; mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig; GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_RDADDR_CROSSING; mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2); s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2); GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk) begin if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2); end if; end process GEN_LITE_MM2S_WRADDR_CROSSING; s2mm_axi2ip_wrce <= (others => '0'); end generate GEN_MM2S_ONLY_ASYNC_LITE_ACCESS; end generate GEN_LITE_IS_ASYNC; end implementation;
------------ -- pcore top level wrapper -- generated at 2008-02-11 14:35:32.679588 by 'mkhwtask.py hwt_semaphore_wait 2 ../src/hwt_semaphore_wait.vhd' ------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library reconos_v2_00_a; use reconos_v2_00_a.reconos_pkg.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity hw_task is generic ( C_BUS_BURST_AWIDTH : integer := 13; -- Note: This addresses bytes C_BUS_BURST_DWIDTH : integer := 64; C_TASK_BURST_AWIDTH : integer := 11; -- this addresses 32Bit words C_TASK_BURST_DWIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; i_osif_flat : in std_logic_vector; o_osif_flat : out std_logic_vector; -- burst mem interface i_burstAddr : in std_logic_vector(0 to C_BUS_BURST_AWIDTH-1); i_burstData : in std_logic_vector(0 to C_BUS_BURST_DWIDTH-1); o_burstData : out std_logic_vector(0 to C_BUS_BURST_DWIDTH-1); i_burstWE : in std_logic; -- time base i_timeBase : in std_logic_vector( 0 to C_OSIF_DATA_WIDTH-1 ) ); end hw_task; architecture structural of hw_task is component burst_ram port ( addra: IN std_logic_VECTOR(10 downto 0); addrb: IN std_logic_VECTOR(9 downto 0); clka: IN std_logic; clkb: IN std_logic; dina: IN std_logic_VECTOR(31 downto 0); dinb: IN std_logic_VECTOR(63 downto 0); douta: OUT std_logic_VECTOR(31 downto 0); doutb: OUT std_logic_VECTOR(63 downto 0); wea: IN std_logic; web: IN std_logic ); end component; signal o_osif_flat_i : std_logic_vector(0 to 41); signal i_osif_flat_i : std_logic_vector(0 to 44); signal o_osif : osif_task2os_t; signal i_osif : osif_os2task_t; signal task2burst_Addr : std_logic_vector(0 to C_TASK_BURST_AWIDTH-1); signal task2burst_Data : std_logic_vector(0 to C_TASK_BURST_DWIDTH-1); signal burst2task_Data : std_logic_vector(0 to C_TASK_BURST_DWIDTH-1); signal task2burst_WE : std_logic; signal task2burst_Clk : std_logic; attribute keep_hierarchy : string; attribute keep_hierarchy of structural: architecture is "true"; begin -- connect top level signals o_osif_flat <= o_osif_flat_i; i_osif_flat_i <= i_osif_flat; -- (un)flatten osif records o_osif_flat_i <= to_std_logic_vector(o_osif); i_osif <= to_osif_os2task_t(i_osif_flat_i); -- instantiate user task hwt_semaphore_wait_i : entity hwt_semaphore_wait port map ( clk => clk, reset => reset, i_osif => i_osif, o_osif => o_osif, o_RAMAddr => task2burst_Addr, o_RAMData => task2burst_Data, i_RAMData => burst2task_Data, o_RAMWE => task2burst_WE, o_RAMClk => task2burst_Clk, i_timeBase => i_timeBase ); burst_ram_i : burst_ram port map ( addra => task2burst_Addr, addrb => i_burstAddr(0 to C_BUS_BURST_AWIDTH-1 -3), -- RAM is addressing 64Bit values clka => task2burst_Clk, clkb => clk, dina => task2burst_Data, dinb => i_burstData, douta => burst2task_Data, doutb => o_burstData, wea => task2burst_WE, web => i_burstWE ); end structural;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 8 -- system clock period ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents constant lresp : boolean := false; constant ct : integer := clkperiod/2; signal clk : std_logic := '0'; signal clk_vga : std_logic := '0'; signal rst : std_logic := '0'; signal rstn1 : std_logic; signal rstn2 : std_logic; signal error : std_logic; -- PROM flash signal address : std_logic_vector(23 downto 0); signal data : std_logic_vector(31 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal iosn : std_ulogic; -- DDR2 memory signal ddr_clk : std_logic_vector(1 downto 0); signal ddr_clkb : std_logic_vector(1 downto 0); signal ddr_clk_fb : std_logic; signal ddr_cke : std_logic; signal ddr_csb : std_logic; signal ddr_we : std_ulogic; -- write enable signal ddr_ras : std_ulogic; -- ras signal ddr_cas : std_ulogic; -- cas signal ddr_dm : std_logic_vector(3 downto 0); -- dm signal ddr_dqs : std_logic_vector(3 downto 0); -- dqs signal ddr_dqsn : std_logic_vector(3 downto 0); -- dqsn signal ddr_ad : std_logic_vector(12 downto 0); -- address signal ddr_ba : std_logic_vector(1 downto 0); -- bank address signal ddr_dq : std_logic_vector(31 downto 0); -- data signal ddr_dq2 : std_logic_vector(31 downto 0); -- data signal ddr_odt : std_logic; -- Debug support unit signal dsubre : std_ulogic; -- AHB Uart signal dsurx : std_ulogic; signal dsutx : std_ulogic; -- APB Uart signal urxd : std_ulogic; signal utxd : std_ulogic; -- Ethernet signals signal etx_clk : std_ulogic; signal erx_clk : std_ulogic; signal erxdt : std_logic_vector(7 downto 0); signal erx_dv : std_ulogic; signal erx_er : std_ulogic; signal erx_col : std_ulogic; signal erx_crs : std_ulogic; signal etxdt : std_logic_vector(7 downto 0); signal etx_en : std_ulogic; signal etx_er : std_ulogic; signal emdc : std_ulogic; signal emdio : std_logic; -- SVGA signals signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic_vector(3 downto 0); signal vid_g : std_logic_vector(3 downto 0); signal vid_b : std_logic_vector(3 downto 0); -- Select signal for SPI flash signal spi_sel_n : std_logic; signal spi_clk : std_logic; signal spi_mosi : std_logic; -- Output signals for LEDs signal led : std_logic_vector(2 downto 0); signal brdyn : std_ulogic; begin -- clock and reset clk <= not clk after ct * 1 ns; clk_vga <= not clk_vga after 20 ns; rst <= '1', '0' after 100 ns; dsubre <= '0'; urxd <= 'H'; spi_sel_n <= 'H'; spi_clk <= 'L'; d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech, disas, dbguart, pclow) port map ( reset => rst, reset_o1 => rstn1, reset_o2 => rstn2, clk_in => clk, clk_vga => clk_vga, errorn => error, -- PROM address => address(23 downto 0), data => data(31 downto 24), romsn => romsn, oen => oen, writen => writen, iosn => iosn, testdata => data(23 downto 0), -- DDR2 ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_clk_fb_out => ddr_clk_fb, ddr_clk_fb => ddr_clk_fb, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_we => ddr_we, ddr_ras => ddr_ras, ddr_cas => ddr_cas, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_dqsn => ddr_dqsn, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, ddr_odt => ddr_odt, -- Debug Unit dsubre => dsubre, -- AHB Uart dsutx => dsutx, dsurx => dsurx, -- PHY etx_clk => etx_clk, erx_clk => erx_clk, erxd => erxdt(3 downto 0), erx_dv => erx_dv, erx_er => erx_er, erx_col => erx_col, erx_crs => erx_crs, etxd => etxdt(3 downto 0), etx_en => etx_en, etx_er => etx_er, emdc => emdc, emdio => emdio, -- SVGA vid_hsync => vid_hsync, vid_vsync => vid_vsync, vid_r => vid_r, vid_g => vid_g, vid_b => vid_b, -- SPI flash select spi_sel_n => spi_sel_n, spi_clk => spi_clk, spi_mosi => spi_mosi, -- Output signals for LEDs led => led ); ddr2mem : if (CFG_DDR2SP /= 0) generate -- ddr2mem0 : for i in 0 to 1 generate -- u1 : HY5PS121621F -- generic map (TimingCheckFlag => true, PUSCheckFlag => false, -- index => 1-i, bbits => 32, fname => sdramfile) -- port map (DQ => ddr_dq2(i*16+15 downto i*16), -- LDQS => ddr_dqs(i*2), LDQSB => ddr_dqsn(i*2), -- UDQS => ddr_dqs(i*2+1), UDQSB => ddr_dqsn(i*2+1), -- LDM => ddr_dm(i*2), WEB => ddr_we, CASB => ddr_cas, -- RASB => ddr_ras, CSB => ddr_csb, BA => ddr_ba, -- ADDR => ddr_ad(12 downto 0), CKE => ddr_cke, -- CLK => ddr_clk(i), CLKB => ddr_clkb(i), UDM => ddr_dm(i*2+1)); -- end generate; ddr0 : ddr2ram generic map(width => 32, abits => 13, babits =>2, colbits => 10, rowbits => 13, implbanks => 1, fname => sdramfile, speedbin=>1, density => 2) port map (ck => ddr_clk(0), ckn => ddr_clkb(0), cke => ddr_cke, csn => ddr_csb, odt => ddr_odt, rasn => ddr_ras, casn => ddr_cas, wen => ddr_we, dm => ddr_dm, ba => ddr_ba(1 downto 0), a => ddr_ad(12 downto 0), dq => ddr_dq2, dqs => ddr_dqs); ddr2delay0 : delay_wire generic map(data_width => ddr_dq'length, delay_atob => 0.0, delay_btoa => 1.0) port map(a => ddr_dq, b => ddr_dq2); end generate; prom0 : sram generic map (index => 6, abits => 24, fname => promfile) port map (address(23 downto 0), data(31 downto 24), romsn, writen, oen); phy0 : if (CFG_GRETH = 1) generate etxdt(7 downto 4) <= "0000"; emdio <= 'H'; p0: phy generic map (address => 1) port map(rstn1, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, '0'); end generate; spimem0: if CFG_SPIMCTRL = 1 generate s0 : spi_flash generic map (ftype => 4, debug => 0, fname => promfile, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => 0) -- Dual output is not supported in this design port map (spi_clk, spi_mosi, data(24), spi_sel_n); end generate spimem0; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test_op is generic ( NBITS_IN : natural := 1; NBR_OF_CHROMA_IN : natural := 1; NBR_OF_ROW_IN : natural := 1; NBR_OF_COL_IN : natural := 1; NBITS_OUT : natural := 2; NBR_OF_CHROMA_OUT : natural := 1; NBR_OF_ROW_OUT : natural := 1; NBR_OF_COL_OUT : natural := 1; NBR_OF_MATRIX_IN : natural := 1; NBR_OF_MATRIX_OUT : natural := 1); port ( signal clock, rst : in std_logic; signal in_data : in std_logic_vector(NBR_OF_MATRIX_IN*NBR_OF_COL_IN*NBR_OF_ROW_IN*NBR_OF_CHROMA_IN*NBITS_IN-1 downto 0); signal out_data : out std_logic_vector(NBR_OF_MATRIX_OUT*NBR_OF_COL_OUT*NBR_OF_ROW_OUT*NBR_OF_CHROMA_OUT*NBITS_OUT-1 downto 0)); end entity test_op; architecture rtl of test_op is package local_pixel_pkg is new work.pixel_pkg generic map ( NBITS_IN => NBITS_IN, NBR_OF_CHROMA_IN => NBR_OF_CHROMA_IN, NBITS_OUT => NBITS_OUT, NBR_OF_CHROMA_OUT => NBR_OF_CHROMA_OUT ); package local_pixel_column_pkg is new work.pixel_column_pkg generic map ( NBITS_IN => NBITS_IN, NBR_OF_CHROMA_IN => NBR_OF_CHROMA_IN, NBR_OF_ROW_IN => NBR_OF_ROW_IN, NBITS_OUT => NBITS_OUT, NBR_OF_CHROMA_OUT => NBR_OF_CHROMA_OUT, NBR_OF_ROW_OUT => NBR_OF_ROW_OUT, local_pixel_pkg => local_pixel_pkg ); package local_pixel_matrix_pkg is new work.pixel_matrix_pkg generic map ( NBITS_IN => NBITS_IN, NBR_OF_CHROMA_IN => NBR_OF_CHROMA_IN, NBR_OF_ROW_IN => NBR_OF_ROW_IN, NBR_OF_COL_IN => NBR_OF_COL_IN, NBITS_OUT => NBITS_OUT, NBR_OF_CHROMA_OUT => NBR_OF_CHROMA_OUT, NBR_OF_ROW_OUT => NBR_OF_ROW_OUT, NBR_OF_COL_OUT => NBR_OF_COL_OUT, local_pixel_column_pkg => local_pixel_column_pkg ); use local_pixel_matrix_pkg.all; signal input_pixel_matrix : TYPE_PIXEL_MATRIX_IN; signal output_pixel_matrix : TYPE_PIXEL_MATRIX_OUT; begin -- As soon as a function from the local_pixel_matrix_pkg is used it breaks input_pixel_matrix <= std_logic_vector_to_pixel_matrix_in(in_data(NBR_OF_COL_IN*NBR_OF_ROW_IN*NBR_OF_CHROMA_IN*NBITS_IN-1 downto 0)); -- Note: Commented out more complex operation to show that the error is generated regardless -- Uncomment to have more "complete" code --output_pixel_matrix <= not input_pixel_matrix; out_data <= (others => '0'); --pixel_matrix_out_to_std_logic_vector(output_pixel_matrix); --out_data <= in_data(NBR_OF_MATRIX_OUT*NBR_OF_COL_OUT*NBR_OF_ROW_OUT*NBR_OF_CHROMA_OUT*NBITS_OUT-1 downto 0); end architecture rtl;
-------------------------------------------------------------------------------- -- -- Title : ctrl_comp_pkg.vhd -- Design : Example -- Author : Kapitanov -- Company : InSys -- -- Version : 1.0 -------------------------------------------------------------------------------- -- -- Description : Components for display -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.ctrl_types_pkg.array8x8; package ctrl_comp_pkg is component cl_square is generic( constant yend : std_logic_vector(4 downto 0); constant ystart : std_logic_vector(4 downto 0); constant xend : std_logic_vector(6 downto 0); constant xstart : std_logic_vector(6 downto 0) ); port( -- system signals: clk : in std_logic; reset : in std_logic; -- vga XoY coordinates: show_disp : in array8x8; display : in std_logic; x_char : in std_logic_vector(9 downto 0); y_char : in std_logic_vector(8 downto 0); -- out color scheme: rgb : out std_logic_vector(2 downto 0) ); end component; component cl_borders is generic( constant yend : std_logic_vector(4 downto 0); constant ystart : std_logic_vector(4 downto 0); constant xend : std_logic_vector(6 downto 0); constant xstart : std_logic_vector(6 downto 0) ); port( -- system signals: clk : in std_logic; reset : in std_logic; -- vga XoY coordinates: display : in std_logic; x_char : in std_logic_vector(9 downto 0); -- X line: 0:79 y_char : in std_logic_vector(8 downto 0); -- Y line: 0:29 -- out color scheme: rgb : out std_logic_vector(2 downto 0) ); end component; component cl_mines is generic( constant yend : std_logic_vector(4 downto 0); constant ystart : std_logic_vector(4 downto 0); constant xend : std_logic_vector(6 downto 0); constant xstart : std_logic_vector(6 downto 0) ); port( -- system signals: clk : in std_logic; reset : in std_logic; -- vga XoY coordinates: show_disp : in array8x8; -- vga XoY coordinates: addr_rnd : in std_logic_vector(4 downto 0); display : in std_logic; x_char : in std_logic_vector(9 downto 0); -- X line: 0:79 y_char : in std_logic_vector(8 downto 0); -- Y line: 0:29 -- out color scheme: data_out : out std_logic_vector(7 downto 0); rgb : out std_logic_vector(2 downto 0) ); end component; component cl_text is generic( constant yend : std_logic_vector(4 downto 0); constant ystart : std_logic_vector(4 downto 0); constant xend : std_logic_vector(6 downto 0); constant xstart : std_logic_vector(6 downto 0) ); port( -- system signals: clk : in std_logic; reset : in std_logic; -- control signals: addr_rnd : in std_logic_vector(4 downto 0); display : in std_logic; cntgames : in std_logic; win : in std_logic; lose : in std_logic; game : in std_logic; flash : in std_logic_vector(2 downto 0); -- vga XoY: x_char : in std_logic_vector(9 downto 0); y_char : in std_logic_vector(8 downto 0); -- out color scheme: rgb : out std_logic_vector(2 downto 0) ); end component; component cl_check is generic( constant yend : std_logic_vector(4 downto 0); constant ystart : std_logic_vector(4 downto 0); constant xend : std_logic_vector(6 downto 0); constant xstart : std_logic_vector(6 downto 0) ); port( -- system signals: clk : in std_logic; reset : in std_logic; -- vga XoY coordinates: cnt_yy : in std_logic_vector(2 downto 0); cnt_xx : in std_logic_vector(2 downto 0); --data_hide : in std_logic; display : in std_logic; x_char : in std_logic_vector(9 downto 0); -- X line: 0:79 y_char : in std_logic_vector(8 downto 0); -- Y line: 0:29 -- out color scheme: rgb : out std_logic_vector(2 downto 0) ); end component; end ctrl_comp_pkg;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2670.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02670ent IS END c13s03b01x00p02n01i02670ent; ARCHITECTURE c13s03b01x00p02n01i02670arch OF c13s03b01x00p02n01i02670ent IS BEGIN TESTING: PROCESS variable ^k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02670 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02670arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2670.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02670ent IS END c13s03b01x00p02n01i02670ent; ARCHITECTURE c13s03b01x00p02n01i02670arch OF c13s03b01x00p02n01i02670ent IS BEGIN TESTING: PROCESS variable ^k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02670 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02670arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2670.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02670ent IS END c13s03b01x00p02n01i02670ent; ARCHITECTURE c13s03b01x00p02n01i02670arch OF c13s03b01x00p02n01i02670ent IS BEGIN TESTING: PROCESS variable ^k : integer; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02670 - Identifier can only begin with a letter." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02670arch;
-- Up down counter library IEEE; use IEEE.Std_logic_1164.all; use IEEE.Numeric_std.all; entity Counter is port (Clock, Reset, Enable, Load, UpDn: in Std_logic; Data: in Std_logic_vector(7 downto 0); Q: out Std_logic_vector(7 downto 0)); end; architecture RTL of Counter is signal Cnt: Unsigned(7 downto 0); begin process (Clock, Reset) begin if Reset = '1' then Cnt <= "00000000"; elsif Rising_edge(Clock) then if Enable = '0' then null; elsif Load = '1' then Cnt <= Unsigned(Data); else if UpDn = '1' then Cnt <= Cnt + 1; else Cnt <= Cnt - 1; end if; end if; end if; end process; Q <= Std_logic_vector(Cnt); end;
-- Up down counter library IEEE; use IEEE.Std_logic_1164.all; use IEEE.Numeric_std.all; entity Counter is port (Clock, Reset, Enable, Load, UpDn: in Std_logic; Data: in Std_logic_vector(7 downto 0); Q: out Std_logic_vector(7 downto 0)); end; architecture RTL of Counter is signal Cnt: Unsigned(7 downto 0); begin process (Clock, Reset) begin if Reset = '1' then Cnt <= "00000000"; elsif Rising_edge(Clock) then if Enable = '0' then null; elsif Load = '1' then Cnt <= Unsigned(Data); else if UpDn = '1' then Cnt <= Cnt + 1; else Cnt <= Cnt - 1; end if; end if; end if; end process; Q <= Std_logic_vector(Cnt); end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity top is Port ( sys_clk : in std_logic; Led: out std_logic_vector(7 downto 0); sw: in std_logic_vector(7 downto 0); fx2_wr_full_i : in std_logic; fx2_rd_empty_i : in std_logic; fx2_data_io : inout std_logic_vector(7 downto 0); fx2_clk_i : in std_logic; fx2_slcs_o : out std_logic; fx2_slrd_o : out std_logic; fx2_sloe_o : out std_logic; fx2_slwr_o : out std_logic; fx2_pktend_o : out std_logic; fx2_fifo_addr_o : out std_logic_vector(1 downto 0); btn : in std_logic_vector(3 downto 0) ); end top; architecture Behavioral of top is component bscan_sreg is GENERIC ( SREG_LEN : integer := 24 ); Port ( CAPTURE_i : in std_logic; DRCK_i : in std_logic; SEL_i : in std_logic; SHIFT_i : in std_logic; UPDATE_i : in std_logic; TDI_i : in std_logic; TDO_o: out std_logic; clk_i : in std_logic; Data_i : in std_logic_vector((SREG_LEN - 1) downto 0); Data_o : out std_logic_vector((SREG_LEN - 1) downto 0); strobe_o : out std_logic ); end component; component bscan_la is Port( clock : in std_logic; exClock : in std_logic; input : in std_logic_vector(31 downto 0); reset : in std_logic; CAPTURE : in std_logic; DRCK : in std_logic; SEL : in std_logic; SHIFT : in std_logic; UPDATE : in std_logic; TDO : out std_logic; TDI : in std_logic ); end component; signal CAPTURE : std_logic; signal DRCK1 : std_logic; signal SEL1 : std_logic; signal SHIFT : std_logic; signal UPDATE : std_logic; signal TDO1 : std_logic; signal TDI : std_logic; signal din : std_logic_vector(23 downto 0); signal dout : std_logic_vector(23 downto 0); signal strobe : std_logic; signal fx2_dout : std_logic_vector(7 downto 0); signal fx2_wr : std_logic := '0'; signal fx2_wr_cnt : std_logic_vector(15 downto 0); signal fx2_notfull_cnt : std_logic_vector(15 downto 0); signal fx2_wasfull : std_logic := '0'; signal fx2_stop_on_full : std_logic := '0'; signal fx2_no_delay : std_logic := '0'; signal run : std_logic := '0'; signal autostop : std_logic := '1'; signal fx2_last_full : std_logic; signal fx2_one_left : std_logic; signal delay : std_logic_vector(3 downto 0); signal delay_cnt : std_logic_vector(3 downto 0); signal DRCK2 : std_logic; signal SEL2 : std_logic; signal TDO2 : std_logic; signal la_input : std_logic_vector(31 downto 0); begin BSCAN_SPARTAN3_inst : BSCAN_SPARTAN3 port map ( CAPTURE => CAPTURE, -- CAPTURE output from TAP controller DRCK1 => DRCK1, -- Data register output for USER1 functions DRCK2 => DRCK2, -- Data register output for USER2 functions RESET => open, -- Reset output from TAP controller SEL1 => SEL1, -- USER1 active output SEL2 => SEL2, -- USER2 active output SHIFT => SHIFT, -- SHIFT output from TAP controller TDI => TDI, -- TDI output from TAP controller UPDATE => UPDATE, -- UPDATE output from TAP controller TDO1 => TDO1, -- Data input for USER1 function TDO2 => TDO2 -- Data input for USER2 function ); bscan_la_inst: bscan_la port map ( clock => fx2_clk_i, exClock => '0', input => la_input, reset => btn(1), CAPTURE => CAPTURE, DRCK => DRCK2, SEL => SEL2, SHIFT => SHIFT, UPDATE => UPDATE, TDO => TDO2, TDI => TDI ); bscan_sreg_inst : bscan_sreg Port map ( CAPTURE_i => CAPTURE, DRCK_i => DRCK1, SEL_i => SEL1, SHIFT_i => SHIFT, UPDATE_i => UPDATE, TDI_i => TDI, TDO_o => TDO1, clk_i => fx2_clk_i, --sys_clk, Data_i => din, Data_o => dout, strobe_o => strobe ); la_input <= x"00000" & fx2_one_left & fx2_wr_full_i & fx2_wasfull & fx2_wr & fx2_data_io; fx2_fifo_addr_o <= "10"; fx2_slcs_o <= '0'; fx2_slrd_o <= '1'; fx2_sloe_o <= '1'; fx2_slwr_o <= fx2_wr; Led <= fx2_wr & (not fx2_wr_full_i) & fx2_wasfull & fx2_stop_on_full & fx2_no_delay & "00" & fx2_one_left; process(fx2_clk_i) begin if rising_edge(fx2_clk_i) then -- FX2 default signals fx2_data_io <= (others => 'Z'); fx2_pktend_o <= '1'; fx2_wr <= '1'; if fx2_wr_full_i = '0' then fx2_wasfull <= '1'; end if; -- did a write cycle if fx2_wr = '0' then if fx2_wr_full_i = '1' and fx2_wasfull = '0' then fx2_notfull_cnt <= fx2_notfull_cnt + 1; end if; end if; -- start button if btn(0) = '1' then run <= '1'; end if; fx2_last_full <= fx2_wr_full_i; -- insert delay after frame if fx2_last_full = '1' and fx2_wr_full_i = '0' then delay_cnt <= delay; end if; -- detect rare "full though one left" "corner condition" if fx2_wr = '1' and fx2_last_full = '1' and fx2_wr_full_i = '0' then fx2_one_left <= '1'; end if; -- write? if delay_cnt /= "000" then delay_cnt <= delay_cnt - 1; elsif fx2_wr_cnt /= x"0000" or autostop = '0' then if (run = '1') and (fx2_wr = '1' or fx2_no_delay = '1') then --if (fx2_wr_full_i = '1' or fx2_last_full = '1' or fx2_stop_on_full = '0') then if (fx2_wr_full_i = '1' or fx2_one_left = '1' or fx2_stop_on_full = '0') then fx2_one_left <= '0'; fx2_data_io <= fx2_dout; fx2_dout <= fx2_dout + 1; fx2_wr <= '0'; fx2_wr_cnt <= fx2_wr_cnt - 1; end if; end if; else run <= '0'; end if; -- JTAG strobe if strobe = '1' then din <= dout; -- reg. addr case dout(23 downto 16) is -- FX2 ctl when x"80" => fx2_stop_on_full <= dout(0); fx2_no_delay <= dout(1); -- some kind of raw mode... fx2_wr <= not dout(2); fx2_pktend_o <= not dout(4); autostop <= not dout(5); delay <= dout(11 downto 8); -- FX2 status when x"00" => din(7 downto 0) <= "000000" & fx2_wr_full_i & fx2_rd_empty_i; -- FX2 write count when x"81" => fx2_wr_cnt <= dout(15 downto 0); fx2_notfull_cnt <= x"0000"; fx2_wasfull <= '0'; -- FX2 written count when x"01" => din(15 downto 0) <= fx2_notfull_cnt; -- FX2 data out when x"82" => fx2_dout <= dout(7 downto 0); -- FX2 data out when x"02" => din(7 downto 0) <= fx2_dout; when others => null; end case; end if; end if; end process; end Behavioral;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to25_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to25_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to25_dcm; architecture xilinx of clk_32to25_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to25_dcm,clk_wiz_v3_6,{component_name=clk_32to25_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 32, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file bios.vhd when simulating -- the core, bios. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY bios IS PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END bios; ARCHITECTURE bios_a OF bios IS -- synthesis translate_off COMPONENT wrapped_bios PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_bios USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 13, c_addrb_width => 13, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "bios.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 3, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 8192, c_read_depth_b => 8192, c_read_width_a => 8, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 8192, c_write_depth_b => 8192, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 8, c_write_width_b => 8, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_bios PORT MAP ( clka => clka, addra => addra, douta => douta ); -- synthesis translate_on END bios_a;
entity foo is end; architecture bar of foo is type BIT is ('0', '1'); procedure prok is begin case 0 is -- expression when 1 => null; when not 3 => null; -- discrete range when 0 to 4 => wait; when bit => wait; -- element name when asdf => null; -- others when others => null; end case; end; begin end;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_pkg.vhd -- Description: This package contains various constants and functions for -- AXI DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; package axi_dma_pkg is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- -- Find minimum required btt width function required_btt_width (dwidth : integer; burst_size : integer; btt_width : integer) return integer; -- Return correct hertz paramter value function hertz_prmtr_select(included : integer; lite_frequency : integer; sg_frequency : integer) return integer; -- Return SnF enable or disable function enable_snf (sf_enabled : integer; axi_data_width : integer; axis_tdata_width : integer) return integer; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- AXI Responce Values ------------------------------------------------------------------------------- constant OKAY_RESP : std_logic_vector(1 downto 0) := "00"; constant EXOKAY_RESP : std_logic_vector(1 downto 0) := "01"; constant SLVERR_RESP : std_logic_vector(1 downto 0) := "10"; constant DECERR_RESP : std_logic_vector(1 downto 0) := "11"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; ------------------------------------------------------------------------------- -- Misc Constants ------------------------------------------------------------------------------- --constant NUM_REG_TOTAL : integer := 18; --constant NUM_REG_TOTAL : integer := 23; constant NUM_REG_TOTAL : integer := 143; -- To accomodate S2MM registers --constant NUM_REG_PER_CHANNEL : integer := 6; constant NUM_REG_PER_CHANNEL : integer := 12; constant NUM_REG_PER_S2MM : integer := 120; --constant REG_MSB_ADDR_BIT : integer := clog2(NUM_REG_TOTAL)-1; constant CMD_BASE_WIDTH : integer := 40; constant BUFFER_LENGTH_WIDTH : integer := 23; -- Constants Used in Desc Updates constant DESC_STS_TYPE : std_logic := '1'; constant DESC_DATA_TYPE : std_logic := '0'; constant DESC_LAST : std_logic := '1'; constant DESC_NOT_LAST : std_logic := '0'; -- Interrupt Coalescing constant ZERO_THRESHOLD : std_logic_vector(7 downto 0) := (others => '0'); constant ONE_THRESHOLD : std_logic_vector(7 downto 0) := "00000001"; constant ZERO_DELAY : std_logic_vector(7 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- AXI Lite AXI DMA Register Offsets ------------------------------------------------------------------------------- constant MM2S_DMACR_INDEX : integer := 0; constant MM2S_DMASR_INDEX : integer := 1; constant MM2S_CURDESC_LSB_INDEX : integer := 2; constant MM2S_CURDESC_MSB_INDEX : integer := 3; constant MM2S_TAILDESC_LSB_INDEX : integer := 4; constant MM2S_TAILDESC_MSB_INDEX : integer := 5; constant MM2S_SA_INDEX : integer := 6; constant MM2S_SA2_INDEX : integer := 7; constant RESERVED_20_INDEX : integer := 8; constant RESERVED_24_INDEX : integer := 9; constant MM2S_LENGTH_INDEX : integer := 10; constant RESERVED_2C_INDEX : integer := 11; constant S2MM_DMACR_INDEX : integer := 12; constant S2MM_DMASR_INDEX : integer := 13; constant S2MM_CURDESC_LSB_INDEX : integer := 14; constant S2MM_CURDESC_MSB_INDEX : integer := 15; constant S2MM_TAILDESC_LSB_INDEX : integer := 16; constant S2MM_TAILDESC_MSB_INDEX : integer := 17; constant S2MM_DA_INDEX : integer := 18; constant S2MM_DA2_INDEX : integer := 19; constant RESERVED_50_INDEX : integer := 20; constant RESERVED_54_INDEX : integer := 21; --constant S2MM_LENGTH_INDEX : integer := 22; constant S2MM_LENGTH_INDEX : integer := 142; constant MM2S_DMACR_OFFSET : std_logic_vector(9 downto 0) := "0000000000"; -- 0x00 constant MM2S_DMASR_OFFSET : std_logic_vector(9 downto 0) := "0000000100"; -- 0x04 constant MM2S_CURDESC_LSB_OFFSET : std_logic_vector(9 downto 0) := "0000001000"; -- 0x08 constant MM2S_CURDESC_MSB_OFFSET : std_logic_vector(9 downto 0) := "0000001100"; -- 0x0C constant MM2S_TAILDESC_LSB_OFFSET : std_logic_vector(9 downto 0) := "0000010000"; -- 0x10 constant MM2S_TAILDESC_MSB_OFFSET : std_logic_vector(9 downto 0) := "0000010100"; -- 0x14 constant MM2S_SA_OFFSET : std_logic_vector(9 downto 0) := "0000011000"; -- 0x18 constant MM2S_SA2_OFFSET : std_logic_vector(9 downto 0) := "0000011100"; -- 0x1C constant RESERVED_20_OFFSET : std_logic_vector(9 downto 0) := "0000100000"; -- 0x20 constant RESERVED_24_OFFSET : std_logic_vector(9 downto 0) := "0000100100"; -- 0x24 constant MM2S_LENGTH_OFFSET : std_logic_vector(9 downto 0) := "0000101000"; -- 0x28 -- Following was reserved, now is used for SG xCache and xUser constant SGCTL_OFFSET : std_logic_vector(9 downto 0) := "0000101100"; -- 0x2C constant S2MM_DMACR_OFFSET : std_logic_vector(9 downto 0) := "0000110000"; -- 0x30 constant S2MM_DMASR_OFFSET : std_logic_vector(9 downto 0) := "0000110100"; -- 0x34 constant S2MM_CURDESC_LSB_OFFSET : std_logic_vector(9 downto 0) := "0000111000"; -- 0x38 constant S2MM_CURDESC_MSB_OFFSET : std_logic_vector(9 downto 0) := "0000111100"; -- 0x3C constant S2MM_TAILDESC_LSB_OFFSET : std_logic_vector(9 downto 0) := "0001000000"; -- 0x40 constant S2MM_TAILDESC_MSB_OFFSET : std_logic_vector(9 downto 0) := "0001000100"; -- 0x44 constant S2MM_DA_OFFSET : std_logic_vector(9 downto 0) := "0001001000"; -- 0x48 --CR603034 constant S2MM_DA2_OFFSET : std_logic_vector(9 downto 0) := "0001001100"; -- 0x4C constant RESERVED_50_OFFSET : std_logic_vector(9 downto 0) := "0001010000"; -- 0x50 constant RESERVED_54_OFFSET : std_logic_vector(9 downto 0) := "0001010100"; -- 0x54 constant S2MM_LENGTH_OFFSET : std_logic_vector(9 downto 0) := "0001011000"; -- 0x58 -- New registers for S2MM channels constant S2MM_CURDESC1_LSB_OFFSET : std_logic_vector(9 downto 0) := "0001110000"; -- 0x70 constant S2MM_CURDESC1_MSB_OFFSET : std_logic_vector(9 downto 0) := "0001110100"; -- 0x74 constant S2MM_TAILDESC1_LSB_OFFSET : std_logic_vector(9 downto 0) := "0001111000"; -- 0x78 constant S2MM_TAILDESC1_MSB_OFFSET : std_logic_vector(9 downto 0) := "0001111100"; -- 0x7C constant S2MM_CURDESC2_LSB_OFFSET : std_logic_vector(9 downto 0) := "0010010000"; -- 0x90 constant S2MM_CURDESC2_MSB_OFFSET : std_logic_vector(9 downto 0) := "0010010100"; -- 0x94 constant S2MM_TAILDESC2_LSB_OFFSET : std_logic_vector(9 downto 0) := "0010011000"; -- 0x98 constant S2MM_TAILDESC2_MSB_OFFSET : std_logic_vector(9 downto 0) := "0010011100"; -- 0x9C constant S2MM_CURDESC3_LSB_OFFSET : std_logic_vector(9 downto 0) := "0010110000"; -- 0xB0 constant S2MM_CURDESC3_MSB_OFFSET : std_logic_vector(9 downto 0) := "0010110100"; -- 0xB4 constant S2MM_TAILDESC3_LSB_OFFSET : std_logic_vector(9 downto 0) := "0010111000"; -- 0xB8 constant S2MM_TAILDESC3_MSB_OFFSET : std_logic_vector(9 downto 0) := "0010111100"; -- 0xBC constant S2MM_CURDESC4_LSB_OFFSET : std_logic_vector(9 downto 0) := "0011010000"; -- 0xD0 constant S2MM_CURDESC4_MSB_OFFSET : std_logic_vector(9 downto 0) := "0011010100"; -- 0xD4 constant S2MM_TAILDESC4_LSB_OFFSET : std_logic_vector(9 downto 0) := "0011011000"; -- 0xD8 constant S2MM_TAILDESC4_MSB_OFFSET : std_logic_vector(9 downto 0) := "0011011100"; -- 0xDC constant S2MM_CURDESC5_LSB_OFFSET : std_logic_vector(9 downto 0) := "0011110000"; -- 0xF0 constant S2MM_CURDESC5_MSB_OFFSET : std_logic_vector(9 downto 0) := "0011110100"; -- 0xF4 constant S2MM_TAILDESC5_LSB_OFFSET : std_logic_vector(9 downto 0) := "0011111000"; -- 0xF8 constant S2MM_TAILDESC5_MSB_OFFSET : std_logic_vector(9 downto 0) := "0011111100"; -- 0xFC constant S2MM_CURDESC6_LSB_OFFSET : std_logic_vector(9 downto 0) := "0100010000"; -- 0x110 constant S2MM_CURDESC6_MSB_OFFSET : std_logic_vector(9 downto 0) := "0100010100"; -- 0x114 constant S2MM_TAILDESC6_LSB_OFFSET : std_logic_vector(9 downto 0) := "0100011000"; -- 0x118 constant S2MM_TAILDESC6_MSB_OFFSET : std_logic_vector(9 downto 0) := "0100011100"; -- 0x11C constant S2MM_CURDESC7_LSB_OFFSET : std_logic_vector(9 downto 0) := "0100110000"; -- 0x130 constant S2MM_CURDESC7_MSB_OFFSET : std_logic_vector(9 downto 0) := "0100110100"; -- 0x134 constant S2MM_TAILDESC7_LSB_OFFSET : std_logic_vector(9 downto 0) := "0100111000"; -- 0x138 constant S2MM_TAILDESC7_MSB_OFFSET : std_logic_vector(9 downto 0) := "0100111100"; -- 0x13C constant S2MM_CURDESC8_LSB_OFFSET : std_logic_vector(9 downto 0) := "0101010000"; -- 0x150 constant S2MM_CURDESC8_MSB_OFFSET : std_logic_vector(9 downto 0) := "0101010100"; -- 0x154 constant S2MM_TAILDESC8_LSB_OFFSET : std_logic_vector(9 downto 0) := "0101011000"; -- 0x158 constant S2MM_TAILDESC8_MSB_OFFSET : std_logic_vector(9 downto 0) := "0101011100"; -- 0x15C constant S2MM_CURDESC9_LSB_OFFSET : std_logic_vector(9 downto 0) := "0101110000"; -- 0x170 constant S2MM_CURDESC9_MSB_OFFSET : std_logic_vector(9 downto 0) := "0101110100"; -- 0x174 constant S2MM_TAILDESC9_LSB_OFFSET : std_logic_vector(9 downto 0) := "0101111000"; -- 0x178 constant S2MM_TAILDESC9_MSB_OFFSET : std_logic_vector(9 downto 0) := "0101111100"; -- 0x17C constant S2MM_CURDESC10_LSB_OFFSET : std_logic_vector(9 downto 0) := "0110010000"; -- 0x190 constant S2MM_CURDESC10_MSB_OFFSET : std_logic_vector(9 downto 0) := "0110010100"; -- 0x194 constant S2MM_TAILDESC10_LSB_OFFSET : std_logic_vector(9 downto 0) := "0110011000"; -- 0x198 constant S2MM_TAILDESC10_MSB_OFFSET : std_logic_vector(9 downto 0) := "0110011100"; -- 0x19C constant S2MM_CURDESC11_LSB_OFFSET : std_logic_vector(9 downto 0) := "0110110000"; -- 0x1B0 constant S2MM_CURDESC11_MSB_OFFSET : std_logic_vector(9 downto 0) := "0110110100"; -- 0x1B4 constant S2MM_TAILDESC11_LSB_OFFSET : std_logic_vector(9 downto 0) := "0110111000"; -- 0x1B8 constant S2MM_TAILDESC11_MSB_OFFSET : std_logic_vector(9 downto 0) := "0110111100"; -- 0x1BC constant S2MM_CURDESC12_LSB_OFFSET : std_logic_vector(9 downto 0) := "0111010000"; -- 0x1D0 constant S2MM_CURDESC12_MSB_OFFSET : std_logic_vector(9 downto 0) := "0111010100"; -- 0x1D4 constant S2MM_TAILDESC12_LSB_OFFSET : std_logic_vector(9 downto 0) := "0111011000"; -- 0x1D8 constant S2MM_TAILDESC12_MSB_OFFSET : std_logic_vector(9 downto 0) := "0111011100"; -- 0x1DC constant S2MM_CURDESC13_LSB_OFFSET : std_logic_vector(9 downto 0) := "0111110000"; -- 0x1F0 constant S2MM_CURDESC13_MSB_OFFSET : std_logic_vector(9 downto 0) := "0111110100"; -- 0x1F4 constant S2MM_TAILDESC13_LSB_OFFSET : std_logic_vector(9 downto 0) := "0111111000"; -- 0x1F8 constant S2MM_TAILDESC13_MSB_OFFSET : std_logic_vector(9 downto 0) := "0111111100"; -- 0x1FC constant S2MM_CURDESC14_LSB_OFFSET : std_logic_vector(9 downto 0) := "1000010000"; -- 0x210 constant S2MM_CURDESC14_MSB_OFFSET : std_logic_vector(9 downto 0) := "1000010100"; -- 0x214 constant S2MM_TAILDESC14_LSB_OFFSET : std_logic_vector(9 downto 0) := "1000011000"; -- 0x218 constant S2MM_TAILDESC14_MSB_OFFSET : std_logic_vector(9 downto 0) := "1000011100"; -- 0x21C constant S2MM_CURDESC15_LSB_OFFSET : std_logic_vector(9 downto 0) := "1000110000"; -- 0x230 constant S2MM_CURDESC15_MSB_OFFSET : std_logic_vector(9 downto 0) := "1000110100"; -- 0x234 constant S2MM_TAILDESC15_LSB_OFFSET : std_logic_vector(9 downto 0) := "1000111000"; -- 0x238 constant S2MM_TAILDESC15_MSB_OFFSET : std_logic_vector(9 downto 0) := "1000111100"; -- 0x23C ------------------------------------------------------------------------------- -- Register Bit Constants ------------------------------------------------------------------------------- -- DMACR constant DMACR_RS_BIT : integer := 0; constant DMACR_TAILPEN_BIT : integer := 1; constant DMACR_RESET_BIT : integer := 2; constant DMACR_KH_BIT : integer := 3; constant CYCLIC_BIT : integer := 4; --constant DMACR_RESERVED3_BIT : integer := 3; --constant DMACR_RESERVED4_BIT : integer := 4; constant DMACR_RESERVED5_BIT : integer := 5; constant DMACR_RESERVED6_BIT : integer := 6; constant DMACR_RESERVED7_BIT : integer := 7; constant DMACR_RESERVED8_BIT : integer := 8; constant DMACR_RESERVED9_BIT : integer := 9; constant DMACR_RESERVED10_BIT : integer := 10; constant DMACR_RESERVED11_BIT : integer := 11; constant DMACR_IOC_IRQEN_BIT : integer := 12; constant DMACR_DLY_IRQEN_BIT : integer := 13; constant DMACR_ERR_IRQEN_BIT : integer := 14; constant DMACR_RESERVED15_BIT : integer := 15; constant DMACR_IRQTHRESH_LSB_BIT : integer := 16; constant DMACR_IRQTHRESH_MSB_BIT : integer := 23; constant DMACR_IRQDELAY_LSB_BIT : integer := 24; constant DMACR_IRQDELAY_MSB_BIT : integer := 31; -- DMASR constant DMASR_HALTED_BIT : integer := 0; constant DMASR_IDLE_BIT : integer := 1; constant DMASR_CMPLT_BIT : integer := 2; constant DMASR_ERROR_BIT : integer := 3; constant DMASR_DMAINTERR_BIT : integer := 4; constant DMASR_DMASLVERR_BIT : integer := 5; constant DMASR_DMADECERR_BIT : integer := 6; constant DMASR_RESERVED7_BIT : integer := 7; constant DMASR_SGINTERR_BIT : integer := 8; constant DMASR_SGSLVERR_BIT : integer := 9; constant DMASR_SGDECERR_BIT : integer := 10; constant DMASR_RESERVED11_BIT : integer := 11; constant DMASR_IOCIRQ_BIT : integer := 12; constant DMASR_DLYIRQ_BIT : integer := 13; constant DMASR_ERRIRQ_BIT : integer := 14; constant DMASR_RESERVED15_BIT : integer := 15; constant DMASR_IRQTHRESH_LSB_BIT : integer := 16; constant DMASR_IRQTHRESH_MSB_BIT : integer := 23; constant DMASR_IRQDELAY_LSB_BIT : integer := 24; constant DMASR_IRQDELAY_MSB_BIT : integer := 31; -- CURDESC constant CURDESC_LOWER_MSB_BIT : integer := 31; constant CURDESC_LOWER_LSB_BIT : integer := 6; constant CURDESC_RESERVED_BIT5 : integer := 5; constant CURDESC_RESERVED_BIT4 : integer := 4; constant CURDESC_RESERVED_BIT3 : integer := 3; constant CURDESC_RESERVED_BIT2 : integer := 2; constant CURDESC_RESERVED_BIT1 : integer := 1; constant CURDESC_RESERVED_BIT0 : integer := 0; -- TAILDESC constant TAILDESC_LOWER_MSB_BIT : integer := 31; constant TAILDESC_LOWER_LSB_BIT : integer := 6; constant TAILDESC_RESERVED_BIT5 : integer := 5; constant TAILDESC_RESERVED_BIT4 : integer := 4; constant TAILDESC_RESERVED_BIT3 : integer := 3; constant TAILDESC_RESERVED_BIT2 : integer := 2; constant TAILDESC_RESERVED_BIT1 : integer := 1; constant TAILDESC_RESERVED_BIT0 : integer := 0; -- DataMover Command / Status Constants constant DATAMOVER_CMDDONE_BIT : integer := 7; constant DATAMOVER_SLVERR_BIT : integer := 6; constant DATAMOVER_DECERR_BIT : integer := 5; constant DATAMOVER_INTERR_BIT : integer := 4; constant DATAMOVER_TAGMSB_BIT : integer := 3; constant DATAMOVER_TAGLSB_BIT : integer := 0; -- Descriptor Control Bits constant DESC_BLENGTH_LSB_BIT : integer := 0; constant DESC_BLENGTH_MSB_BIT : integer := 22; constant DESC_RSVD23_BIT : integer := 23; constant DESC_RSVD24_BIT : integer := 24; constant DESC_RSVD25_BIT : integer := 25; constant DESC_EOF_BIT : integer := 26; constant DESC_SOF_BIT : integer := 27; constant DESC_RSVD28_BIT : integer := 28; constant DESC_RSVD29_BIT : integer := 29; constant DESC_RSVD30_BIT : integer := 30; constant DESC_IOC_BIT : integer := 31; -- Descriptor Status Bits constant DESC_STS_CMPLTD_BIT : integer := 31; constant DESC_STS_DECERR_BIT : integer := 30; constant DESC_STS_SLVERR_BIT : integer := 29; constant DESC_STS_INTERR_BIT : integer := 28; constant DESC_STS_RXSOF_BIT : integer := 27; constant DESC_STS_RXEOF_BIT : integer := 26; constant DESC_STS_RSVD25_BIT : integer := 25; constant DESC_STS_RSVD24_BIT : integer := 24; constant DESC_STS_RSVD23_BIT : integer := 23; constant DESC_STS_XFRDBYTS_MSB_BIT : integer := 22; constant DESC_STS_XFRDBYTS_LSB_BIT : integer := 0; -- DataMover Command / Status Constants constant DATAMOVER_STS_CMDDONE_BIT : integer := 7; constant DATAMOVER_STS_SLVERR_BIT : integer := 6; constant DATAMOVER_STS_DECERR_BIT : integer := 5; constant DATAMOVER_STS_INTERR_BIT : integer := 4; constant DATAMOVER_STS_TAGMSB_BIT : integer := 3; constant DATAMOVER_STS_TAGLSB_BIT : integer := 0; constant DATAMOVER_STS_TAGEOF_BIT : integer := 1; constant DATAMOVER_STS_TLAST_BIT : integer := 31; constant DATAMOVER_CMD_BTTLSB_BIT : integer := 0; constant DATAMOVER_CMD_BTTMSB_BIT : integer := 22; constant DATAMOVER_CMD_TYPE_BIT : integer := 23; constant DATAMOVER_CMD_DSALSB_BIT : integer := 24; constant DATAMOVER_CMD_DSAMSB_BIT : integer := 29; constant DATAMOVER_CMD_EOF_BIT : integer := 30; constant DATAMOVER_CMD_DRR_BIT : integer := 31; constant DATAMOVER_CMD_ADDRLSB_BIT : integer := 32; -- Note: Bit offset require adding ADDR WIDTH to get to actual bit index constant DATAMOVER_CMD_ADDRMSB_BOFST: integer := 31; constant DATAMOVER_CMD_TAGLSB_BOFST : integer := 32; constant DATAMOVER_CMD_TAGMSB_BOFST : integer := 35; constant DATAMOVER_CMD_RSVLSB_BOFST : integer := 36; constant DATAMOVER_CMD_RSVMSB_BOFST : integer := 39; end axi_dma_pkg; ------------------------------------------------------------------------------- -- PACKAGE BODY ------------------------------------------------------------------------------- package body axi_dma_pkg is ------------------------------------------------------------------------------- -- Function to determine minimum bits required for BTT_SIZE field ------------------------------------------------------------------------------- function required_btt_width ( dwidth : integer; burst_size: integer; btt_width : integer) return integer is variable min_width : integer; begin min_width := clog2((dwidth/8)*burst_size)+1; if(min_width > btt_width)then return min_width; else return btt_width; end if; end function required_btt_width; ------------------------------------------------------------------------------- -- function to return Frequency Hertz parameter based on inclusion of sg engine ------------------------------------------------------------------------------- function hertz_prmtr_select(included : integer; lite_frequency : integer; sg_frequency : integer) return integer is begin -- 1 = Scatter Gather Included -- 0 = Scatter Gather Excluded if(included = 1)then return sg_frequency; else return lite_frequency; end if; end; ------------------------------------------------------------------------------- -- function to enable store and forward based on data width mismatch -- or directly enabled ------------------------------------------------------------------------------- function enable_snf (sf_enabled : integer; axi_data_width : integer; axis_tdata_width : integer) return integer is begin -- If store and forward enable or data widths do not -- match then return 1 to enable snf if( (sf_enabled = 1) or (axi_data_width /= axis_tdata_width))then return 1; else -- coverage off return 0; -- coverage on end if; end; end package body axi_dma_pkg;